JP2000058520A - Substrate mount stage, its manufacture, and treatment of substrate - Google Patents

Substrate mount stage, its manufacture, and treatment of substrate

Info

Publication number
JP2000058520A
JP2000058520A JP10230752A JP23075298A JP2000058520A JP 2000058520 A JP2000058520 A JP 2000058520A JP 10230752 A JP10230752 A JP 10230752A JP 23075298 A JP23075298 A JP 23075298A JP 2000058520 A JP2000058520 A JP 2000058520A
Authority
JP
Japan
Prior art keywords
aluminum
ceramic layer
substrate
base material
ceramic
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP10230752A
Other languages
Japanese (ja)
Inventor
Shingo Kadomura
新吾 門村
Megumi Takatsu
恵 高津
Shinsuke Hirano
信介 平野
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sony Corp
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Priority to JP10230752A priority Critical patent/JP2000058520A/en
Publication of JP2000058520A publication Critical patent/JP2000058520A/en
Pending legal-status Critical Current

Links

Landscapes

  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

PROBLEM TO BE SOLVED: To provide a substrate mount stage which can avoid the occurrence of damages resulting from the difference in thermal expansion between materials, can sufficiently tolerate high temperatures, and can be manufactured easily. SOLUTION: A substrate mount stage is constituted of a composite material 11, has an electrostatic chucking function, and is provided with a temperature control means and the material 11 is composed of a base material 12 prepared by filling the texture of a ceramic member with an aluminum-based material and a ceramic layer 13 formed on the surface of the base material 12 by a melt-spraying method. The ceramic layer 13 is formed in a laminated body formed by laminating a second ceramic layer 130B upon a first ceramic layer 130A and an electrode 14 which makes the ceramic layer 13 exhibit an electrostatic chucking function is formed between the first and second ceramic layers 130A and 130B.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、基体載置ステージ
及びその製造方法、並びに、基体処理方法に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a substrate mounting stage, a method of manufacturing the same, and a method of treating a substrate.

【0002】[0002]

【従来の技術】近年の超LSIにおいては、数mm角の
チップに数百万個以上の素子を集積することが要求され
ている。そして、超LSIの微細加工を実現するための
ドライエッチング技術や、薄膜成膜技術の1つである化
学的気相成長法(CVD法)にも一層の高精度化が要求
されており、ガスケミストリーやプラズマソース、ウエ
ハ温度制御等に工夫を凝らしたプロセスが提案されてい
る。
2. Description of the Related Art In recent VLSI, it is required to integrate several million elements or more on a chip of several mm square. Further, the dry etching technology for realizing the microfabrication of the VLSI and the chemical vapor deposition (CVD) method, which is one of the thin film forming technologies, are required to have higher accuracy. Processes devising chemistry, plasma source, wafer temperature control, and the like have been proposed.

【0003】半導体装置の製造においては、プラズマエ
ッチング処理やプラズマCVD処理等、各種の半導体基
板やウエハ、あるいはこれらの上に形成された各種の薄
膜に対してプラズマ処理を施すプロセスが多く存在す
る。尚、各種の半導体基板やウエハ、あるいはこれらの
上に形成された各種の薄膜を、以下、総称して基体と呼
ぶ場合がある。これらのプラズマ処理プロセスにおいて
は、特にプラズマエッチング処理等において、その加工
精度を上げるため、常温乃至0゜C以下の低温に基体を
保持した状態でのプラズマ処理が採用されつつある。そ
れ故、処理プロセスにおいては、基体の加工精度や処理
精度を上げるため、基体の温度制御や温度管理が重要で
あることが認識されつつある。
In the manufacture of semiconductor devices, there are many processes for performing plasma processing on various semiconductor substrates and wafers or various thin films formed thereon, such as plasma etching processing and plasma CVD processing. In addition, various semiconductor substrates and wafers, or various thin films formed thereon may be hereinafter collectively referred to as a base. In these plasma processing processes, particularly in the case of a plasma etching process or the like, in order to increase the processing accuracy, a plasma process in a state where the substrate is held at a normal temperature to a low temperature of 0 ° C. or less is being adopted. Therefore, in the treatment process, it has been recognized that it is important to control and control the temperature of the substrate in order to increase the processing accuracy and the processing accuracy of the substrate.

【0004】ところで、近年、半導体装置における多層
配線技術の進歩に伴い、例えば配線の低抵抗化のため
に、あるいは又、耐エレクトロマイグレーション特性向
上のために、配線材料として銅(Cu)を用いる要求が
あり、かかる銅から構成された配線材料を適切にドライ
エッチングする技術の開発が進められている。あるいは
又、ギャップフィル技術に高密度プラズマCVD処理を
採用する要求がある。そのため、常温乃至低温にてプラ
ズマ処理を行うプロセスだけでなく、基体を高温に保持
した状態にてプラズマ処理を行うプロセスについても、
その重要度が増している。
In recent years, with the progress of multilayer wiring technology in semiconductor devices, there has been a demand for using copper (Cu) as a wiring material, for example, to reduce the resistance of wiring or to improve electromigration resistance. Therefore, a technique for appropriately dry-etching a wiring material made of such copper is being developed. Alternatively, there is a demand for adopting a high-density plasma CVD process for the gap fill technique. Therefore, not only the process of performing the plasma processing at room temperature or low temperature, but also the process of performing the plasma processing while maintaining the substrate at a high temperature,
Its importance is increasing.

【0005】然るに、このような高温でのプラズマ処理
においては、エッチング処理における基体に対するイオ
ン衝撃や、ギャップフィルCVD処理における高密度プ
ラズマの基体への照射等に起因して、プラズマから基体
ヘ大きな入熱が生じる。その結果、例えば、基体の温度
がプラズマ発生前に比べて40゜C程度乃至100゜C
程度以上も上昇してしまうことがある。従って、基体を
保持する基体載置ステージ(例えばウエハステージ)に
よって基体を加熱し、高温下でプラズマ処理を行うプロ
セスにおいても、プラズマから基体ヘの入熱の影響を抑
え、基体を高い精度で設定温度に制御する技術が重要で
ある。
However, in the plasma treatment at such a high temperature, a large amount of plasma enters the substrate due to ion bombardment of the substrate in the etching process, irradiation of the substrate with high-density plasma in the gap fill CVD process, and the like. Heat is generated. As a result, for example, the temperature of the substrate is about 40 ° C. to 100 ° C. compared to before the plasma generation.
It may rise by more than a degree. Therefore, even in a process in which the substrate is heated by a substrate mounting stage (for example, a wafer stage) holding the substrate and plasma processing is performed at a high temperature, the effect of heat input from the plasma to the substrate is suppressed, and the substrate is set with high accuracy. Technology to control the temperature is important.

【0006】[0006]

【発明が解決しようとする課題】ところで、従来の技術
では、高温下における基体の温度制御は十分なものとは
云えない。従来の技術においては、プロセス処理中に前
述した程度の温度上昇が基体に起こることが当然とさ
れ、このような基体の温度上昇を見込んで予め基体載置
ステージの温度を低めに設定している。そして、このよ
うな基体の温度上昇を見込んでプロセスを進行させるの
で、プロセス時間が延長し、スループットが低下した
り、温度変化が大きいことによってプロセスの再現性や
制御性が低下するなどといった、多くの改善すべき問題
が残されている。
However, in the prior art, the temperature control of the substrate at a high temperature cannot be said to be sufficient. In the prior art, it is natural that the above-mentioned temperature rise occurs in the substrate during the process, and the temperature of the substrate mounting stage is previously set to be lower in consideration of such a temperature rise of the substrate. . Since the process proceeds in anticipation of such a rise in the temperature of the substrate, the process time is prolonged, the throughput is reduced, and the reproducibility and controllability of the process are reduced due to a large temperature change. There are still problems to be improved.

【0007】このような問題を解決する手段の1つとし
て、高温に加熱される基体載置ステージの上に静電チャ
ックを搭載することが考えられる。しかしながら、基体
載置ステージの上に静電チャックを搭載するためには、
加熱された基体載置ステージと静電チャックを構成する
誘電体との接合を如何にするかという大きな問題があ
り、この問題が静電チャックを搭載した基体載置ステー
ジの実用化を阻んできた。即ち、高温加熱仕様の基体載
置ステージにおいては、静電チャックを介して基体を基
体載置ステージ上に吸着固定した際、基体に効率良く熱
を伝えることが必要とされる。従って、基体載置ステー
ジと静電チャックとは、熱伝導の良い状態で接合されて
いることが必要である。
As one means for solving such a problem, it is conceivable to mount an electrostatic chuck on a substrate mounting stage heated to a high temperature. However, in order to mount the electrostatic chuck on the substrate mounting stage,
There is a major problem of how to join the heated substrate mounting stage and the dielectric material constituting the electrostatic chuck, and this problem has hindered the practical use of the substrate mounting stage equipped with the electrostatic chuck. . That is, in a substrate mounting stage of a high-temperature heating specification, when the substrate is suction-fixed onto the substrate mounting stage via an electrostatic chuck, it is necessary to efficiently transmit heat to the substrate. Therefore, it is necessary that the substrate mounting stage and the electrostatic chuck are joined in a state of good heat conduction.

【0008】従来、エッチング装置やCVD装置、スパ
ッタ装置といった基体処理装置における基体載置ステー
ジの材料としては、熱伝導率の高さや加工の行い易さな
どから、アルミニウム(Al)が用いられることが多
い。尚、アルミニウムの線膨張率は約23×10-6/K
である。また、一般に、静電チャックを構成する誘電体
としてはセラミックス材料が用いられている。それ故、
基体載置ステージと静電チャックとを直接接合した場
合、静電チャックを構成するセラミックス材料と基体載
置ステージを構成するアルミニウムとの線膨張率の差に
起因して、基体載置ステージの加熱・冷却によってセラ
ミックス材料に割れ等の損傷が生じる結果、静電チャッ
クが破壊されてしまうといった問題がある。
Conventionally, aluminum (Al) has been used as a material of a substrate mounting stage in a substrate processing apparatus such as an etching apparatus, a CVD apparatus, and a sputtering apparatus because of its high thermal conductivity and ease of processing. Many. The coefficient of linear expansion of aluminum is about 23 × 10 -6 / K
It is. In general, a ceramic material is used as a dielectric constituting the electrostatic chuck. Therefore,
When the substrate mounting stage and the electrostatic chuck are directly joined to each other, the heating of the substrate mounting stage is caused by a difference in linear expansion coefficient between the ceramic material forming the electrostatic chuck and the aluminum forming the substrate mounting stage. There is a problem that the ceramic chuck is broken as a result of damage such as cracking of the ceramic material due to cooling.

【0009】このため、現在では静電チャックをネジ止
め等の方法で基体載置ステージに固定している。しかし
ながら、このような構造では、基体処理装置内を減圧状
態とした場合、静電チャックと基体載置ステージとの接
合界面が真空断熱されてしまい、静電チャックを介した
基体載置ステージと基体との間の熱交換の効率が悪くな
る結果、基体がプラズマから熱を受け、設定温度以上に
基体の温度が上昇してしまう。それ故、例えば基体を形
成したとき、基体の膜質や膜厚が、基体載置ステージ上
の位置(例えば、基体載置ステージの中央部と周辺部)
に依って変動したり、基体の膜質が、基体の厚さ方向に
変動するといった問題が生じる。また、基体載置ステー
ジ上に載置された基体を例えばエッチングしたとき、基
体載置ステージ上の位置に依ってエッチング後の基体の
形状が変動するといった問題が生じる。
For this reason, at present, the electrostatic chuck is fixed to the substrate mounting stage by a method such as screwing. However, in such a structure, when the inside of the substrate processing apparatus is in a decompressed state, the joint interface between the electrostatic chuck and the substrate mounting stage is vacuum insulated, and the substrate mounting stage and the substrate via the electrostatic chuck are insulated. As a result, the efficiency of heat exchange between the substrate and the substrate deteriorates, so that the substrate receives heat from the plasma, and the temperature of the substrate rises above a set temperature. Therefore, for example, when a substrate is formed, the film quality and film thickness of the substrate are determined by the position on the substrate mounting stage (for example, the central portion and the peripheral portion of the substrate mounting stage).
And the film quality of the substrate varies in the thickness direction of the substrate. In addition, for example, when a substrate mounted on the substrate mounting stage is etched, there is a problem that the shape of the substrate after etching varies depending on the position on the substrate mounting stage.

【0010】従来の静電チャックを備えた載置ステージ
を高温に加熱すると、載置ステージの線膨張率と誘電体
部材の線膨張率との相違に起因して誘電体部材にクラッ
クが発生してしまい、静電チャックとしての機能が失わ
れてしまうといった問題を解決する手段が、例えば、特
開平10−32239号公報に開示されている。この特
許公開公報に開示された静電チャックステージは、静電
チャック用セラミックス焼結体プレートと、セラミック
とアルミニウムとの複合プレートとを、ハンダ又はろう
材によって接合して成る。この静電チャックステージを
使用することによって、高温で優れた温度制御下、プラ
ズマエッチング等が可能である。しかしながら、大面積
の基体の処理を行う場合、大面積のセラミックとアルミ
ニウムとの複合プレートを作製することは極めて困難で
ある。
When a mounting stage provided with a conventional electrostatic chuck is heated to a high temperature, cracks occur in the dielectric member due to the difference between the linear expansion coefficient of the mounting stage and the linear expansion coefficient of the dielectric member. Means for solving the problem that the function as an electrostatic chuck is lost, for example, is disclosed in JP-A-10-32239. The electrostatic chuck stage disclosed in this patent publication is formed by joining a ceramic sintered body plate for an electrostatic chuck and a composite plate of ceramic and aluminum by solder or brazing material. By using this electrostatic chuck stage, plasma etching or the like can be performed under excellent temperature control at a high temperature. However, when processing a large-area substrate, it is extremely difficult to produce a large-area composite plate of ceramic and aluminum.

【0011】従って、本発明の目的は、材料間の熱膨張
の相違に起因した損傷発生を回避でき、高温での使用に
十分耐えることができ、容易に製造し得る基体載置ステ
ージ及びその製造方法、並びにかかる基体処理装置を用
いた基体処理方法を提供することにある。
Accordingly, an object of the present invention is to provide a substrate mounting stage which can avoid the occurrence of damage due to a difference in thermal expansion between materials, can sufficiently withstand use at high temperatures, and can be easily manufactured, and a manufacturing method thereof. An object of the present invention is to provide a method and a substrate processing method using such a substrate processing apparatus.

【0012】[0012]

【課題を解決するための手段】上記の目的を達成するた
めの本発明の基体載置ステージは、複合部材から構成さ
れ、静電チャック機能を有し、温度制御手段を備えてお
り、該複合部材は、セラミックス部材の組織中にアルミ
ニウム系材料が充填された母材と、該母材の表面に溶射
法にて形成されたセラミックス層とから成り、該セラミ
ックス層は、第1のセラミックス層と第2のセラミック
ス層とが積層された構造を有し、第1のセラミックス層
と第2のセラミックス層との間には、セラミックス層に
静電チャック機能を発揮させるための電極が形成されて
いることを特徴とする。即ち、本発明の基体載置ステー
ジは、所謂、双極形式の静電チャックを有する。
According to a first aspect of the present invention, there is provided a substrate mounting stage comprising a composite member, having an electrostatic chuck function, and having temperature control means. The member includes a base material in which the structure of the ceramic member is filled with an aluminum-based material, and a ceramic layer formed on the surface of the base material by a thermal spraying method. It has a structure in which a second ceramic layer is laminated, and an electrode for causing the ceramic layer to exhibit an electrostatic chuck function is formed between the first ceramic layer and the second ceramic layer. It is characterized by the following. That is, the substrate mounting stage of the present invention has a so-called bipolar electrostatic chuck.

【0013】本発明の基体載置ステージにおいては、セ
ラミックス層に静電チャック機能を発揮させるために、
電極に正又は負の直流電流を流す。
In the substrate mounting stage of the present invention, in order for the ceramic layer to exhibit an electrostatic chucking function,
A positive or negative DC current is applied to the electrodes.

【0014】本発明の基体載置ステージにおいては、温
度制御手段をヒータとすることができる。ヒータを複合
部材の外部に配設してもよいし、母材の内部に配設して
もよく、後者の場合、母材の線膨張率をα1[単位:1
-6/K]としたとき、ヒータを構成する材料の線膨張
率αH[単位:10-6/K]は(α1−4)≦αH≦(α1
+4)を満足することが好ましい。ここで、ヒータを構
成する材料とは、母材と接するヒータの部分(例えば鞘
管)を構成する材料を意味する。以下においても同様で
ある。あるいは又、温度制御手段は、母材の内部に配設
された温度制御用熱媒体を流す配管から構成されてお
り、母材の線膨張率をα1[単位:10- 6/K]とした
とき、配管の線膨張率αP[単位:10-6/K]は(α1
−4)≦αP≦(α1+4)を満足することが好ましい。
母材の線膨張率α1とヒータを構成する材料や配管の線
膨張率αH,αPとがこれらの関係を満足することによっ
て、セラミックス層に損傷が発生することを効果的に防
止することができる。
In the substrate mounting stage of the present invention, the temperature control means can be a heater. The heater may be provided outside the composite member or inside the base material. In the latter case, the linear expansion coefficient of the base material is α 1 [unit: 1
0 −6 / K], the linear expansion coefficient α H [unit: 10 −6 / K] of the material constituting the heater is (α 1 -4) ≦ α H ≦ (α 1
+4) is preferably satisfied. Here, the material forming the heater means a material forming a portion (for example, a sheath tube) of the heater in contact with the base material. The same applies to the following. Alternatively, the temperature control means, flow temperature control heat medium disposed in the interior of the base material is composed of a pipe, 1 linear expansion coefficient of the base material alpha [Unit: 10 - 6 / K] and Then, the linear expansion coefficient α P [unit: 10 −6 / K] of the pipe is (α 1
-4) ≦ α P ≦ (α 1 +4).
When the linear expansion coefficient α 1 of the base material and the linear expansion coefficients α H and α P of the material and the pipe constituting the heater satisfy these relationships, it is possible to effectively prevent the ceramic layer from being damaged. be able to.

【0015】一般に、線膨張率αは、物体の長さをL、
0゜Cにおける物体の長さをL0、θを温度としたと
き、α=(dL/dθ)/L0で表すことができ、単位
はK-1(1/K)であるが、本明細書では、10-6/K
を単位として線膨張率を表現している。以下、線膨張率
を説明するとき、単位を省略して説明する場合もある。
In general, the coefficient of linear expansion α is represented by L,
Assuming that the length of the object at 0 ° C. is L 0 and θ is the temperature, α = (dL / dθ) / L 0 , and the unit is K −1 (1 / K). In the description, 10 -6 / K
The linear expansion coefficient is expressed in units of. Hereinafter, when the linear expansion coefficient is described, the unit may be omitted in some cases.

【0016】上記の目的を達成するための本発明の基体
載置ステージの作製方法は、複合部材から構成され、静
電チャック機能を有し、温度制御手段を備えた基体載置
ステージであって、該複合部材は、セラミックス部材の
組織中にアルミニウム系材料が充填された母材と、該母
材の表面に溶射法にて形成されたセラミックス層とから
成り、該セラミックス層は、第1のセラミックス層と第
2のセラミックス層とが積層された構造を有し、第1の
セラミックス層と第2のセラミックス層との間には、セ
ラミックス層に静電チャック機能を発揮させるための電
極が形成された基体載置ステージの作製方法であって、
(A)セラミックス部材の組織中にアルミニウム系材料
を充填し、以て、セラミックス部材の組織中にアルミニ
ウム系材料が充填された母材を作製する工程と、(B)
該母材の表面に溶射法にて第1のセラミックス層を形成
した後、該第1のセラミックス層上に電極を形成し、次
いで、該電極を含む第1のセラミックス層上に溶射法に
て第2のセラミックス層を形成する工程、を具備するこ
とを特徴とする。
A method for manufacturing a substrate mounting stage according to the present invention for achieving the above object is a substrate mounting stage comprising a composite member, having an electrostatic chuck function, and provided with a temperature control means. The composite member includes a base material in which the structure of the ceramic member is filled with an aluminum-based material, and a ceramic layer formed on the surface of the base material by a thermal spraying method. It has a structure in which a ceramic layer and a second ceramic layer are laminated, and an electrode is formed between the first ceramic layer and the second ceramic layer so that the ceramic layer exerts an electrostatic chuck function. A method for producing a substrate mounting stage, comprising:
(A) a step of filling an aluminum-based material in the structure of a ceramic member to thereby prepare a base material in which the structure of the ceramic member is filled with an aluminum-based material;
After forming a first ceramics layer on the surface of the base material by a thermal spraying method, an electrode is formed on the first ceramics layer, and then on the first ceramics layer including the electrode by a thermal spraying method. Forming a second ceramics layer.

【0017】本発明の基体載置ステージの作製方法にお
いては、電極の形成方法として、溶射法、ロウ付け法、
メッキ法あるいは印刷法を例示することができる。
In the method of manufacturing a substrate mounting stage according to the present invention, as a method of forming an electrode, a spraying method, a brazing method,
A plating method or a printing method can be exemplified.

【0018】本発明の基体載置ステージあるいはその作
製方法においては、母材の線膨張率をα1[単位:10
-6/K]としたとき、第1のセラミックス層の線膨張率
α21[単位:10-6/K]は(α1−4)≦α21≦(α1
+4)を満足し、第2のセラミックス層の線膨張率α22
[単位:10-6/K]は(α1−4)≦α22≦(α1
4)を満足することが好ましい。これによって、例えば
650゜C程度の高温にて使用しても、母材の線膨張率
α1とセラミックス層の線膨張率α21,α22の差に起因
したセラミックス層の損傷発生を確実に防止することが
可能となる。また、電極を構成する材料の線膨張率[単
位:10-6/K]も、母材の線膨張率をα 1[単位:1
-6/K]としたとき、(α1−4)以上、(α1+4)
以下の範囲内にあることが望ましいが、電極の熱膨張が
セラミックス層や母材に影響を与えない程度に電極の厚
さが薄ければ、材料の線膨張率はこのような範囲から外
れていても、問題は生じ難い。
The substrate mounting stage of the present invention or a product
In the manufacturing method, the coefficient of linear expansion of the base material is α1[Unit: 10
-6/ K], the coefficient of linear expansion of the first ceramic layer
αtwenty one[Unit: 10-6/ K] is (α1-4) ≦ αtwenty one≤ (α1
+4), and the coefficient of linear expansion α of the second ceramics layertwenty two
[Unit: 10-6/ K] is (α1-4) ≦ αtwenty two≤ (α1+
It is preferable to satisfy 4). This allows, for example,
Even when used at a high temperature of about 650 ° C, the coefficient of linear expansion of the base material
α1And coefficient of linear expansion of ceramic layer αtwenty one, Αtwenty twoDue to the difference in
To ensure that the damaged ceramic layer is not damaged.
It becomes possible. Also, the coefficient of linear expansion of the material constituting the electrode [
Rank: 10-6/ K] also gives the coefficient of linear expansion of the base material as α 1[Unit: 1
0-6/ K], (α1-4) and (α)1+4)
It is desirable to be within the following range.
The thickness of the electrode should not affect the ceramic layer and the base material.
If the material is thin, the coefficient of linear expansion of the material may fall outside this range.
Even if they are, problems are unlikely to occur.

【0019】本発明の基体載置ステージあるいはその作
製方法においては、母材を構成するセラミックス部材の
組成をコージエライトセラミックスとし、母材を構成す
るアルミニウム系材料の組成をアルミニウム(Al)及
びケイ素(Si)とし、第1のセラミックス層及び第2
のセラミックス層を構成する材料をAl23とすること
ができる。尚、第1のセラミックス層及び/又は第2の
セラミックス層を構成する材料には、第1のセラミック
ス層及び/又は第2のセラミックス層の線膨張率α21
α22や電気特性を調整するために、例えば、TiO2
添加してもよい。(α1−4)≦α21≦(α1+4)及び
(α1−4)≦α22≦(α1+4)の関係を満足するよう
に、コージエライトセラミックスとアルミニウム系材料
との容積比を決定することが望ましい。あるいは又、コ
ージエライトセラミックス/アルミニウム系材料の容積
比を、25/75乃至75/25、好ましくは25/7
5乃至50/50とすることが望ましい。このような容
積比にすることによって、母材の線膨張率の制御だけで
なく、母材は、純粋なセラミックスの電気伝導度や熱伝
導度よりも金属に近づいた値を有するようになる。その
結果、このような母材には、電圧の印加は勿論のこと、
バイアスの印加も可能となる。更には、アルミニウム系
材料を基準としたとき、アルミニウム系材料には、ケイ
素が12乃至35体積%、好ましくは16乃至35体積
%、一層好ましくは20乃至35体積%含まれているこ
とが、(α1−4)≦α21≦(α1+4)及び(α1
4)≦α2 2≦(α1+4)の関係を満足する上で望まし
い。尚、実際には、コージエライトセラミックスから成
るセラミックス部材の組織中に、アルミニウム(Al)
及びケイ素(Si)が充填され、アルミニウム(Al)
中にケイ素(Si)が含まれているわけではないが、ア
ルミニウム系材料におけるアルミニウム(Al)とケイ
素(Si)の容積比を表すために、アルミニウム系材料
にはケイ素が含まれているという表現を用いる。以下に
おいても同様である。
In the substrate mounting stage or the method of manufacturing the same according to the present invention, the composition of the ceramic member constituting the base material is cordierite ceramic and the composition of the aluminum-based material constituting the base material is aluminum (Al) and silicon. (Si), the first ceramic layer and the second
The material constituting the ceramic layer may be Al 2 O 3 . The material constituting the first ceramic layer and / or the second ceramic layer includes a linear expansion coefficient α 21 of the first ceramic layer and / or the second ceramic layer,
To adjust the alpha 22 and electrical properties, for example, it may be added TiO 2. The volume of the cordierite ceramic and the aluminum-based material is set so as to satisfy the relationship of (α 1 -4) ≦ α 21 ≦ (α 1 +4) and (α 1 -4) ≦ α 22 ≦ (α 1 +4). It is desirable to determine the ratio. Alternatively, the volume ratio of cordierite ceramics / aluminum-based material is 25/75 to 75/25, preferably 25/7.
It is desirable to set it to 5 to 50/50. With such a volume ratio, not only the control of the coefficient of linear expansion of the base material, but also the base material has a value closer to the metal than the electrical conductivity or thermal conductivity of pure ceramics. As a result, not only the application of a voltage to such a base material,
A bias can also be applied. Further, based on an aluminum-based material, the aluminum-based material contains 12 to 35% by volume, preferably 16 to 35% by volume, and more preferably 20 to 35% by volume of silicon. α 1 -4) ≦ α 21 ≦ (α 1 +4) and (α 1
4) ≦ α 2 2 ≦ ( α 1 +4) desirable for satisfying the relationship. Incidentally, actually, aluminum (Al) is contained in the structure of the ceramic member made of cordierite ceramics.
And silicon (Si), and aluminum (Al)
Although silicon (Si) is not contained therein, in order to express the volume ratio of aluminum (Al) to silicon (Si) in an aluminum-based material, the expression that aluminum-based material contains silicon is used. Is used. The same applies to the following.

【0020】母材を構成するセラミックス部材の組成を
コージエライトセラミックスとし、母材を構成するアル
ミニウム系材料の組成をアルミニウム(Al)及びケイ
素(Si)とする場合、上記の工程(A)は、容器の中
に多孔質のコージエライトセラミックスを組成としたセ
ラミックス部材を配し、容器内に溶融したアルミニウム
とケイ素とを組成としたアルミニウム系材料を流し込
み、高圧鋳造法にてセラミックス部材中にアルミニウム
系材料を充填する工程から成ることが好ましい。この場
合、セラミックス部材は、例えば、金型プレス成形法、
静水圧成形法(CIP法あるいはラバープレス成形法と
も呼ばれる)、鋳込み成形法(スリップキャスティング
法とも呼ばれる)、あるいは泥漿鋳込み成形法によって
コージエライトセラミックスを成形した後、焼成(焼
結)を行うことによって得ることができる。
When the composition of the ceramic member forming the base material is cordierite ceramics and the composition of the aluminum-based material forming the base material is aluminum (Al) and silicon (Si), the above step (A) is performed in A ceramic member composed of porous cordierite ceramics is placed in a container, and an aluminum-based material composed of molten aluminum and silicon is poured into the container, and the ceramic member is formed by high-pressure casting. Preferably, the method comprises a step of filling an aluminum-based material. In this case, the ceramic member is, for example, a die press molding method,
Forming cordierite ceramics by isostatic pressing (also called CIP method or rubber press forming method), casting method (also called slip casting method), or slurry casting method, and then firing (sintering). Can be obtained by:

【0021】尚、セラミックス部材を、コージエライト
セラミックス粉末を成形した後、焼成することにより作
製することができるが、コージエライトセラミックス粉
末とコージエライトセラミックス繊維との混合物を焼成
(焼結)することにより作製することが、多孔質のセラ
ミックス部材を得る上で、また、母材作製の際にセラミ
ックス部材に損傷が発生することを防ぐ上で、好まし
い。後者の場合、焼成体(焼結体)におけるコージエラ
イトセラミックス繊維の割合は、1乃至20体積%、好
ましくは1乃至10体積%、一層好ましくは1乃至5体
積%であることが望ましい。また、コージエライトセラ
ミックス粉末の平均粒径は1乃至100μm、好ましく
は5乃至50μm、一層好ましくは5乃至10μmであ
り、コージエライトセラミックス繊維の平均直径は2乃
至10μm、好ましくは3乃至5μmであり、平均長さ
は0.1乃至10mm、好ましくは1乃至2mmである
ことが望ましい。更には、コージエライトセラミックス
粉末とコージエライトセラミックス繊維との混合物を8
00乃至1200゜C、好ましくは800乃至1100
゜Cにて焼成(焼結)することが望ましい。また、セラ
ミックス部材の空孔率は25乃至75%、好ましくは5
0乃至75%であることが望ましい。
The ceramic member can be prepared by forming cordierite ceramic powder and then firing the same. However, a mixture of cordierite ceramic powder and cordierite ceramic fibers is fired (sintered). This is preferable in order to obtain a porous ceramic member and to prevent the ceramic member from being damaged when the base material is manufactured. In the latter case, the ratio of the cordierite ceramic fibers in the fired body (sintered body) is desirably 1 to 20% by volume, preferably 1 to 10% by volume, and more preferably 1 to 5% by volume. The average particle diameter of the cordierite ceramic powder is 1 to 100 μm, preferably 5 to 50 μm, more preferably 5 to 10 μm, and the average diameter of the cordierite ceramic fibers is 2 to 10 μm, preferably 3 to 5 μm. The average length is desirably 0.1 to 10 mm, preferably 1 to 2 mm. Further, a mixture of cordierite ceramics powder and cordierite ceramics fiber is mixed with 8
00 to 1200 ° C, preferably 800 to 1100
It is desirable to fire (sinter) at ゜ C. The porosity of the ceramic member is 25 to 75%, preferably 5 to 75%.
It is desirably 0 to 75%.

【0022】また、容器内に溶融したアルミニウム系材
料を流し込む際のセラミックス部材の温度を500乃至
1000゜C、好ましくは700乃至800゜Cとし、
容器内に溶融したアルミニウム系材料を流し込む際のア
ルミニウム系材料の温度を700乃至1000゜C、好
ましくは750乃至900゜Cとし、高圧鋳造法にてセ
ラミックス部材中にアルミニウム系材料を充填する際に
加える絶対圧を200乃至1500kgf/cm2、好
ましくは800乃至1000kgf/cm2とすること
が望ましい。
The temperature of the ceramic member when the molten aluminum material is poured into the container is set to 500 to 1000 ° C., preferably 700 to 800 ° C.
When the temperature of the aluminum-based material at the time of pouring the molten aluminum-based material into the container is set to 700 to 1000 ° C., preferably 750 to 900 ° C., The absolute pressure to be applied is desirably 200 to 1500 kgf / cm 2 , preferably 800 to 1000 kgf / cm 2 .

【0023】あるいは又、母材を構成するセラミックス
部材の組成を窒化アルミニウム(AlN)とし、母材を
構成するアルミニウム系材料の組成をアルミニウム(A
l)あるいはアルミニウム(Al)とケイ素(Si)と
し、第1のセラミックス層及び第2のセラミックス層を
構成する材料をAl23又は窒化アルミニウム(Al
N)とすることができる。尚、第1のセラミックス層及
び/又は第2のセラミックス層を構成する材料には、第
1のセラミックス層及び/又は第2のセラミックス層の
線膨張率α21,α22や電気特性を調整するために、例え
ば、TiO2やYxyを添加してもよい。この場合、
(α1−4)≦α21≦(α1+4)及び(α1−4)≦α
22≦(α1+4)の関係を満足するように、窒化アルミ
ニウムとアルミニウム系材料との容積比を決定すること
が好ましい。あるいは又、窒化アルミニウム/アルミニ
ウム系材料の容積比を、40/60乃至80/20、好
ましくは60/40乃至70/30とすることが望まし
い。このような容積比にすることによって、母材の線膨
張率の制御だけでなく、母材は、純粋なセラミックスの
電気伝導度や熱伝導度よりも金属に近づいた値を有する
ようになり、このような母材には電圧の印加は勿論のこ
と、バイアスの印加も可能となる。尚、母材を構成する
アルミニウム系材料の組成をアルミニウム及びケイ素と
する場合、アルミニウム系材料にはケイ素が12乃至3
5体積%、好ましくは16乃至35体積%、一層好まし
くは20乃至35体積%含まれていることが、(α1
4)≦α2≦(α1+4)を満足する上で望ましい。
Alternatively, the composition of the ceramic member forming the base material is aluminum nitride (AlN), and the composition of the aluminum-based material forming the base material is aluminum (A
l) or aluminum (Al) and silicon (Si), and the material constituting the first ceramic layer and the second ceramic layer is Al 2 O 3 or aluminum nitride (Al).
N). In addition, the material constituting the first ceramic layer and / or the second ceramic layer is adjusted to have linear expansion coefficients α 21 and α 22 and electric characteristics of the first ceramic layer and / or the second ceramic layer. For this purpose, for example, TiO 2 or Y x O y may be added. in this case,
1 -4) ≦ α 21 ≦ (α 1 +4) and (α 1 -4) ≦ α
It is preferable to determine the volume ratio between aluminum nitride and the aluminum-based material so as to satisfy the relationship of 22 ≦ (α 1 +4). Alternatively, the volume ratio of the aluminum nitride / aluminum-based material is desirably 40/60 to 80/20, preferably 60/40 to 70/30. By adopting such a volume ratio, not only the control of the coefficient of linear expansion of the base material, but also the base material has a value closer to the metal than the electrical conductivity or thermal conductivity of pure ceramics, A bias can be applied to such a base material as well as a voltage. In addition, when the composition of the aluminum-based material constituting the base material is aluminum and silicon, the aluminum-based material contains 12 to 3 silicon.
5% by volume, preferably 16 to 35% by volume, and more preferably 20 to 35% by volume, (α 1
4) It is desirable to satisfy ≦ α 2 ≦ (α 1 +4).

【0024】母材を構成するセラミックス部材の組成を
窒化アルミニウム(AlN)とし、母材を構成するアル
ミニウム系材料の組成をアルミニウム(Al)又はアル
ミニウム(Al)とケイ素(Si)とした場合、前述の
工程(A)は、非加圧金属浸透法に基づき、窒化アルミ
ニウム粒子から成形されたセラミックス部材に溶融した
アルミニウム又はアルミニウムとケイ素を組成としたア
ルミニウム系材料を非加圧状態にて浸透させる工程から
成ることが好ましい。尚、セラミックス部材は、例え
ば、金型プレス成形法、静水圧成形法、鋳込み成形法、
あるいは泥漿鋳込み成形法によって成形した後、500
乃至1000゜C、好ましくは800乃至1000゜C
の温度で焼成(焼結)を行うことによって得ることがで
きる。この場合、窒化アルミニウム粒子の平均粒径は1
0乃至100μm、好ましくは10乃至50μm、一層
好ましくは10乃至20μmであることが望ましい。
When the composition of the ceramic member forming the base material is aluminum nitride (AlN) and the composition of the aluminum-based material forming the base material is aluminum (Al) or aluminum (Al) and silicon (Si), Step (A) is a step of infiltrating a molten aluminum or an aluminum-based material composed of aluminum and silicon into a ceramic member formed from aluminum nitride particles in a non-pressurized state based on a non-pressurized metal infiltration method. It preferably comprises In addition, the ceramic member is, for example, a mold press molding method, a hydrostatic molding method, a casting molding method,
Alternatively, after forming by a slurry casting method, 500
To 1000 ° C, preferably 800 to 1000 ° C
By sintering at a temperature of In this case, the average particle size of the aluminum nitride particles is 1
It is desirable that the thickness be 0 to 100 μm, preferably 10 to 50 μm, and more preferably 10 to 20 μm.

【0025】あるいは又、母材を構成するセラミックス
部材の組成を炭化ケイ素(SiC)とし、母材を構成す
るアルミニウム系材料の組成をアルミニウム(Al)又
はアルミニウム(Al)とケイ素(Si)とし、第1の
セラミックス層及び第2のセラミックス層を構成する材
料をAl23又は窒化アルミニウム(AlN)とするこ
とができる。尚、第1のセラミックス層及び/又は第2
のセラミックス層を構成する材料には、第1のセラミッ
クス層及び/又は第2のセラミックス層の線膨張率
α21,α22や電気特性を調整するために、例えば、Ti
2を添加してもよい。この場合、(α1−4)≦α21
(α1+4)及び(α1−4)≦α22≦(α1+4)を満
足するように、炭化ケイ素とアルミニウム系材料との容
積比を決定することが好ましい。あるいは又、炭化ケイ
素/アルミニウム系材料の容積比を、40/60乃至8
0/20、好ましくは60/40乃至70/30とする
ことが望ましい。このような容積比にすることによっ
て、母材の線膨張率の制御だけでなく、母材は、純粋な
セラミックスの電気伝導度や熱伝導度よりも金属に近づ
いた値を有するようになり、このような母材には電圧の
印加は勿論のこと、バイアスの印加も可能となる。尚、
母材を構成するアルミニウム系材料の組成をアルミニウ
ム及びケイ素とする場合、アルミニウム系材料にはケイ
素が12乃至35体積%、好ましくは16乃至35体積
%、一層好ましくは20乃至35体積%含まれているこ
とが、(α1−4)≦α21≦(α1+4)及び(α1
4)≦α22≦(α1+4)を満足する上で望ましい。
Alternatively, the composition of the ceramic member forming the base material is silicon carbide (SiC), and the composition of the aluminum-based material forming the base material is aluminum (Al) or aluminum (Al) and silicon (Si); The material forming the first ceramic layer and the second ceramic layer can be Al 2 O 3 or aluminum nitride (AlN). The first ceramic layer and / or the second
In order to adjust the linear expansion coefficients α 21 and α 22 and the electrical characteristics of the first ceramic layer and / or the second ceramic layer, for example, Ti
O 2 may be added. In this case, (α 1 -4) ≦ α 21
It is preferable to determine the volume ratio between silicon carbide and the aluminum-based material so as to satisfy (α 1 +4) and (α 1 -4) ≦ α 22 ≦ (α 1 +4). Alternatively, the volume ratio of silicon carbide / aluminum-based material is set to 40/60 to 8
0/20, preferably 60/40 to 70/30. By adopting such a volume ratio, not only the control of the coefficient of linear expansion of the base material, but also the base material has a value closer to the metal than the electrical conductivity or thermal conductivity of pure ceramics, A bias can be applied to such a base material as well as a voltage. still,
When the composition of the aluminum-based material constituting the base material is aluminum and silicon, the aluminum-based material contains silicon in an amount of 12 to 35% by volume, preferably 16 to 35% by volume, and more preferably 20 to 35% by volume. That (α 1 -4) ≦ α 21 ≦ (α 1 +4) and (α 1
4) It is desirable to satisfy ≦ α 22 ≦ (α 1 +4).

【0026】この場合、工程(A)は、非加圧金属浸透
法に基づき、炭化ケイ素粒子から成形されたセラミック
ス部材に溶融したアルミニウム又はアルミニウムとケイ
素とを組成としたアルミニウム系材料を非加圧状態にて
浸透させる工程から成ることが好ましい。あるいは、工
程(A)は、容器の中に炭化ケイ素を組成としたセラミ
ックス部材を配し、該容器内に溶融したアルミニウム又
はアルミニウムとケイ素とを組成としたアルミニウム系
材料を流し込み、高圧鋳造法にてセラミックス部材中に
アルミニウム系材料を充填する工程から成ることが好ま
しく、この場合、容器内に溶融したアルミニウム系材料
を流し込む際のセラミックス部材の温度を500乃至1
000゜Cとし、高圧鋳造法にてセラミックス部材中に
アルミニウム系材料を充填する際に加える絶対圧を20
0乃至1500kgf/cm2とすることが望ましい。
セラミックス部材は、例えば、金型プレス成形法、静水
圧成形法、鋳込み成形法、あるいは泥漿鋳込み成形法に
よって成形した後、500乃至1000゜C、好ましく
は800乃至1000゜Cの温度で焼成を行うことによ
って得ることができる。この場合、母材の線膨張率をα
1[単位:10-6/K]としたとき、第1及び第2のセ
ラミックス層の線膨張率α21,α22[単位:10-6
K]が(α1−4)≦α21≦(α1+4)及び(α1
4)≦α22≦(α1+4)を満足するように、炭化ケイ
素粒子とアルミニウム系材料との容積比を決定すること
が望ましい。若しくは、炭化ケイ素粒子/アルミニウム
系材料の容積比は、40/60乃至80/20、好まし
くは60/40乃至70/30であることが望ましい。
尚、炭化ケイ素粒子の平均粒径は1乃至100μm、好
ましくは10乃至80μm、一層好ましくは15乃至6
0μmであることが望ましい。母材を構成するアルミニ
ウム系材料の組成をアルミニウム及びケイ素とする場
合、アルミニウム系材料にはケイ素が12乃至35体積
%、好ましくは16乃至35体積%、一層好ましくは2
0乃至35体積%含まれていることが、(α1−4)≦
α21≦(α1+4)及び(α1−4)≦α22≦(α1
4)を満足する上で望ましい。
In this case, in the step (A), based on a non-pressurized metal infiltration method, molten aluminum or an aluminum-based material containing aluminum and silicon in a ceramic member formed from silicon carbide particles is subjected to non-pressurizing. Preferably, the method comprises a step of infiltrating in a state. Alternatively, in the step (A), a ceramic member composed of silicon carbide is placed in a container, and molten aluminum or an aluminum-based material composed of aluminum and silicon is poured into the container. And filling the ceramic member with an aluminum-based material by heating. In this case, when the molten aluminum-based material is poured into the container, the temperature of the ceramic member is set to 500 to 1
2,000 ° C, and the absolute pressure applied when filling the ceramic material with the aluminum-based material by the high pressure casting method is 20
It is desirable to set it to 0 to 1500 kgf / cm 2 .
The ceramic member is formed, for example, by a die press molding method, a hydrostatic molding method, a casting method, or a slurry casting method, and then fired at a temperature of 500 to 1000 ° C, preferably 800 to 1000 ° C. Can be obtained by: In this case, the coefficient of linear expansion of the base material is α
1 [unit: 10 -6 / K] when the linear expansion coefficient of the first and second ceramic layers alpha 21, alpha 22 [unit: 10 -6 /
K] is (α 1 -4) ≦ α 21 ≦ (α 1 +4) and (α 1
4) It is desirable to determine the volume ratio between the silicon carbide particles and the aluminum-based material so as to satisfy ≦ α 22 ≦ (α 1 +4). Alternatively, the volume ratio of silicon carbide particles / aluminum-based material is desirably 40/60 to 80/20, preferably 60/40 to 70/30.
The average particle size of the silicon carbide particles is 1 to 100 μm, preferably 10 to 80 μm, and more preferably 15 to 6 μm.
Desirably, it is 0 μm. When the composition of the aluminum-based material constituting the base material is aluminum and silicon, the aluminum-based material contains silicon in an amount of 12 to 35% by volume, preferably 16 to 35% by volume, and more preferably 2 to 35% by volume.
0 to 35% by volume, (α 1 -4) ≦
α 21 ≦ (α 1 +4) and (α 1 -4) ≦ α 22 ≦ (α 1 +
It is desirable to satisfy 4).

【0027】あるいは又、母材を構成するセラミックス
部材の組成は酸化アルミニウム(Al23)であり、母
材を構成するアルミニウム系材料の組成はアルミニウム
(Al)又はアルミニウム(Al)とケイ素(Si)で
あり、第1のセラミックス層及び第2のセラミックス層
を構成する材料はAl23とすることができる。尚、第
1のセラミックス層及び/又は第2のセラミックス層を
構成する材料には、第1のセラミックス層及び/又は第
2のセラミックス層の線膨張率α21,α22や電気特性を
調整するために、例えば、TiO2を添加してもよい。
この場合、(α1−4)≦α21≦(α1+4)及び(α1
−4)≦α22≦(α1+4)を満足するように、酸化ア
ルミニウムとアルミニウム系材料との容積比を決定する
ことが好ましい。あるいは又、酸化アルミニウム/アル
ミニウム系材料の容積比を、50/50乃至90/1
0、好ましくは70/30乃至85/15とすることが
望ましい。このような容積比にすることによって、母材
の線膨張率の制御だけでなく、母材は、純粋なセラミッ
クスの電気伝導度や熱伝導度よりも金属に近づいた値を
有するようになり、このような母材には電圧の印加は勿
論のこと、バイアスの印加も可能となる。尚、母材を構
成するアルミニウム系材料の組成をアルミニウム及びケ
イ素とする場合、アルミニウム系材料にはケイ素が12
乃至35体積%、好ましくは16乃至35体積%、一層
好ましくは20乃至35体積%含まれていることが、
(α1−4)≦α21≦(α1+4)及び(α1−4)≦α
22≦(α1+4)を満足する上で望ましい。尚、酸化ア
ルミニウムの平均粒径は1乃至100μm、好ましくは
10乃至80μm、一層好ましくは10乃至60μmで
あることが望ましい。
Alternatively, the composition of the ceramic member forming the base material is aluminum oxide (Al 2 O 3 ), and the composition of the aluminum-based material forming the base material is aluminum (Al) or aluminum (Al) and silicon (Al). Si), and the material constituting the first ceramic layer and the second ceramic layer can be Al 2 O 3 . In addition, the material constituting the first ceramic layer and / or the second ceramic layer is adjusted to have linear expansion coefficients α 21 and α 22 and electric characteristics of the first ceramic layer and / or the second ceramic layer. For this purpose, for example, TiO 2 may be added.
In this case, (α 1 -4) ≦ α 21 ≦ (α 1 +4) and (α 1
It is preferable to determine the volume ratio between aluminum oxide and the aluminum-based material so as to satisfy -4) ≦ α 22 ≦ (α 1 +4). Alternatively, the volume ratio of aluminum oxide / aluminum-based material is set to 50/50 to 90/1.
0, preferably 70/30 to 85/15. By adopting such a volume ratio, not only the control of the coefficient of linear expansion of the base material, but also the base material has a value closer to the metal than the electrical conductivity or thermal conductivity of pure ceramics, A bias can be applied to such a base material as well as a voltage. When the composition of the aluminum-based material constituting the base material is aluminum and silicon, the aluminum-based material contains 12
To 35% by volume, preferably 16 to 35% by volume, more preferably 20 to 35% by volume,
1 -4) ≦ α 21 ≦ (α 1 +4) and (α 1 -4) ≦ α
It is desirable to satisfy 22 ≦ (α 1 +4). The average particle size of aluminum oxide is desirably 1 to 100 μm, preferably 10 to 80 μm, and more preferably 10 to 60 μm.

【0028】母材を構成するセラミックス部材の組成を
酸化アルミニウムとし、母材を構成するアルミニウム系
材料の組成をアルミニウム(Al)又はアルミニウム
(Al)とケイ素(Si)とする場合、上記の工程
(A)は、容器の中に多孔質の酸化アルミニウムを組成
としたセラミックス部材を配し、容器内に溶融したアル
ミニウム又はアルミニウムとケイ素とを組成としたアル
ミニウム系材料を流し込み、高圧鋳造法にてセラミック
ス部材中にアルミニウム系材料を充填する工程から成る
ことが好ましく、この場合、容器内に溶融したアルミニ
ウム系材料を流し込む際のセラミックス部材の温度を5
00乃至1000゜Cとし、高圧鋳造法にてセラミック
ス部材中にアルミニウム系材料を充填する際に加える絶
対圧を200乃至1500kgf/cm2とすることが
望ましい。あるいは又、前述の工程(A)は、非加圧金
属浸透法に基づき、酸化アルミニウム粒子から成形され
たセラミックス部材に溶融したアルミニウム又はアルミ
ニウムとケイ素を組成としたアルミニウム系材料を非加
圧状態にて浸透させる工程から成ることが好ましい。
尚、セラミックス部材は、例えば、金型プレス成形法、
静水圧成形法、鋳込み成形法、あるいは泥漿鋳込み成形
法によって成形した後、焼成(焼結)を行うことによっ
て得ることができる。
In the case where the composition of the ceramic member constituting the base material is aluminum oxide and the composition of the aluminum-based material forming the base material is aluminum (Al) or aluminum (Al) and silicon (Si), the above steps ( A) is a method in which a ceramic member having a composition of porous aluminum oxide is disposed in a container, and molten aluminum or an aluminum-based material having a composition of aluminum and silicon is poured into the container, and the ceramic is formed by high-pressure casting. Preferably, the method comprises a step of filling the member with an aluminum-based material. In this case, the temperature of the ceramic member at the time of pouring the molten aluminum-based material into the container is set at 5 ° C.
The temperature is preferably set to 00 to 1000 ° C., and the absolute pressure applied when filling the ceramic material with the aluminum material by the high-pressure casting method is preferably set to 200 to 1500 kgf / cm 2 . Alternatively, in the step (A) described above, based on a non-pressurized metal infiltration method, a ceramic member formed from aluminum oxide particles is melted into aluminum or an aluminum-based material containing aluminum and silicon in a non-pressurized state. It is preferred that the method comprises a step of infiltrating with water.
Incidentally, the ceramic member is, for example, a mold press molding method,
It can be obtained by performing baking (sintering) after forming by a hydrostatic molding method, a casting method, or a slurry casting method.

【0029】本発明の基体載置ステージあるいはその作
製方法においては、セラミックス部材の組織中にアルミ
ニウム系材料が充填された母材から複合部材を構成する
ことによって、母材はセラミックス部材とアルミニウム
系材料との中間的な性質を有するものとなり、例えば線
膨張率に関してもこれらの中間的な値に調整することが
可能となる。それ故、母材とセラミックス層との熱膨張
に起因したセラミックス層の損傷発生を回避でき、複合
部材を高温で確実に使用することが可能となる。しか
も、母材の表面に溶射法にてセラミックス層を形成する
ので、大面積の複合部材を作製することができ、基体の
大面積化に容易に対処することができる。更には、母材
は高い熱伝導率を有しているので、基体を効率よく加熱
することが可能である。また、セラミックス層が設けら
れているので、金属汚染の発生防止や、例えばハロゲン
系ガスによる複合部材の腐蝕発生を防止することができ
る。尚、(α1−4)≦α21≦(α1+4)及び(α1
4)≦α22≦(α1+4)の関係を満足することによっ
て、例えば650゜C程度の高温にて使用しても、母材
の線膨張率α1とセラミックス層の線膨張率α21,α22
の差に起因したセラミックス層の損傷発生を確実に防止
することができる。
In the substrate mounting stage or the method of manufacturing the same according to the present invention, the composite member is composed of a base material in which the structure of the ceramic member is filled with an aluminum-based material. Thus, for example, the coefficient of linear expansion can be adjusted to an intermediate value. Therefore, the occurrence of damage to the ceramic layer due to the thermal expansion between the base material and the ceramic layer can be avoided, and the composite member can be reliably used at a high temperature. In addition, since the ceramic layer is formed on the surface of the base material by the thermal spraying method, a large-area composite member can be manufactured, and it is possible to easily cope with an increase in the area of the base. Further, since the base material has a high thermal conductivity, it is possible to efficiently heat the base. Further, since the ceramic layer is provided, it is possible to prevent the occurrence of metal contamination and the occurrence of corrosion of the composite member due to, for example, a halogen-based gas. Note that (α 1 -4) ≦ α 21 ≦ (α 1 +4) and (α 1
4) By satisfying the relationship of ≦ α 22 ≦ (α 1 +4), even when used at a high temperature of, for example, about 650 ° C., the linear expansion coefficient α 1 of the base material and the linear expansion coefficient α 21 of the ceramic layer are obtained. , Α 22
It is possible to reliably prevent the ceramic layer from being damaged due to the difference between the two.

【0030】上記の目的を達成するための本発明の基体
処理方法は、基体を処理するための基体処理装置を用
い、該基体処理装置は基体載置ステージを備え、該基体
載置ステージは、複合部材から構成され、静電チャック
機能を有し、温度制御手段を備えており、該複合部材
は、セラミックス部材の組織中にアルミニウム系材料が
充填された母材と、該母材の表面に溶射法にて形成され
たセラミックス層とから成り、該セラミックス層は、第
1のセラミックス層と第2のセラミックス層とが積層さ
れた構造を有し、第1のセラミックス層と第2のセラミ
ックス層との間には、セラミックス層に静電チャック機
能を発揮させるための電極が形成されており、静電チャ
ック機能によって該基体載置ステージのセラミックス層
上に基体を固定し、基体載置ステージの温度を温度制御
手段によって制御した状態で、基体に対して処理を行う
ことを特徴とする。
A substrate processing method according to the present invention for achieving the above object uses a substrate processing apparatus for processing a substrate, the substrate processing apparatus includes a substrate mounting stage, and the substrate mounting stage comprises: It is composed of a composite member, has an electrostatic chuck function, is provided with a temperature control means, the composite member has a base material in which the structure of the ceramic member is filled with an aluminum-based material, and a surface of the base material A ceramic layer formed by a thermal spraying method, wherein the ceramic layer has a structure in which a first ceramic layer and a second ceramic layer are laminated, and the first ceramic layer and the second ceramic layer An electrode for exerting an electrostatic chuck function on the ceramic layer is formed between the ceramic layer and the substrate, and the substrate is fixed on the ceramic layer of the substrate mounting stage by the electrostatic chuck function. The temperature of the mounting stage in a state in which was controlled by temperature control means, and performs processing on the substrate.

【0031】本発明の基体処理方法ステージにおいて
は、セラミックス層に静電チャック機能を発揮させるた
めに、電極に正又は負の直流電流を流す。
In the substrate processing method stage of the present invention, a positive or negative direct current is applied to the electrodes in order to cause the ceramic layer to exhibit an electrostatic chuck function.

【0032】基体に対する処理としては、プラズマエッ
チング処理を含むエッチング処理、プラズマCVD処理
を含むCVD処理、あるいは、基体のソフトエッチング
処理を含むスパッタ処理とすることができる。基体に対
して処理を行う際の基体載置ステージの温度は、プラズ
マエッチング処理の場合、常温乃至650゜C、好まし
くは100乃至400゜C、一層好ましくは100乃至
300゜C、プラズマCVD処理の場合、常温乃至65
0゜C、好ましくは100乃至500゜C、一層好まし
くは200乃至500゜C、スパッタ処理の場合、常温
乃至650゜C、好ましくは200乃至600゜C、一
層好ましくは300乃至500゜Cに制御されているこ
とが望ましい。尚、基体載置ステージには温度制御手段
が配設され、この温度制御手段はヒータから構成されて
いることが好ましい。ヒータを複合部材の外部に配設し
てもよいし、母材の内部に配設してもよい。あるいは
又、温度制御手段は、温度制御用熱媒体を流す配管から
構成されていることが好ましい。ここで、基体載置ステ
ージとしては、具体的には、上述した本発明の基体載置
ステージを用いればよい。
The treatment for the substrate may be an etching process including a plasma etching process, a CVD process including a plasma CVD process, or a sputtering process including a soft etching process for the substrate. In the case of plasma etching, the temperature of the substrate mounting stage when processing the substrate is from room temperature to 650 ° C., preferably 100 to 400 ° C., and more preferably 100 to 300 ° C. In case, normal temperature to 65
0 ° C., preferably 100 to 500 ° C., more preferably 200 to 500 ° C., and in the case of a sputtering process, controlled at room temperature to 650 ° C., preferably 200 to 600 ° C., more preferably 300 to 500 ° C. It is desirable to have been. In addition, it is preferable that a temperature control means is provided on the substrate mounting stage, and the temperature control means is constituted by a heater. The heater may be provided outside the composite member, or may be provided inside the base material. Alternatively, the temperature control means is preferably constituted by a pipe through which a heat medium for temperature control flows. Here, as the substrate mounting stage, specifically, the above-described substrate mounting stage of the present invention may be used.

【0033】本発明における基体として、シリコン半導
体基板、GaAs基板等の化合物半導体若しくは半絶縁
性基板、SOI構造を有する半導体基板、ガラスや石英
から成る絶縁性基板、半導体基板や半絶縁性基板や絶縁
性基板の上に形成された各種の絶縁層や絶縁膜、導電性
薄膜や金属薄膜、金属化合物薄膜、これらの積層体を例
示することができる。絶縁層や絶縁膜としては、SiO
2、BPSG、PSG、BSG、AsSG、PbSG、
SbSG、NSG、SOG、LTO(Low Temperature
Oxide、低温CVD−SiO2)、SiN、SiON、比
誘電率が3.5以下の低誘電率絶縁材料(例えば、ポリ
アリールエーテル、シクロパーフルオロカーボンポリマ
ー、ベンゾシクロブテン)等の公知の材料、あるいはこ
れらの材料を積層したものを例示することができる。導
電性薄膜としては、例えば、不純物をドーピングされた
多結晶シリコンを例示することができる。また、金属薄
膜や金属化合物薄膜としては、Cu、Ti、TiN、B
ST(バリウム・ストロンチウム・チタン・オキサイ
ド)、STO(ストロンチウム・チタン・オキサイ
ド)、SBT(ストロンチウム・バリウム・タンタル・
オキサイド)、Pt、Al、例えば銅やケイ素を含有す
るアルミニウム合金、タングステン等の高融点金属、各
種シリサイドを例示することができる。更には、例えば
ポリイミドフィルム等のプラスチックフィルム上に成膜
あるいは積層された銅等、半導体装置の製造分野以外の
分野における材料にも本発明を適用することができる。
As the substrate in the present invention, a compound semiconductor or semi-insulating substrate such as a silicon semiconductor substrate or a GaAs substrate, a semiconductor substrate having an SOI structure, an insulating substrate made of glass or quartz, a semiconductor substrate, a semi-insulating substrate or an insulating substrate Examples include various insulating layers and insulating films formed on a conductive substrate, conductive thin films, metal thin films, metal compound thin films, and laminates thereof. As the insulating layer or the insulating film, SiO
2 , BPSG, PSG, BSG, AsSG, PbSG,
SbSG, NSG, SOG, LTO (Low Temperature
Oxide, low-temperature CVD-SiO 2 ), SiN, SiON, a known material such as a low dielectric constant insulating material having a relative dielectric constant of 3.5 or less (for example, polyarylether, cycloperfluorocarbon polymer, benzocyclobutene), or A material obtained by laminating these materials can be exemplified. Examples of the conductive thin film include polycrystalline silicon doped with impurities. Further, as a metal thin film or a metal compound thin film, Cu, Ti, TiN, B
ST (barium strontium titanium oxide), STO (strontium titanium oxide), SBT (strontium barium tantalum oxide)
Oxide), Pt, Al, for example, an aluminum alloy containing copper or silicon, a high melting point metal such as tungsten, and various silicides. Further, the present invention can be applied to materials in fields other than the field of manufacturing semiconductor devices, such as copper formed or laminated on a plastic film such as a polyimide film.

【0034】エッチング用ガスを用いたプラズマエッチ
ング法においては、エッチング生成物の堆積物がエッチ
ング装置のチャンバーの側壁や天板に過剰に堆積し、そ
の結果、この堆積物がパーティクル源となってしまい、
基体のエッチング加工を損なう原因となる虞がある。即
ち、エッチング生成物が、エッチング装置に設けられた
排気部に到達する以前に、チャンバー側壁や天板に堆積
してしまう。そのため、エッチングを繰り返すと、チャ
ンバー側壁や天板に堆積したエッチング生成物が剥がれ
落ち、パーティクル源となる結果、パーティクルレベル
が悪化するといった問題が生じる虞がある。あるいは
又、酸化膜のエッチング処理時にエッチング装置の側壁
等にポリマー等のプリカーサーが堆積すると、側壁等
が、恰もフルオロカーボンポリマー前駆体のスカベンジ
ャーとしての役割を果たし、その結果、例えば、プラズ
マ中の炭素/フッ素の比に変動が生じ、エッチング特性
が劣化するといった問題も生じる。そのため、側壁等を
高温加熱することによって、エッチング装置の側壁等に
入射、堆積したプリカーサーを脱離させ、堆積を防ぐ手
法が採られている。
In the plasma etching method using an etching gas, deposits of an etching product are excessively deposited on a side wall or a top plate of a chamber of an etching apparatus, and as a result, this deposit becomes a particle source. ,
There is a possibility that etching of the base may be impaired. That is, the etching product accumulates on the chamber side wall and the top plate before reaching the exhaust unit provided in the etching apparatus. Therefore, if the etching is repeated, the etching product deposited on the side wall of the chamber or the top plate may peel off and become a particle source, resulting in a problem that the particle level is deteriorated. Alternatively, when a precursor such as a polymer is deposited on the side wall of the etching apparatus during the etching process of the oxide film, the side wall or the like acts as a scavenger of the fluorocarbon polymer precursor, and as a result, for example, the carbon / There also arises a problem that the ratio of fluorine varies and the etching characteristics deteriorate. Therefore, a method is employed in which the side walls and the like are heated to a high temperature to desorb precursors that have entered and deposited on the side walls and the like of the etching apparatus, thereby preventing deposition.

【0035】このような場合には、基体処理装置の一部
であるチャンバー側壁や天板を、セラミックス部材の組
織中にアルミニウム系材料が充填された母材と、この母
材の表面に溶射法にて設けられたセラミックス層とから
成る複合材料から作製することが好ましい。このような
複合材料は、セラミックス層が1層である点、電極が形
成されていない点を除き、上述の複合部材と実質的に同
じ構成することができるし、上述の複合部材と実質的に
同じ作製方法にて作製することができる。尚、母材の線
膨張率をα’1[単位:10-6/K]としたとき、セラ
ミックス層の線膨張率α’2[単位:10-6/K]は
(α’1−4)≦α’2≦(α’1+4)を満足すること
が好ましい。複合材料には温度制御手段が配設され、こ
の温度制御手段はヒータから構成されていることが好ま
しい。ヒータを複合材料の外部に配設してもよいし、母
材の内部に配設してもよく、後者の場合、母材の線膨張
率をα’1[単位:10-6/K]としたとき、ヒータを
構成する材料の線膨張率αH[単位:10-6/K]は
(α’1−4)≦αH≦(α’1+4)の関係を満足する
ことが好ましい。あるいは又、母材の内部に温度制御用
熱媒体を流す配管を配設してもよく、この場合、母材の
線膨張率をα’1[単位:10-6/K]としたとき、配
管の線膨張率αP[単位:10-6/K]は(α’1−4)
≦αP≦(α’1+4)を満足することが好ましい。尚、
セラミックス層を溶射法にて母材の表面に形成するの
で、基体処理装置の寸法が大きくとも、基体処理装置を
容易に作製することができる。場合によっては、板状や
中空円筒状のセラミックス層をロウ付け法にて母材の表
面に取り付けてもよい。
In such a case, the side wall of the chamber or the top plate, which is a part of the substrate processing apparatus, is applied to a base material in which the structure of a ceramic member is filled with an aluminum-based material, and the surface of the base material is sprayed. It is preferable to manufacture from a composite material comprising the ceramic layer provided in the above. Such a composite material can have substantially the same configuration as the above-described composite member except that the ceramic layer is a single layer and no electrode is formed, and can be substantially the same as the above-described composite member. It can be manufactured by the same manufacturing method. Incidentally, the linear expansion coefficient of the base material alpha '1 [unit: 10 -6 / K] when the linear expansion coefficient alpha of the ceramic layer' 2 [unit: 10 -6 / K] is (alpha '1 -4 ) ≦ α ′ 2 ≦ (α ′ 1 +4). The composite material is provided with a temperature control means, which preferably comprises a heater. The heater may be provided outside the composite material or inside the base material. In the latter case, the linear expansion coefficient of the base material is α ′ 1 [unit: 10 −6 / K] In this case, the linear expansion coefficient α H [unit: 10 −6 / K] of the material constituting the heater preferably satisfies the relationship of (α ′ 1 -4) ≦ α H ≦ (α ′ 1 +4). . Alternatively, a pipe for flowing a heat medium for temperature control may be provided inside the base material. In this case, when the linear expansion coefficient of the base material is α ′ 1 [unit: 10 −6 / K], The coefficient of linear expansion of the pipe α P [unit: 10 −6 / K] is (α ′ 1 -4)
It is preferable to satisfy ≦ α P ≦ (α ′ 1 +4). still,
Since the ceramic layer is formed on the surface of the base material by the thermal spraying method, the substrate processing apparatus can be easily manufactured even if the dimensions of the substrate processing apparatus are large. In some cases, a plate-like or hollow cylindrical ceramic layer may be attached to the surface of the base material by a brazing method.

【0036】このように、複合材料からチャンバー側壁
や天板を作製することによって、母材はセラミックス部
材とアルミニウム系材料との中間的な性質を有するもの
となり、例えば線膨張率に関してもこれらの中間的な値
に調整することが可能となる。それ故、母材とセラミッ
クス層との熱膨張に起因したセラミックス層の損傷発生
を回避でき、しかも、エッチング生成物がチャンバー側
壁や天板に堆積することを防止するのに十分に高い温度
にチャンバー側壁や天板を保持しても、あるいは又、プ
リカーサーを脱離させるために十分に高い温度にチャン
バー側壁や天板を保持しても、セラミックス層に損傷が
生じることが無く、チャンバー側壁や天板を確実に所望
の温度に加熱することができる。
As described above, by forming the chamber side wall and the top plate from the composite material, the base material has an intermediate property between the ceramic member and the aluminum-based material. It can be adjusted to a typical value. Therefore, it is possible to avoid the occurrence of damage to the ceramic layer due to thermal expansion between the base material and the ceramic layer, and to set the chamber at a sufficiently high temperature to prevent etching products from depositing on the chamber side walls and the top plate. Even if the side wall and the top plate are held, or if the chamber side wall and the top plate are held at a temperature high enough to remove the precursor, the ceramic layer is not damaged, and the chamber side wall and the top plate are not damaged. The plate can be reliably heated to the desired temperature.

【0037】基体に対してプラズマエッチング処理又は
プラズマCVD処理を行う際の側壁及び/又は天板の温
度は、プラズマエッチング処理の場合、常温乃至650
゜C、好ましくは100乃至400゜C、一層好ましく
は100乃至300゜C、プラズマCVD処理の場合、
常温乃至650゜C、好ましくは100乃至500゜
C、一層好ましくは200乃至500゜Cに制御されて
いることが望ましい。
The temperature of the side wall and / or the top plate when performing the plasma etching process or the plasma CVD process on the substrate is from room temperature to 650 in the case of the plasma etching process.
゜ C, preferably 100-400 ゜ C, more preferably 100-300 、 C, for plasma CVD processing,
It is desirable that the temperature is controlled at room temperature to 650 ° C, preferably 100 to 500 ° C, and more preferably 200 to 500 ° C.

【0038】尚、従来のエッチング装置においては、チ
ャンバー側壁は、通常、ステンレススチールやアルミニ
ウムから作製されている。そして、例えばエッチング処
理中に、これらがプラズマに直接曝されることに起因し
た金属汚染の発生防止や、ハロゲン系ガスによるチャン
バー側壁の腐蝕の発生防止のために、アルミニウムから
作製されたチャンバー側壁の表面にAl23層(アルマ
イト層)を形成している。また、ステンレススチールか
らチャンバー側壁が作製されている場合には、Al23
製のリフレクターをエッチング装置の内部のチャンバー
側壁近傍に配設している。このような状態でチャンバー
側壁の高温加熱を行うと、チャンバー側壁がアルミニウ
ムから作製されている場合、アルミニウムとAl23
線膨張率の差に起因して、チャンバー側壁の表面に形成
されたAl23層に割れ等が生じ易い。また、Al23
製のリフレクターをエッチング装置の内部のチャンバー
側壁近傍に配設した場合、エッチング装置の外側からリ
フレクターを十分に加熱することは困難である。即ち、
リフレクターに入射したエッチング生成物をリフレクタ
ーから全て離脱させるような温度まで、あるいは又、リ
フレクターに入射したプリカーサーをリフレクターから
全て離脱させるような温度まで、リフレクターを加熱す
ることは難しく、高々100゜C程度までしかリフレク
ターを加熱することができない。
In the conventional etching apparatus, the side wall of the chamber is usually made of stainless steel or aluminum. Then, for example, during the etching process, in order to prevent the occurrence of metal contamination due to direct exposure to plasma and the occurrence of corrosion of the chamber side wall due to halogen-based gas, the chamber side wall made of aluminum is formed. An Al 2 O 3 layer (alumite layer) is formed on the surface. When the chamber side wall is made of stainless steel, Al 2 O 3
Reflector is disposed near the side wall of the chamber inside the etching apparatus. When the high temperature heating of the chamber side wall is performed in such a state, when the chamber side wall is made of aluminum, it is formed on the surface of the chamber side wall due to a difference in linear expansion coefficient between aluminum and Al 2 O 3 . Cracking or the like is likely to occur in the Al 2 O 3 layer. Also, Al 2 O 3
When a reflector made of aluminum is arranged near the side wall of the chamber inside the etching apparatus, it is difficult to sufficiently heat the reflector from outside the etching apparatus. That is,
It is difficult to heat the reflector to a temperature at which all the etching products incident on the reflector are released from the reflector, or a temperature at which all the precursors incident on the reflector are released from the reflector, at most about 100 ° C. The reflector can only be heated up to this point.

【0039】あるいは又、例えば、基体に対してプラズ
マエッチング処理を行う場合、基体処理装置の一部を平
行平板の上部対向電極から構成し、かかる上部対向電極
を、セラミックス部材の組織中にアルミニウム系材料が
充填された母材と、該母材の表面に溶射法にて形成され
たセラミックス層とから成る複合材料から作製すること
が好ましい。このような複合材料は、セラミックス層が
1層である点、電極が形成されていない点を除き、上述
の複合部材と実質的に同じ構成することができるし、上
述の複合部材と実質的に同じ作製方法にて作製すること
ができる。尚、母材の線膨張率をα”1[単位:10-6
/K]としたとき、セラミックス層の線膨張率α”
2[単位:10-6/K]は、(α”1−4)≦α”2
(α”1+4)を満足することが好ましい。この場合、
上部対向電極には温度制御手段が配設されていることが
好ましく、更には、この温度制御手段はヒータから構成
されていることが好ましい。これによって、例えば、上
部対向電極の表面に入射したプリカーサーを上部対向電
極から離脱させるような温度まで、上部対向電極を加熱
することが可能となる。ヒータを複合材料の外部に配設
してもよいし、母材の内部に配設してもよく、後者の場
合、母材の線膨張率をα”1[単位:10-6/K]とし
たとき、ヒータを構成する材料の線膨張率αH[単位:
10-6/K]は(α”1−4)≦αH≦(α”1+4)を
満足することが好ましい。母材の線膨張率α”1とヒー
タを構成する材料の線膨張率αHとがこの関係を満足す
ることによって、セラミックス層に損傷が発生すること
を効果的に防止することができる。尚、セラミックス層
を溶射法にて母材の表面に形成するので、基体処理装置
の寸法が大きくとも、上部対向電極を容易に作製するこ
とができる。場合によっては、板状のセラミックス層を
ロウ付け法にて母材の表面に取り付けてもよい。基体に
対してプラズマエッチング処理を行う際の上部対向電極
の温度は、常温乃至400゜C、好ましくは50乃至4
00゜C、一層好ましくは200乃至350゜Cに制御
されていることが望ましい。
Alternatively, for example, when performing a plasma etching process on a substrate, a part of the substrate processing apparatus is composed of a parallel plate upper counter electrode, and the upper counter electrode is made of aluminum-based material in the structure of the ceramic member. It is preferable to manufacture the composite material from a base material filled with a material and a ceramic layer formed on the surface of the base material by a thermal spraying method. Such a composite material can have substantially the same configuration as the above-described composite member except that the ceramic layer is a single layer and no electrode is formed, and can be substantially the same as the above-described composite member. It can be manufactured by the same manufacturing method. The coefficient of linear expansion of the base material is α ″ 1 [unit: 10 −6
/ K], the coefficient of linear expansion of the ceramic layer α ″
2 [unit: 10 −6 / K] is (α ″ 1 −4) ≦ α ″ 2
It is preferable that (α ″ 1 +4) be satisfied.
The upper counter electrode is preferably provided with a temperature control means, and more preferably, the temperature control means is constituted by a heater. Thus, for example, the upper counter electrode can be heated to a temperature at which the precursor incident on the surface of the upper counter electrode is separated from the upper counter electrode. The heater may be disposed outside the composite material or inside the base material. In the latter case, the linear expansion coefficient of the base material is α ″ 1 [unit: 10 −6 / K] , The linear expansion coefficient α H of the material constituting the heater [unit:
10 −6 / K] preferably satisfies (α ″ 1 −4) ≦ α H ≦ (α ″ 1 +4). By satisfying this relationship between the linear expansion coefficient α ″ 1 of the base material and the linear expansion coefficient α H of the material constituting the heater, it is possible to effectively prevent the ceramic layer from being damaged. Since the ceramic layer is formed on the surface of the base material by thermal spraying, the upper counter electrode can be easily manufactured even if the size of the substrate processing apparatus is large. The temperature of the upper counter electrode when performing plasma etching on the substrate may be from room temperature to 400 ° C., preferably from 50 to 4 ° C.
It is desirable that the temperature is controlled at 00 ° C, more preferably at 200 to 350 ° C.

【0040】[0040]

【発明の実施の形態】以下、図面を参照して、発明の実
施の形態(以下、実施の形態と略称する)に基づき本発
明を説明する。
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS The present invention will be described below with reference to the drawings based on embodiments of the present invention (hereinafter, abbreviated as embodiments).

【0041】(実施の形態1)実施の形態1における基
体処理装置においては、シリコン半導体基板上に形成さ
れた基体に対してエッチング処理を行う。この基体処理
装置は基体載置ステージを備えている。複合部材によっ
て構成される基体載置ステージ10の模式的な断面図を
図1の(A)に示し、複合部材の頂面端部の拡大断面図
を図1の(B)に示す。この基体載置ステージ10は、
複合部材11から構成され、静電チャック機能を有し、
温度制御手段を備えている。複合部材11は、セラミッ
クス部材の組織中にアルミニウム系材料が充填された母
材12(温度調節ジャケットに相当する)と、この母材
12の表面に溶射法にて設けられたセラミックス層13
とから成る。母材12の形状は円盤である。セラミック
ス層13は、第1のセラミックス層130Aと第2のセ
ラミックス層130Bとが積層された構造を有し、第1
のセラミックス層130Aと第2のセラミックス層13
0Bとの間には、セラミックス層に静電チャック機能を
発揮させるための電極14が形成されており、静電チャ
ック機能によって基体載置ステージ10上に基体(例え
ば、半導体基板40)を固定し、基体載置ステージ10
の温度を温度制御手段によって制御した状態で、基体に
対して処理を行う。
(Embodiment 1) In the substrate processing apparatus according to Embodiment 1, an etching process is performed on a substrate formed on a silicon semiconductor substrate. This substrate processing apparatus includes a substrate mounting stage. FIG. 1A is a schematic cross-sectional view of the substrate mounting stage 10 constituted by a composite member, and FIG. 1B is an enlarged cross-sectional view of the top end of the composite member. This substrate mounting stage 10
It is composed of a composite member 11 and has an electrostatic chuck function,
Temperature control means is provided. The composite member 11 includes a base material 12 (corresponding to a temperature control jacket) in which the structure of the ceramic member is filled with an aluminum-based material, and a ceramic layer 13 provided on the surface of the base material 12 by a thermal spraying method.
Consisting of The shape of the base material 12 is a disk. The ceramic layer 13 has a structure in which a first ceramic layer 130A and a second ceramic layer 130B are laminated.
Ceramic layer 130A and second ceramic layer 13
0B, an electrode 14 for exerting an electrostatic chuck function on the ceramic layer is formed, and a substrate (for example, a semiconductor substrate 40) is fixed on the substrate mounting stage 10 by the electrostatic chuck function. , Substrate mounting stage 10
The substrate is processed while the temperature of the substrate is controlled by the temperature control means.

【0042】実施の形態1においては、母材12を構成
するセラミックス部材の組成をコージエライトセラミッ
クスとした。ここで、コージエライトセラミックスと
は、MgOが約13重量%、SiO2が約52重量%、
Al23が約35重量%となる組成比に調整されたセラ
ミックスである。コージエライトセラミックスの線膨張
率は0.1×10-6/Kである。
In the first embodiment, the composition of the ceramic member constituting the base material 12 is cordierite ceramic. Here, cordierite ceramics means about 13% by weight of MgO, about 52% by weight of SiO 2 ,
It is a ceramic whose composition ratio is adjusted so that Al 2 O 3 is about 35% by weight. The coefficient of linear expansion of cordierite ceramics is 0.1 × 10 −6 / K.

【0043】また、母材12を構成するアルミニウム系
材料の組成はアルミニウム(Al)及びケイ素(Si)
である。実施の形態1においては、アルミニウム系材料
を基準として、アルミニウム系材料にはケイ素が20体
積%含まれている。尚、セラミックス部材は、コージエ
ライトセラミックス粉末とコージエライトセラミックス
繊維との混合物の焼成体であり、この焼成体におけるコ
ージエライトセラミックス繊維の割合を5体積%とし
た。ここで、コージエライトセラミックス粉末の平均粒
径は10μmであり、コージエライトセラミックス繊維
の平均直径は3μmであり、平均長さは1mmである。
セラミックス部材の空孔率は約50%であり、空孔径は
約1乃至2μmである。従って、コージエライトセラミ
ックス/アルミニウム系材料の容積比は約1/1であ
る。このような構成の母材12の線膨張率は、100〜
300゜Cにおける平均値で、約10.6×10-6/K
である。即ち、α1=10.6である。コージエライト
セラミックス/アルミニウム系材料の容積比が約1/1
であるが故に、母材12は、純粋なセラミックスの電気
伝導度や熱伝導度よりも金属に近づいた値を有する。
The composition of the aluminum-based material constituting the base material 12 is aluminum (Al) and silicon (Si).
It is. In the first embodiment, the aluminum-based material contains 20% by volume of silicon based on the aluminum-based material. The ceramic member was a fired body of a mixture of cordierite ceramic powder and cordierite ceramic fiber, and the ratio of the cordierite ceramic fiber in the fired body was 5% by volume. Here, the average particle diameter of the cordierite ceramic powder is 10 μm, the average diameter of the cordierite ceramic fibers is 3 μm, and the average length is 1 mm.
The porosity of the ceramic member is about 50%, and the pore diameter is about 1 to 2 μm. Therefore, the volume ratio of cordierite ceramics / aluminum-based material is about 1/1. The linear expansion coefficient of the base material 12 having such a configuration is 100 to
About 10.6 × 10 −6 / K at an average value at 300 ° C.
It is. That is, α 1 = 10.6. The volume ratio of cordierite ceramics / aluminum material is about 1/1
Therefore, the base material 12 has a value closer to the metal than the electrical conductivity or the thermal conductivity of pure ceramics.

【0044】第1のセラミックス層130A及び第2の
セラミックス層130Bを構成する材料を、TiO2
約2.5重量%添加されたAl23とした。第1のセラ
ミックス層130Aは、溶射法にて母材12の表面に形
成されており、第2のセラミックス層130Bは、電極
14を含む第1のセラミックス層130A上に溶射法に
て形成されている。このような組成の第1のセラミック
ス層130A及び第2のセラミックス層130Bの線膨
張率は、100〜300゜Cにおける平均値で、約9×
10-6/Kである。従って、α21,α22は約9であり、
第1及び第2のセラミックス層130A,130Bの線
膨張率α21,α22は、(α1−4)≦α2 1≦(α1+4)
及び(α1−4)≦α22≦(α1+4)を満足している。
尚、Al 23それ自体の線膨張率は約8×10-6/Kで
ある。また、Al23にTiO2を約2.5重量%添加
することによって、第1及び第2のセラミックス層13
0A,130Bの体積固有抵抗値を1011Ω/□オーダ
ーに調整することができる。これによって、セラミック
ス層13は誘電体として作用し、内部に設けられた電極
14によって、静電チャックとしての機能を発揮するこ
とができる。このように体積固有抵抗値を調整する理由
は、セラミックス層13が1011Ω/□オーダーを越え
ると、静電チャックとして用いた場合にセラミックス層
13の吸着力が弱くなりすぎ、基体をセラミックス層1
3に充分吸着させることが困難となる虞があるからであ
る。一方、セラミックス層13が1011Ω/□オーダー
を下回ると、基体載置ステージ10を高温で用いた際、
セラミックス層13の抵抗値が更に低くなり、基体とセ
ラミックス層13との界面で電流が生じる虞がある。
尚、使用条件によるが、一般的には、セラミックス層の
体積固有抵抗値を1011〜1016Ω/□とすることが望
ましい。
The first ceramic layer 130A and the second
The material constituting the ceramic layer 130B is TiOTwoBut
Al added about 2.5% by weightTwoOThreeAnd The first sera
Mix layer 130A is formed on the surface of base material 12 by a thermal spraying method.
The second ceramic layer 130B is formed of an electrode
Spray coating on the first ceramic layer 130A including
It is formed. First ceramic of such composition
Expansion of the first ceramic layer 130A and the second ceramic layer 130B.
The tension ratio is an average value at 100 to 300 ° C. and is about 9 ×
10-6/ K. Therefore, αtwenty one, Αtwenty twoIs about 9,
Line of first and second ceramic layers 130A, 130B
Expansion coefficient αtwenty one, Αtwenty twoIs (α1-4) ≦ αTwo 1≤ (α1+4)
And (α1-4) ≦ αtwenty two≤ (α1+4).
In addition, Al TwoOThreeIts own linear expansion coefficient is about 8 × 10-6/ K
is there. Also, AlTwoOThreeTiO2TwoAbout 2.5% by weight
By doing so, the first and second ceramic layers 13
0A and 130B are set to 1011Ω / □ order
Can be adjusted. This allows the ceramic
The electrode layer 13 acts as a dielectric and has an electrode provided therein.
14 enables it to function as an electrostatic chuck.
Can be. Reasons for adjusting volume resistivity in this way
Means that the ceramic layer 13 is 1011Over Ω / □ order
When used as an electrostatic chuck, the ceramic layer
13 became too weak, and the substrate was
3 may be difficult to be sufficiently adsorbed.
You. On the other hand, when the ceramic layer 1311Ω / □ order
Below, when the substrate mounting stage 10 is used at a high temperature,
The resistance value of the ceramic layer 13 further decreases, and
A current may be generated at the interface with the Lamix layer 13.
In general, depending on the use conditions, the ceramic layer
Volume resistivity of 1011-1016Ω / □ expected
Good.

【0045】この基体載置ステージ10の母材12の内
部には温度制御手段が配設されている(埋め込まれてい
る)。温度制御手段は、ヒータ15、及び温度制御用熱
媒体を流す配管16から構成されている。ヒータ15と
して、母材12の面積(底面積)に応じた大型で大容量
のシーズヒータを使用した。ヒータ15は、ヒータ本体
(図示せず)と、ヒータ本体の外側に配設されそしてヒ
ータ本体を保護する鞘管(図示せず)から構成された公
知のヒータである。ヒータ15は、図示しない配線を介
して電源に接続されている。ヒータ15の熱膨張は、基
体載置ステージ10に影響を与える。従って、母材12
や第1及び第2のセラミックス層130A,130Bの
線膨張率α1,α21,α22に近い値を有する材料を用い
ることが好ましい。具体的には、チタンやステンレスス
チール等、線膨張率が9×10-6/K〜12×10-6
Kの材料から作製された鞘管を用いることが好ましい。
即ち、ヒータ15を構成する材料(母材12と接する鞘
管の材料)の線膨張率αH[単位:10-6/K]は、
(α1−4)≦αH≦(α1+4)を満足することが好ま
しい。尚、ヒータ15の本体の線膨張率は、基体載置ス
テージ10に影響を与えることがないので、特に制限さ
れない。
A temperature control means is provided (embedded) inside the base material 12 of the substrate mounting stage 10. The temperature control means is composed of a heater 15 and a pipe 16 through which a heat medium for temperature control flows. As the heater 15, a large-sized, large-capacity sheathed heater corresponding to the area (bottom area) of the base material 12 was used. The heater 15 is a known heater including a heater body (not shown) and a sheath tube (not shown) provided outside the heater body and protecting the heater body. The heater 15 is connected to a power supply via a wiring (not shown). The thermal expansion of the heater 15 affects the substrate mounting stage 10. Therefore, the base material 12
It is preferable to use a material having values close to the linear expansion coefficients α 1 , α 21 , and α 22 of the first and second ceramic layers 130A, 130B. Specifically, such as titanium and stainless steel, the coefficient of linear expansion is 9 × 10 −6 / K to 12 × 10 −6 /
It is preferable to use a sheath tube made of the K material.
That is, the linear expansion coefficient α H [unit: 10 −6 / K] of the material constituting the heater 15 (the material of the sheath tube in contact with the base material 12) is
It is preferable to satisfy (α 1 -4) ≦ α H ≦ (α 1 +4). The coefficient of linear expansion of the main body of the heater 15 is not particularly limited because it does not affect the base mounting stage 10.

【0046】配管16は、温度制御用熱媒体供給装置
(図1には図示せず)に接続されており、金属あるいは
合金から作製されている。温度制御用熱媒体供給装置か
ら供給された温度制御用熱媒体を基体載置ステージ10
内の配管16に流すことによって、基体載置ステージ1
0の温度制御を行うことができる。配管16の熱膨張
も、基体載置ステージ10に影響を与える。従って、母
材12やセラミックス層13の線膨張率α1,α21,α
22に近い値を有する材料を用いることが好ましい。具体
的には、チタンやステンレススチール等、線膨張率が9
×10-6/K〜12×10-6/Kの材料から作製された
配管16を用いることが好ましい。即ち、配管16を構
成する材料の線膨張率αP[単位:10-6/K]は、
(α1−4)≦α P≦(α1+4)を満足することが好ま
しい。
The pipe 16 is a heating medium supply device for temperature control.
(Not shown in FIG. 1), and
Made from alloy. Heat medium supply device for temperature control
The heating medium for temperature control supplied from the
By flowing through the pipe 16 in the inside, the substrate mounting stage 1
Zero temperature control can be performed. Thermal expansion of pipe 16
Also affects the substrate mounting stage 10. Therefore, mother
Coefficient of thermal expansion α of the material 12 and the ceramic layer 131, Αtwenty one, Α
twenty twoIt is preferable to use a material having a value close to. Concrete
Typically, the coefficient of linear expansion is 9 such as titanium or stainless steel.
× 10-6/ K ~ 12 × 10-6/ K
Preferably, a pipe 16 is used. That is, the pipe 16 is
Linear expansion coefficient α of the material to be formedP[Unit: 10-6/ K] is
1-4) ≦ α P≤ (α1+4)
New

【0047】このような構成の基体載置ステージ10の
電極14に配線(図示せず)を介して直流電圧を印加す
ることによって、セラミックス層13が静電チャックと
して機能する。尚、この基体載置ステージ10には、セ
ラミックス層13上に載置、保持された基体(例えば、
半導体基板)を押し上げるためのプッシャーピン(図示
せず)が埋設されている。また、このプッシャーピンに
は、プッシャーピンをセラミックス層13の頂面上に突
出させあるいは頂面下に埋没させる機構(図示せず)が
取り付けられている。
The ceramic layer 13 functions as an electrostatic chuck by applying a DC voltage to the electrode 14 of the substrate mounting stage 10 having such a configuration via wiring (not shown). The substrate mounting stage 10 has a substrate (eg, a substrate) mounted and held on the ceramic layer 13.
A pusher pin (not shown) for pushing up the semiconductor substrate) is embedded. Further, a mechanism (not shown) is attached to the pusher pin so that the pusher pin projects above the top surface of the ceramic layer 13 or is buried under the top surface.

【0048】複合部材11によって構成される基体載置
ステージ10の作製方法を、以下、説明する。基体載置
ステージ10は、(A)セラミックス部材の組織中にア
ルミニウム系材料を充填し、以て、セラミックス部材の
組織中にアルミニウム系材料が充填された母材12を作
製する工程と、(B)母材12の表面に溶射法にて第1
のセラミックス層130Aを形成した後、第1のセラミ
ックス層130A上に電極14を形成し、次いで、電極
14を含む第1のセラミックス層130A上に溶射法に
て第2のセラミックス層130Bを形成する工程に基づ
き作製される。実施の形態1においては、この工程
(A)は、容器(鋳型)の中に多孔質のコージエライト
セラミックスを組成としたセラミックス部材を配し、容
器(鋳型)内に溶融したアルミニウムとケイ素とを組成
としたアルミニウム系材料を流し込み、高圧鋳造法にて
セラミックス部材中にアルミニウム系材料を充填する工
程から成る。
A method of manufacturing the base mounting stage 10 constituted by the composite member 11 will be described below. The base mounting stage 10 comprises: (A) a step of filling a structure of a ceramic member with an aluminum-based material, thereby producing a base material 12 in which the structure of the ceramic member is filled with an aluminum-based material; ) The first surface of the base material 12 is sprayed by spraying.
After the first ceramic layer 130A is formed, the electrode 14 is formed on the first ceramic layer 130A, and then the second ceramic layer 130B is formed on the first ceramic layer 130A including the electrode 14 by a thermal spraying method. It is produced based on the process. In the first embodiment, in this step (A), a ceramic member having a composition of porous cordierite ceramics is disposed in a container (mold), and molten aluminum and silicon are contained in the container (mold). And a step of filling an aluminum-based material into a ceramic member by a high-pressure casting method.

【0049】多孔質のコージエライトセラミックスを組
成としたセラミックス部材は、セラミックス部材を作製
する際の焼結過程において多孔質化される。実施の形態
1においては、多孔質のコージエライトセラミックスと
して、コージエライトセラミックス粉体とコージエライ
トセラミックス繊維とを焼結して得られる焼結体である
多孔質のコージエライトセラミックス・ファイバーボー
ド(以下、ファイバーボードと略称する)を用いた。一
般的な粉体焼結セラミックスが約1200゜Cで高温焼
結されるのに対して、ファイバーボードは約800゜C
で低温焼結されたものであり、コージエライトセラミッ
クス繊維の周りにコージエライトセラミックス粉体がバ
インダーを介して密着するように焼結され、多孔質化さ
れている。従って、例えば、コージエライトセラミック
ス粉体とコージエライトセラミックス繊維との容積比を
変えることによって、得られる多孔質のコージエライト
セラミックスを組成としたセラミックス部材の空孔率や
空孔径を調整することが可能である。
A ceramic member made of a porous cordierite ceramic is made porous in a sintering process when the ceramic member is manufactured. In the first embodiment, the porous cordierite ceramic fiber is a sintered body obtained by sintering cordierite ceramic powder and cordierite ceramic fiber as the porous cordierite ceramic. A board (hereinafter, abbreviated as a fiber board) was used. Whereas general powder sintered ceramics are sintered at a high temperature of about 1200 ° C, fiberboard is about 800 ° C.
The cordierite ceramic powder is sintered so as to be in close contact with a cordierite ceramic fiber via a binder, and is made porous. Therefore, for example, by changing the volume ratio between the cordierite ceramic powder and the cordierite ceramic fiber, the porosity and the pore diameter of the obtained ceramic member having the porous cordierite ceramic are adjusted. It is possible.

【0050】基体載置ステージ10を作製するには、先
ず、所定の円盤形状に成形された第1のファイバーボー
ドを用意する。尚、第1のファイバーボードには、ヒー
タ15を配設するための溝を加工しておく。また、第1
のファイバーボードとは別の第2のファイバーボードを
用意する。この第2のファイバーボードには、配管16
を配設するための溝を加工しておく。そして、容器(鋳
型)の底部に第1のファイバーボードを配し、更に、第
1のファイバーボードに設けられた溝内にヒータ15を
配置する。次に、第1のファイバーボード上に第2のフ
ァイバーボードを乗せ、第2のファイバーボードに設け
られた溝内に配管16を配置する。そして、更に、この
第2のファイバーボード上に第3のファイバーボードを
乗せる。尚、これらのファイバーボードには、プッシャ
ーピン等を埋設するための孔を予め加工しておく。
To manufacture the substrate mounting stage 10, first, a first fiber board formed into a predetermined disk shape is prepared. In addition, a groove for disposing the heater 15 is formed in the first fiber board. Also, the first
A second fiber board different from the above fiber board is prepared. This second fiber board has a pipe 16
The groove for arranging is processed. Then, the first fiber board is arranged on the bottom of the container (mold), and the heater 15 is arranged in a groove provided in the first fiber board. Next, the second fiber board is placed on the first fiber board, and the pipe 16 is arranged in a groove provided in the second fiber board. Then, a third fiber board is placed on the second fiber board. In addition, holes for embedding pusher pins and the like are formed in these fiber boards in advance.

【0051】次いで、これらのファイバーボードから成
るセラミックス部材を約800゜Cに予備加熱してお
き、続いて、容器(鋳型)内に約800゜Cに加熱して
溶融状態としたアルミニウム系材料(Al80体積%−
Si20体積%)を流し込む。そして、容器(鋳型)内
に約1トン/cm2の高圧を加える高圧鋳造法を実行す
る。その結果、多孔質のファイバーボードには、即ち、
セラミックス部材の組織中には、アルミニウム系材料が
充填される。そして、アルミニウム系材料を冷却・固化
させることによって、母材12が作製される。
Next, the ceramic member made of the fiber board is preheated to about 800 ° C., and then heated to about 800 ° C. in a container (mold) to obtain an aluminum-based material (in a molten state). Al 80% by volume-
(20% by volume of Si). Then, a high-pressure casting method in which a high pressure of about 1 ton / cm 2 is applied in the container (mold) is performed. As a result, a porous fiber board:
The structure of the ceramic member is filled with an aluminum-based material. Then, the base material 12 is produced by cooling and solidifying the aluminum-based material.

【0052】次いで、母材12の頂面を研磨する。その
後、この研磨面に、Al23にTiO2を約2.5重量
%混合した粒径が約10μmの混合粉末を真空溶射法に
よって溶融状態で吹き付け、固化させる。これによっ
て、体積固有抵抗値が1011Ω/□オーダーの第1のセ
ラミックス層130Aを形成することができる。尚、第
1のセラミックス層130Aの形成の前に、溶射下地層
として例えばアルミニウムを約5重量%含んだニッケル
(Ni−5重量%Al)を溶射しておき、この溶射下地
層上に第1のセラミックス層130Aを溶射法にて形成
してもよい。その後、ロウ材を用いて電極14を第1の
セラミックス層130A上に形成する。電極14の平面
形状を模式的に図1の(C)に示すが、電極14は、所
謂櫛型電極形状を有し、双極形式である。図1の(C)
において、電極14を明確化するために、電極14に斜
線を付した。尚、ロウ材として、例えば、Al−Mg−
Ge系、チタン、錫、アンチモンあるいはマグネシウム
から成る合金を挙げることができるが、これらに限定す
るものではない。電極14を構成するロウ材の線膨張率
[単位:10-6/K]も、母材の線膨張率をα1[単
位:10-6/K]としたとき、(α1−4)以上、(α1
+4)以下の範囲内にあることが望ましいが、電極の厚
さが薄ければ、ロウ材の線膨張率はこのような範囲から
外れていても、問題は生じ難い。その後、全面に、Al
23にTiO2を約2.5重量%混合した粒径が約10
μmの混合粉末を真空溶射法によって溶融状態で吹き付
け、固化させることによって、第2のセラミックス層1
30Bを形成する。こうして、内部に電極14が形成さ
れたセラミックス層13(第1のセラミックス層130
A及び第2のセラミックス層130B)を形成すること
ができる。尚、図1の(B)以外の図においては、セラ
ミックス層を1層で表示した。母材12の側面に溶射法
にてセラミックス層13を形成してもよい。
Next, the top surface of the base material 12 is polished. Thereafter, a mixed powder having a particle size of about 10 μm obtained by mixing about 2.5% by weight of TiO 2 with Al 2 O 3 is sprayed on the polished surface in a molten state by a vacuum spraying method and solidified. As a result, the first ceramic layer 130A having a volume specific resistance of the order of 10 11 Ω / □ can be formed. Prior to the formation of the first ceramic layer 130A, for example, nickel (Ni-5% by weight Al) containing about 5% by weight of aluminum is thermally sprayed as a thermal spray underlayer, and the first thermal spray underlayer is formed on the thermal spray underlayer. May be formed by a thermal spraying method. Thereafter, the electrode 14 is formed on the first ceramic layer 130A using a brazing material. The planar shape of the electrode 14 is schematically shown in FIG. 1C. The electrode 14 has a so-called comb-shaped electrode shape and is of a bipolar type. FIG. 1 (C)
In FIG. 2, the electrodes 14 are hatched to clarify the electrodes 14. In addition, as a brazing material, for example, Al-Mg-
Examples include, but are not limited to, Ge-based, titanium, tin, antimony or magnesium alloys. The linear expansion coefficient [unit: 10 −6 / K] of the brazing material constituting the electrode 14 is also (α 1 −4), where the linear expansion coefficient of the base material is α 1 [unit: 10 −6 / K]. Above, (α 1
+4) It is desirable to be within the following range, but if the thickness of the electrode is thin, no problem is likely to occur even if the linear expansion coefficient of the brazing material is out of such a range. Then, over the entire surface,
The particle size of about 2.5% by weight of TiO 2 mixed with 2 O 3 is about 10%.
By spraying a mixed powder of μm in a molten state by a vacuum spraying method and solidifying it, the second ceramic layer 1
Form 30B. Thus, the ceramic layer 13 (the first ceramic layer 130) having the electrode 14 formed therein is formed.
A and the second ceramic layer 130B) can be formed. In addition, in the figures other than FIG. 1B, the ceramic layer is represented by one layer. The ceramic layer 13 may be formed on the side surface of the base material 12 by a thermal spraying method.

【0053】このようにして得られた基体載置ステージ
10のセラミックス層13の割れ防止効果を確認するた
めに、温風循環式のオーブンを用い、以下のようにして
基体載置ステージ10の熱サイクルテストを行った。
In order to confirm the effect of preventing the ceramic layer 13 of the substrate mounting stage 10 thus obtained from cracking, a hot air circulating oven was used to heat the substrate mounting stage 10 as follows. A cycle test was performed.

【0054】(1)基体載置ステージ10をオーブン内
に入れ、オーブン内を30分間かけて300゜Cに昇温
する。 (2)オーブン内を、300゜Cの温度で20分間保持
する。 (3)オーブン内を、40分間かけて降温し、常温に戻
す。 (4)オーブン内から基体載置ステージ10を取り出
し、外観を観察する。
(1) The substrate mounting stage 10 is placed in an oven, and the inside of the oven is heated to 300 ° C. over 30 minutes. (2) Hold the inside of the oven at a temperature of 300 ° C. for 20 minutes. (3) The temperature in the oven is lowered over 40 minutes to return to room temperature. (4) Take out the substrate mounting stage 10 from the oven and observe the appearance.

【0055】このような(1)〜(4)の操作を10回
繰り返したところ、10回終了後においても基体載置ス
テージ10の外観には変化が認められず、セラミックス
層13に割れ等の破損は生じていないことが確認され
た。
When these operations (1) to (4) were repeated 10 times, no change was observed in the appearance of the substrate mounting stage 10 even after the completion of the operations 10 times, and cracks and the like were found on the ceramic layer 13. It was confirmed that no damage occurred.

【0056】このようにして得られた基体載置ステージ
10は、多孔質のコージエライトセラミックス・ファイ
バーボードから成るセラミックス部材にAl80体積%
−Si20体積%のアルミニウム系材料を充填して得ら
れた母材(温度調節ジャケット)12によって構成され
ており、母材12の線膨張率α1は第1及び第2のセラ
ミックス層130A,130Bの線膨張率α21,α22
近い値となっている。従って、基体載置ステージ10の
加熱・冷却による母材12とセラミックス層13の伸縮
の度合いは殆ど同じである。それ故、これらの材料間の
線膨張率α1,α21,α22の差に起因して、高温加熱時
や、高温から常温に基体載置ステージ10を戻したとき
にセラミックス層13に割れ等の損傷が発生することを
確実に回避することができる。
The substrate mounting stage 10 obtained in this manner is composed of a ceramic member made of a porous cordierite ceramic fiber board and a 80% by volume of Al.
-Si20 volume% of an aluminum-based material to fill the resulting preform is constituted by (temperature regulating jacket) 12, the linear expansion coefficient alpha 1 of the matrix 12 first and second ceramic layers 130A, 130B Are close to the linear expansion coefficients α 21 and α 22 . Therefore, the degree of expansion and contraction of the base material 12 and the ceramic layer 13 due to heating and cooling of the base mounting stage 10 is almost the same. Therefore, due to the difference in the linear expansion coefficients α 1 , α 21 , α 22 between these materials, the ceramic layer 13 is cracked when heated at a high temperature or when the substrate mounting stage 10 is returned from a high temperature to a normal temperature. And the like can be reliably avoided.

【0057】また、実施の形態1の複合部材の製造にあ
っては、特に、多孔質のコージエライトセラミックス・
ファイバーボードを用いているが、高圧鋳造時にアルミ
ニウム系材料がその空孔内に入り込む際の衝撃にファイ
バーボードは耐え得る。その結果、ファイバーボードに
割れが生じることを抑制することができる。即ち、通常
の粉末焼結法によって得られる多孔質のコージエライト
セラミックスから成るセラミックス部材においては、高
圧鋳造時に割れが起こり易い。然るに、多孔質のコージ
エライトセラミックス・ファイバーボードを用いること
によって、高圧鋳造時におけるセラミックス部材の割れ
発生を抑えることができる。
In the manufacture of the composite member of the first embodiment, particularly, a porous cordierite ceramics
Although a fiberboard is used, the fiberboard can withstand the impact of the aluminum-based material entering the pores during high-pressure casting. As a result, the occurrence of cracks in the fiber board can be suppressed. That is, in a ceramic member made of porous cordierite ceramic obtained by a normal powder sintering method, cracks are likely to occur during high-pressure casting. However, by using a porous cordierite ceramic fiberboard, it is possible to suppress the occurrence of cracks in the ceramic member during high-pressure casting.

【0058】そして、高圧鋳造時にファイバーボードに
割れ等が発生することを回避できるので、母材12の表
面に設けられたセラミックス層13にクラック等の損傷
が生じることを一層確実に防止することができる。即
ち、ファイバーボードに割れが発生したとしても、ファ
イバーボードから成るセラミックス部材の組織中にアル
ミニウム系材料を充填したとき、アルミニウム系材料が
一種の接着材として働く結果、母材12を得ることはで
きる。しかしながら、このようにして得られた母材12
においては、ファイバーボードに発生した割れ等の隙間
にアルミニウム系材料から成る層が形成されてしまう。
その結果、母材12の表面に設けられたセラミックス層
13が、基体載置ステージ10の使用時、温度変化に追
従できなくなり、セラミックス層13に割れが生じ易く
なる。つまり、セラミックス層13は、粒径が約10μ
mの混合粉末が溶射されそして母材12と同化されてい
るので、ファイバーボードにおける1〜2μmの空孔内
に充填されたアルミニウム系材料そのものの熱膨張から
は殆ど影響を受けない。しかしながら、ファイバーボー
ドの割れた部分の隙間に存在するアルミニウム系材料か
ら成る層は、セラミックス層13を形成する粒子の径よ
り大きい長さや幅を有する。従って、アルミニウム系材
料から成るかかる層の熱膨張によるセラミックス層13
への影響は無視できないものとなり、セラミックス層1
3に割れが発生する確率が高くなる。
Since the occurrence of cracks or the like in the fiber board during high-pressure casting can be avoided, it is possible to more reliably prevent the ceramic layer 13 provided on the surface of the base material 12 from being damaged by cracks or the like. it can. That is, even if cracks occur in the fiber board, when the aluminum-based material is filled in the structure of the ceramic member made of the fiber board, the base material 12 can be obtained as a result of the aluminum-based material acting as a kind of adhesive. . However, the thus obtained base material 12
In this case, a layer made of an aluminum-based material is formed in gaps such as cracks generated in the fiber board.
As a result, the ceramic layer 13 provided on the surface of the base material 12 cannot follow the temperature change when the base mounting stage 10 is used, and the ceramic layer 13 is easily cracked. That is, the ceramic layer 13 has a particle size of about 10 μm.
Since the mixed powder of m is sprayed and assimilated with the base material 12, it is hardly affected by the thermal expansion of the aluminum-based material itself filled in the pores of 1 to 2 μm in the fiberboard. However, the layer made of the aluminum-based material existing in the gap between the cracked portions of the fiber board has a length and a width larger than the diameter of the particles forming the ceramic layer 13. Therefore, the ceramic layer 13 due to the thermal expansion of such a layer made of an aluminum-based material
The effect on the ceramic layer becomes significant and cannot be ignored.
The probability that cracks will occur in 3 increases.

【0059】セラミックス層13を母材12上に溶射法
にて形成するので、寸法の大きな複合部材11を容易に
作製することができる。しかも、母材12とセラミック
ス層13とがより一層一体化するので、母材12とセラ
ミックス層13との間の応力緩和が図れると共に、母材
12からセラミックス層13への熱伝導が速やかとな
り、セラミックス層13に保持・固定された基体の温度
制御を迅速に且つ確実に行うことが可能となる。
Since the ceramic layer 13 is formed on the base material 12 by the thermal spraying method, the composite member 11 having a large size can be easily manufactured. In addition, since the base material 12 and the ceramic layer 13 are further integrated, stress relaxation between the base material 12 and the ceramic layer 13 can be achieved, and heat conduction from the base material 12 to the ceramic layer 13 can be accelerated. Temperature control of the substrate held and fixed to the ceramic layer 13 can be quickly and reliably performed.

【0060】このような基体載置ステージ10を組み込
んだ基体処理装置であるドライエッチング装置20(以
下、エッチング装置と略称する)の概念図を、図2に示
す。エッチング装置20は、更に、チャンバー21と、
RFアンテナ22と、RFアンテナ23と、マルチポー
ル磁石24を備えている。
FIG. 2 is a conceptual diagram of a dry etching apparatus 20 (hereinafter simply referred to as an etching apparatus) which is a substrate processing apparatus incorporating such a substrate mounting stage 10. The etching apparatus 20 further includes a chamber 21,
An RF antenna 22, an RF antenna 23, and a multipole magnet 24 are provided.

【0061】2つのRFアンテナ22は、チャンバー2
1の上部に設けられた円筒状石英菅から成るベルジャー
25の外側を周回して配設され、M=1モードのプラズ
マを生成するアンテナ形状を有し、マッチングネットワ
ーク27を介してヘリコン波プラズマ発生源28に接続
されている。これらのRFアンテナ22の外側には、内
周コイルと外周コイルとから構成されたソレノイドコイ
ル・アッセンブリ26が配設されている。このソレノイ
ドコイル・アッセンブリ26のうち、内周コイルはヘリ
コン波の伝搬に寄与し、外周コイルは生成したプラズマ
の輸送に寄与する。RFアンテナ23は、チャンバー2
1の天板25A(石英製である)の上にループ状に設置
されており、マッチングネットワーク29を介して電源
30に接続されている。マルチポール磁石24は、チャ
ンバー21の下部外側に設けられており、エレクトロン
がチャンバー21の側壁にて消失することを抑制するた
めのカスプ磁場を形成する。
The two RF antennas 22 are connected to the chamber 2
1 is disposed around the outside of a bell jar 25 composed of a cylindrical quartz tube provided on the upper side of the antenna, has an antenna shape for generating M = 1 mode plasma, and generates helicon wave plasma through a matching network 27. It is connected to a source 28. Outside these RF antennas 22, a solenoid coil assembly 26 composed of an inner coil and an outer coil is provided. Of the solenoid coil assembly 26, the inner coil contributes to the propagation of the helicon wave, and the outer coil contributes to the transport of the generated plasma. The RF antenna 23 is connected to the chamber 2
It is installed in a loop on one top plate 25A (made of quartz) and connected to a power supply 30 via a matching network 29. The multipole magnet 24 is provided outside the lower part of the chamber 21 and forms a cusp magnetic field for suppressing electrons from disappearing on the side wall of the chamber 21.

【0062】また、チャンバー21内には、半導体基板
40を保持・固定するための基体載置ステージ10(図
1の(A)参照)が配設されている。更に、チャンバー
21内のガスを排気するための排気口31が、真空ポン
プ等の負圧手段(図示せず)に接続されている。基体載
置ステージ10には、半導体基板40上に形成された基
体(図2には図示せず)への入射イオンエネルギーを制
御するためのバイアス電源32が接続され、更には、セ
ラミックス層13に静電吸着力を発揮させるために、セ
ラミックス層13の内部に設けられた電極14に直流電
源33が接続されている。また、基体載置ステージ10
の母材12内に配設されたヒータ15は、電源39に接
続されている。更には、半導体基板40の温度を計測す
るための蛍光ファイバ温度計36が、エッチング装置2
0には備えられている。
In the chamber 21, a substrate mounting stage 10 (see FIG. 1A) for holding and fixing the semiconductor substrate 40 is provided. Further, an exhaust port 31 for exhausting gas in the chamber 21 is connected to negative pressure means (not shown) such as a vacuum pump. The substrate mounting stage 10 is connected to a bias power supply 32 for controlling ion energy incident on a substrate (not shown in FIG. 2) formed on the semiconductor substrate 40. A DC power supply 33 is connected to the electrode 14 provided inside the ceramic layer 13 in order to exert an electrostatic attraction force. Further, the substrate mounting stage 10
The heater 15 disposed in the base material 12 is connected to a power supply 39. Further, a fluorescent fiber thermometer 36 for measuring the temperature of the semiconductor substrate 40 is provided in the etching apparatus 2.
0 is provided.

【0063】基体載置ステージ10の母材12内に配設
された配管16は、配管34A,34Bを介して温度制
御用熱媒体供給装置35に接続されている。温度制御用
熱媒体供給装置35は、シリコンオイル等の温度制御用
熱媒体を、配管34Aを介して基体載置ステージ10の
配管16に供給し、配管34Bを介して配管16から送
り出された温度制御用熱媒体を受け入れ、更に、この温
度制御用熱媒体を所定温度に加熱あるいは冷却する。場
合によっては、温度制御用熱媒体供給装置35にチラー
を組み込み、配管34A,16,34B内にフロンガス
等の低温(例えば0゜C)の温度制御用熱媒体(冷媒)
を流してもよい。このように、温度制御用熱媒体を配管
16内に循環させることによって、基体載置ステージ1
0上に保持・固定された基体の温度制御を行う。温度制
御用熱媒体供給装置35に接続された配管34Aには、
高温での動作が可能な制御バルブ37が配設されてい
る。一方、配管34Aと配管34Bとの間のバイパス配
管34Cにも制御バルブ37が配設されている。そし
て、このような構成のもと、制御バルブ37の開閉度を
制御することによって、配管16への温度制御用熱媒体
の供給量を制御する。また、蛍光ファイバ温度計36で
検知された温度を制御装置(PIDコントローラ)38
で検出し、予め設定された半導体基板40の温度との差
から、予め実験や計算によって決定された供給量となる
ように、温度制御用熱媒体の供給量が制御装置38によ
って決定される。
The pipe 16 disposed in the base material 12 of the base mounting stage 10 is connected to a temperature control heating medium supply device 35 via pipes 34A and 34B. The temperature control heat medium supply device 35 supplies the temperature control heat medium such as silicon oil to the pipe 16 of the base mounting stage 10 via the pipe 34A, and supplies the temperature supplied from the pipe 16 via the pipe 34B. The control heat medium is received, and the temperature control heat medium is heated or cooled to a predetermined temperature. In some cases, a chiller is incorporated in the temperature control heat medium supply device 35, and a low-temperature (eg, 0 ° C.) temperature control heat medium (refrigerant) such as Freon gas is provided in the pipes 34A, 16, 34B.
May flow. By circulating the heat medium for temperature control in the pipe 16 in this manner, the substrate mounting stage 1
The temperature of the substrate held and fixed on the substrate 0 is controlled. The pipe 34A connected to the temperature control heating medium supply device 35 includes:
A control valve 37 capable of operating at a high temperature is provided. On the other hand, a control valve 37 is also provided in a bypass pipe 34C between the pipe 34A and the pipe 34B. Then, under such a configuration, the supply amount of the heat medium for temperature control to the pipe 16 is controlled by controlling the opening / closing degree of the control valve 37. The temperature detected by the fluorescent fiber thermometer 36 is controlled by a control device (PID controller) 38.
The control device 38 determines the supply amount of the heat medium for temperature control from the difference from the preset temperature of the semiconductor substrate 40 so that the supply amount is determined in advance by experiment or calculation.

【0064】尚、図1の(A)に示した基体載置ステー
ジ10においては、半導体基板40の設定温度にも依る
が、通常は、ヒータ15による加熱によって主たる温度
制御がなされる。そして、温度制御用熱媒体による基体
載置ステージ10の温度制御は、半導体基板40の温度
安定のための補助的な温度制御である。即ち、プラズマ
エッチング処理等を行った場合、プラズマからの入熱を
基体、更には半導体基板40が受ける結果、ヒータ15
による加熱だけでは半導体基板40を設定温度に維持し
ておくことが困難となる場合がある。このような場合、
ヒータ15の加熱に加えて、半導体基板40を設定温度
に保つべくプラズマからの入熱を相殺するように設定温
度より低い温度の温度制御用熱媒体を配管16に流す。
これによって、半導体基板40を設定温度に安定させる
ことができる。尚、図2においては、エッチングガス導
入部、ゲートバルブ等のエッチング装置の細部について
は、その図示を省略した。
In the substrate mounting stage 10 shown in FIG. 1A, the main temperature control is usually performed by heating with the heater 15 depending on the set temperature of the semiconductor substrate 40. The temperature control of the base mounting stage 10 by the temperature control heat medium is an auxiliary temperature control for stabilizing the temperature of the semiconductor substrate 40. That is, when a plasma etching process or the like is performed, heat input from the plasma is received by the base and further by the semiconductor substrate 40, so that the heater 15
In some cases, it may be difficult to maintain the semiconductor substrate 40 at the set temperature only by the heating of the semiconductor substrate 40. In such a case,
In addition to the heating of the heater 15, a heat medium for temperature control at a temperature lower than the set temperature is caused to flow through the pipe 16 so as to offset the heat input from the plasma so as to maintain the semiconductor substrate 40 at the set temperature.
Thereby, the semiconductor substrate 40 can be stabilized at the set temperature. In FIG. 2, details of an etching apparatus such as an etching gas introduction unit and a gate valve are not shown.

【0065】図2に示したエッチング装置20を用い
て、半導体基板40上に形成された基体に対してプラズ
マエッチング処理を行えば、エッチング処理中において
もプラズマからの入熱に起因する半導体基板40等の温
度上昇が殆ど無く、エッチング処理中、半導体基板40
を安定して所定の温度に保つことができる。そして、こ
のように半導体基板40の温度(例えば250゜C)を
高精度で安定させることができるので、良好な異方性形
状を基体に形成することができる。即ち、基体載置ステ
ージ10において静電吸着力を発揮させることにより、
従来の技術では不可能であった高い精度での基体の温度
制御を行うことができる。
If the substrate formed on the semiconductor substrate 40 is subjected to plasma etching using the etching apparatus 20 shown in FIG. 2, the semiconductor substrate 40 caused by heat input from plasma during the etching is also provided. Etc., there is almost no temperature rise, and during the etching process, the semiconductor substrate 40
Can be stably maintained at a predetermined temperature. In addition, since the temperature (for example, 250 ° C.) of the semiconductor substrate 40 can be stabilized with high precision, a favorable anisotropic shape can be formed on the base. That is, by exerting an electrostatic attraction force on the substrate mounting stage 10,
It is possible to control the temperature of the substrate with high accuracy, which was impossible with the conventional technology.

【0066】次に、基体処理装置であるエッチング装置
20を用いた基体処理方法(具体的にはプラズマエッチ
ング処理方法)を、図3の(A)及び(B)を参照して
説明する。尚、この基体処理方法においては、主に銅
(Cu)膜43が基体に相当する。
Next, a substrate processing method (specifically, a plasma etching method) using the etching apparatus 20 as the substrate processing apparatus will be described with reference to FIGS. In the substrate processing method, the copper (Cu) film 43 mainly corresponds to the substrate.

【0067】先ず、シリコン半導体基板から成る半導体
基板40の上に形成されたSiO2から成る下地絶縁層
41の上に、Cu膜43を形成する。具体的には、先
ず、半導体基板40の上に公知の方法で形成された下地
絶縁層41の上に、密着層としてTiN膜42をスパッ
タ法によって形成した。続いて、TiN膜42の上にス
パッタ法によって基体に相当するCu膜43を形成し、
更にその上にスパッタ法にてTiN膜44を形成した。
そして、このTiN膜44の上にSiO2膜を形成し、
更に公知のリソグラフィ技術及びエッチング技術によっ
てこのSiO2膜をパターニングし、SiO2膜から成る
マスクパターン45を形成した。この状態を、図3の
(A)の模式的な一部断面図に示す。
First, a Cu film 43 is formed on a base insulating layer 41 made of SiO 2 formed on a semiconductor substrate 40 made of a silicon semiconductor substrate. Specifically, first, a TiN film 42 as an adhesion layer was formed on a base insulating layer 41 formed on the semiconductor substrate 40 by a known method by a sputtering method. Subsequently, a Cu film 43 corresponding to a substrate is formed on the TiN film 42 by a sputtering method,
Further, a TiN film 44 was formed thereon by a sputtering method.
Then, an SiO 2 film is formed on the TiN film 44,
Further, this SiO 2 film was patterned by a known lithography technique and etching technique to form a mask pattern 45 made of the SiO 2 film. This state is shown in the schematic partial cross-sectional view of FIG.

【0068】次いで、マスクパターン45を形成した半
導体基板40を図2に示したエッチング装置20内の基
体載置ステージ10上に載置し、セラミックス層13に
静電吸着力を発揮させて半導体基板40を基体載置ステ
ージ10上に保持・固定する。そして、ヒータ15の作
動及び温度制御用熱媒体を配管16に流すことによって
基体載置ステージ10の加熱を行い、基体であるCu膜
43を含む半導体基板40を以下の表1に示す設定温度
に調整した。そして、マスクパターン45をエッチング
用マスクとして、以下の表1に例示する条件にて、Ti
N膜44、Cu膜43、TiN膜42に対してプラズマ
エッチング処理を行い、Cu膜43から構成された配線
を得た。この状態を、図3の(B)の模式的な一部断面
図に示す。
Next, the semiconductor substrate 40 having the mask pattern 45 formed thereon is mounted on the substrate mounting stage 10 in the etching apparatus 20 shown in FIG. 40 is held and fixed on the substrate mounting stage 10. The substrate mounting stage 10 is heated by operating the heater 15 and flowing a heat medium for temperature control through the pipe 16, and the semiconductor substrate 40 including the Cu film 43 as a substrate is heated to a set temperature shown in Table 1 below. It was adjusted. Then, using the mask pattern 45 as an etching mask, Ti under the conditions exemplified in Table 1 below.
Plasma etching was performed on the N film 44, the Cu film 43, and the TiN film 42 to obtain a wiring composed of the Cu film 43. This state is shown in the schematic partial cross-sectional view of FIG.

【0069】[0069]

【表1】 エッチングガス :Cl2=30sccm 圧力 :0.7Pa(5mTorr) 電源28からのパワー(RFアンテナ22):1.5kW(13.56MHz) 電源30からのパワー(RFアンテナ23):1.5kW(13.56MHz) RFバイアス :350W 半導体基板温度 :250゜CTable 1 Etching gas: Cl 2 = 30 sccm Pressure: 0.7 Pa (5 mTorr) Power from power supply 28 (RF antenna 22): 1.5 kW (13.56 MHz) Power from power supply 30 (RF antenna 23): 1 0.5 kW (13.56 MHz) RF bias: 350 W Semiconductor substrate temperature: 250 ° C.

【0070】このようにしてプラズマエッチング処理を
行ったところ、エッチング処理中においてもプラズマか
らの入熱に起因する半導体基板40等の温度上昇が殆ど
認められず、エッチング処理中、半導体基板40、更に
はCu膜43を設定した温度(250゜C)に安定して
保つことができた。そして、このようにCu膜43を含
む半導体基板40の温度を高精度で安定させることがで
きたため、エッチングガスとしてCl2を単独で用いた
にも拘わらず、良好な異方性形状を有する配線を形成す
ることができ、Cu膜43の加工を良好に行うことがで
きた。
When the plasma etching process was performed in this manner, almost no increase in the temperature of the semiconductor substrate 40 and the like due to the heat input from the plasma was observed during the etching process. Was able to stably maintain the Cu film 43 at the set temperature (250 ° C.). Since the temperature of the semiconductor substrate 40 including the Cu film 43 can be stabilized with high accuracy in this manner, a wiring having a favorable anisotropic shape despite Cl 2 being used alone as an etching gas. Was formed, and the processing of the Cu film 43 was successfully performed.

【0071】比較のため、静電チャック機能を発揮させ
ず、単に基体載置ステージ10による半導体基板40の
加熱のみを行い、表1に示した条件と同一の条件で半導
体基板40の温度変化を調べた。その結果、半導体基板
40の温度は、エッチング処理開始時においては十分な
加熱がなされず、設定温度よりかなり低い190゜Cで
あった。そして、エッチング処理の進行に伴い温度が上
昇し、エッチング処理開始後約60秒で設定温度である
250゜Cに達した。更にエッチング処理を続けたとこ
ろ、プラズマからの入熱に起因して温度上昇が更に進
み、エッチング処理開始後120秒後では約265゜C
にまで上昇した。
For comparison, only the heating of the semiconductor substrate 40 by the substrate mounting stage 10 was performed without performing the electrostatic chuck function, and the temperature change of the semiconductor substrate 40 was measured under the same conditions as shown in Table 1. Examined. As a result, the temperature of the semiconductor substrate 40 was 190 ° C., which was not sufficiently heated at the start of the etching process, and was considerably lower than the set temperature. The temperature increased with the progress of the etching process, and reached the set temperature of 250 ° C. in about 60 seconds after the start of the etching process. When the etching process was further continued, the temperature rose further due to the heat input from the plasma, and about 265 ° C. 120 seconds after the start of the etching process.
Rose to.

【0072】従って、基体載置ステージ10において静
電吸着力を発揮させることにより、従来の技術では不可
能であった高い精度での基体の温度制御が行えることが
確認された。また、このようなエッチング処理を繰り返
して行った後、メンテナンス時などにチャンバー21内
を常温に戻しても、基体載置ステージ10にはセラミッ
クス層13の割れ等の破損は全く認められなかった。
Accordingly, it has been confirmed that by exerting the electrostatic attraction force on the substrate mounting stage 10, it is possible to control the temperature of the substrate with high precision, which was impossible with the prior art. Further, even after the etching process was repeatedly performed and the inside of the chamber 21 was returned to normal temperature during maintenance or the like, no damage such as cracking of the ceramic layer 13 was found on the substrate mounting stage 10 at all.

【0073】尚、Cu膜のドライエッチング処理におい
ては、Cl2以外にも、HCl、HBr、HIといった
ガスを単独で若しくは混合して用いることができる。H
Brを使用したときのCu膜のドライエッチング条件
を、以下の表2に例示する。
In the dry etching of the Cu film, a gas such as HCl, HBr, or HI may be used alone or as a mixture in addition to Cl 2 . H
Table 2 shows the dry etching conditions of the Cu film when using Br.

【0074】[0074]

【表2】 エッチングガス :HBr=50sccm 圧力 :0.5Pa 電源28からのパワー(RFアンテナ22):2.5kW 電源30からのパワー(RFアンテナ23):2.5kW RFバイアス :300W 半導体基板温度 :250゜CTable 2 Etching gas: HBr = 50 sccm Pressure: 0.5 Pa Power from power supply 28 (RF antenna 22): 2.5 kW Power from power supply 30 (RF antenna 23): 2.5 kW RF bias: 300 W Semiconductor substrate temperature : 250 ℃

【0075】(実施の形態2)実施の形態2は実施の形
態1の変形である。実施の形態2が実施の形態1と相違
する点は、複合部材における母材を構成するセラミック
ス部材の組成を窒化アルミニウムとし、母材を構成する
アルミニウム系材料の組成をアルミニウムとした点にあ
る。
(Embodiment 2) Embodiment 2 is a modification of Embodiment 1. Embodiment 2 is different from Embodiment 1 in that the composition of the ceramic member forming the base material in the composite member is aluminum nitride, and the composition of the aluminum-based material forming the base material is aluminum.

【0076】複合部材によって構成される基体処理装置
の一部である実施の形態2における基体載置ステージ1
0Aの模式的な断面図を、図4の(A)に示す。この基
体載置ステージ10Aも複合部材11Aから構成されて
いる。この複合部材11Aは、セラミックス部材の組織
中にアルミニウム系材料が充填された母材12A(温度
調節ジャケットに相当する)と、この母材12Aの表面
に設けられたセラミックス層13Aとから成る。尚、セ
ラミックス層13Aの内部には電極14Aが形成されて
いる。セラミックス層13Aも、第1のセラミックス層
と第2のセラミックス層とが積層された構造を有する。
母材12Aの形状は円盤である。また、実施の形態1と
異なり、母材12Aの底面にはヒータ15Aが取り付け
られている。
A substrate mounting stage 1 according to the second embodiment which is a part of a substrate processing apparatus constituted by a composite member.
FIG. 4A is a schematic cross-sectional view of FIG. The substrate mounting stage 10A is also composed of the composite member 11A. The composite member 11A includes a base material 12A (corresponding to a temperature control jacket) in which the structure of the ceramic member is filled with an aluminum-based material, and a ceramic layer 13A provided on the surface of the base material 12A. An electrode 14A is formed inside the ceramic layer 13A. The ceramic layer 13A also has a structure in which a first ceramic layer and a second ceramic layer are stacked.
The shape of the base material 12A is a disk. Also, unlike Embodiment 1, a heater 15A is attached to the bottom surface of base material 12A.

【0077】実施の形態2においては、母材12Aを構
成するセラミックス部材の組成を窒化アルミニウム(A
lN)とした。尚、窒化アルミニウムの線膨張率は5.
1×10-6/Kであり、熱伝導率は0.235cal/
cm・秒・Kである。また、母材を構成するアルミニウ
ム系材料の組成をアルミニウム(Al)とした。(α 1
−4)≦α21≦(α1+4)及び(α1−4)≦α22
(α1+4)を満足するように窒化アルミニウムとアル
ミニウムとの容積比は決定されており、具体的には、窒
化アルミニウム/アルミニウムの容積比は70/30で
ある。尚、母材12Aの線膨張率は、100〜300゜
Cにおける平均値で、8.7×10-6/Kである。即
ち、α1=8.7である。セラミックス層13A(第1
のセラミックス層及び第2のセラミックス層)を構成す
る材料を、TiO2が約2.5重量%添加されたAl2
3とした。セラミックス層13Aは、溶射法にて母材1
2Aの表面に形成されている。セラミックス層13Aの
構造は実施の形態1と同様である。Al23は本来その
線膨張率が約8×10-6/Kであるが、Al23にTi
2を添加することによって、その線膨張率は、100
〜300゜Cにおける平均値で、約9×10-6/K(α
21,α22は約9)となり、母材12Aの線膨張率α 1
ほぼ同じ値となる。これによって、母材12Aの高温加
熱などによる温度変化によってもセラミックス層13A
に割れ等の損傷が発生することを効果的に防止し得る。
また、Al23にTiO2を添加することにより、セラ
ミックス層13Aの体積固有抵抗値を1011Ω/□のオ
ーダーに調整することができる。これによって、セラミ
ックス層13Aが静電チャックとしての機能を効果的に
発揮する。
In the second embodiment, the base material 12A is
Aluminum nitride (A
1N). The linear expansion coefficient of aluminum nitride is 5.
1 × 10-6/ K and a thermal conductivity of 0.235 cal /
cm · second · K. In addition, aluminum
The composition of the rubber-based material was aluminum (Al). (Α 1
-4) ≦ αtwenty one≤ (α1+4) and (α1-4) ≦ αtwenty two
1+4) to satisfy aluminum nitride and aluminum
The volume ratio to minium is determined, and specifically,
Aluminum / aluminum volume ratio is 70/30
is there. The linear expansion coefficient of the base material 12A is 100 to 300 °.
The average value in C is 8.7 × 10-6/ K. Immediately
C, α1= 8.7. Ceramic layer 13A (first
Of the ceramic layer and the second ceramic layer)
Material is TiOTwoAbout 2.5% by weight of AlTwoO
ThreeAnd The ceramic layer 13A is formed on the base material 1 by a thermal spraying method.
It is formed on the surface of 2A. Of the ceramic layer 13A
The structure is the same as in the first embodiment. AlTwoOThreeOriginally
Linear expansion coefficient is about 8 × 10-6/ K, but AlTwoOThreeTo Ti
O Two, The coefficient of linear expansion becomes 100
Approximately 9 × 10-6/ K (α
twenty one, Αtwenty twoIs about 9), and the linear expansion coefficient α of the base material 12A is 1When
The values are almost the same. As a result, the base material 12A is heated to a high temperature.
The ceramic layer 13A can also be changed by temperature change due to heat or the like.
It is possible to effectively prevent the occurrence of damage such as cracks.
Also, AlTwoOThreeTiO2TwoBy adding
The volume resistivity of the mix layer 13A is set to 1011Ohm of Ω / □
Can be adjusted to the order. This allows the ceramic
Layer 13A effectively functions as an electrostatic chuck
Demonstrate.

【0078】ヒータ15Aは、約500゜Cまでの加熱
が可能なPBNヒータ(パイロリティック・ボロン・ナ
イトライド・パイロリティック・グラファイト・ヒー
タ)である。ヒータ15Aを母材12Aの裏面に取り付
けることにより、母材12Aを常温から約500゜Cま
での範囲内で温度制御することが可能となる。そして、
基体載置ステージ10Aの電極14Aに配線(図示せ
ず)を介して直流電圧を印加すれば、セラミックス層1
3Aが静電チャックとして機能する。尚、この基体載置
ステージ10Aには、セラミックス層13A上に載置、
保持された基体を押し上げるためのプッシャーピン(図
示せず)が埋設されている。また、このプッシャーピン
には、プッシャーピンをセラミックス層13Aの頂面上
に突出させあるいは頂面下に埋没させる機構(図示せ
ず)が取り付けられている。
The heater 15A is a PBN heater (pyrolytic boron nitride pyrolytic graphite heater) capable of heating up to about 500 ° C. By attaching the heater 15A to the back surface of the base material 12A, the temperature of the base material 12A can be controlled within a range from room temperature to about 500 ° C. And
When a DC voltage is applied to the electrode 14A of the base mounting stage 10A via wiring (not shown), the ceramic layer 1
3A functions as an electrostatic chuck. The base mounting stage 10A is mounted on the ceramic layer 13A.
A pusher pin (not shown) for pushing up the held base is embedded. Further, a mechanism (not shown) is attached to the pusher pin so that the pusher pin projects above the top surface of the ceramic layer 13A or is buried under the top surface.

【0079】基体載置ステージ10Aの作製方法を、以
下、説明する。基体載置ステージ10Aは、基本的に
は、実施の形態1と同様に、(A)セラミックス部材の
組織中にアルミニウム系材料を充填し、以て、セラミッ
クス部材の組織中にアルミニウム系材料が充填された母
材12Aを作製する工程と、(B)母材12Aの表面に
溶射法にて第1のセラミックス層を形成した後、第1の
セラミックス層上に電極14Aを形成し、次いで、電極
14Aを含む第1のセラミックス層上に溶射法にて第2
のセラミックス層を形成する工程に基づき作製される。
実施の形態2においては、この工程(A)は、非加圧金
属浸透法に基づき、窒化アルミニウム粒子から成形され
たセラミックス部材に溶融したアルミニウムを組成とし
たアルミニウム系材料を非加圧状態にて浸透させる工程
から成る。
The method of manufacturing the substrate mounting stage 10A will be described below. Basically, the base mounting stage 10A basically fills the structure of the ceramic member with an aluminum-based material, and fills the structure of the ceramic member with the aluminum-based material, similarly to the first embodiment. (B) forming a first ceramic layer on the surface of the base material 12A by thermal spraying, forming an electrode 14A on the first ceramic layer, and then forming an electrode 14A on the first ceramic layer. 14A on the first ceramic layer containing the second by spraying
It is produced based on the step of forming the ceramic layer of the above.
In the second embodiment, in this step (A), based on a non-pressurized metal infiltration method, an aluminum-based material having a composition of aluminum melted in a ceramic member formed from aluminum nitride particles is applied in a non-pressurized state. Permeation step.

【0080】具体的には、平均粒径10μmのAlN粒
子を泥漿鋳込み成形法にて成形した後、約800゜Cの
温度で焼成を行うことによって、AlN粒子を成形した
プリフォームであるセラミックス部材を作製した。そし
て、このセラミックス部材を約800゜Cに予備加熱し
ておき、約800゜Cに加熱して溶融したアルミニウム
を非加圧でセラミックス部材に浸透させる。これによっ
て、AlN70体積%−Al30体積%の構成の母材1
2Aを作製することができる。次いで、母材12Aを成
形加工して円盤状の温度調節ジャケットの形状とする。
尚、この母材12Aには、プッシャーピン等を埋設する
ための孔も予め加工しておく。次いで、このようにして
得られた母材12Aの頂面を研磨する。
More specifically, after forming AlN particles having an average particle diameter of 10 μm by a slurry casting method, the mixture is fired at a temperature of about 800 ° C. to form a ceramic member which is a preform in which the AlN particles are formed. Was prepared. Then, the ceramic member is preheated to about 800 ° C., and the aluminum melted by heating to about 800 ° C. is infiltrated into the ceramic member without pressure. Thereby, the base material 1 having a configuration of 70% by volume of AlN-30% by volume of Al
2A can be made. Next, the base material 12A is formed into a shape of a disc-shaped temperature control jacket.
In addition, a hole for embedding a pusher pin or the like is previously formed in the base material 12A. Next, the top surface of the base material 12A thus obtained is polished.

【0081】その後、この研磨面に、Al23にTiO
2を約2.5重量%混合した粒径が約10μmの混合粉
末を真空溶射法によって溶融状態で吹き付け、固化させ
る。これによって、体積固有抵抗値が1011Ω/□オー
ダーの第1のセラミックス層を形成することができる。
尚、第1のセラミックス層の形成の前に、溶射下地層と
して例えばアルミニウムを約5重量%含んだニッケル
(Ni−5重量%Al)を溶射しておき、この溶射下地
層上に第1のセラミックス層を溶射法にて形成してもよ
い。その後、溶射法にて電極14Aを第1のセラミック
ス層上に形成する。尚、電極14Aは双極形式である。
その後、全面に、Al23にTiO2を約2.5重量%
混合した粒径が約10μmの混合粉末を真空溶射法によ
って溶融状態で吹き付け、固化させることによって、第
2のセラミックス層を形成する。こうして、内部に電極
14Aが形成されたセラミックス層13A(第1のセラ
ミックス層及び第2のセラミックス層)を形成すること
ができる。その後、母材12Aの底面、即ちセラミック
ス層13Aが設けられた面と反対側の面にPBNヒータ
から成るヒータ15Aを取り付け、基体載置ステージ1
0Aを得る。母材12Aの側面に溶射法にてセラミック
ス層13Aを形成してもよい。
Then, TiO was added to Al 2 O 3 on the polished surface.
2 is mixed in an amount of about 2.5% by weight, and a mixed powder having a particle size of about 10 μm is sprayed in a molten state by a vacuum spraying method and solidified. Thereby, the first ceramics layer having a volume resistivity value of the order of 10 11 Ω / □ can be formed.
Prior to the formation of the first ceramics layer, for example, nickel (Ni-5% by weight Al) containing about 5% by weight of aluminum is sprayed as a thermal spraying underlayer, and the first thermal spraying underlayer is formed on the thermal spraying underlayer. The ceramic layer may be formed by a thermal spraying method. Thereafter, the electrode 14A is formed on the first ceramic layer by a thermal spraying method. The electrode 14A is of a bipolar type.
Thereafter, about 2.5% by weight of TiO 2 was added to Al 2 O 3 over the entire surface.
A second ceramic layer is formed by spraying and solidifying the mixed powder having a mixed particle size of about 10 μm in a molten state by a vacuum spraying method. Thus, the ceramic layer 13A (the first ceramic layer and the second ceramic layer) in which the electrode 14A is formed can be formed. Thereafter, a heater 15A made of a PBN heater is attached to the bottom surface of the base material 12A, that is, the surface opposite to the surface on which the ceramic layer 13A is provided.
Obtain OA. The ceramic layer 13A may be formed on the side surface of the base material 12A by a thermal spraying method.

【0082】このようにして作製された基体載置ステー
ジ10Aにあっては、第1及び第2のセラミックス層の
線膨張率α21,α22が母材12Aの線膨張率α1とほぼ
同じ値となっている。それ故、母材12Aの高温加熱な
どによる温度変化によっても、セラミックス層13Aに
割れ等の損傷は発生しない。また、実施の形態2におい
て、窒化アルミニウムとアルミニウムとの容積比を調整
することによって、更には、必要に応じて、Al23
ら成る第1及び第2のセラミックス層におけるTiO2
の添加率を調整することによって、母材12Aの線膨張
率α1と第1及び第2のセラミックス層の線膨張率
α21,α22を、(α1−4)≦α21≦(α1+4)及び
(α1−4)≦α22≦(α1+4)を満足する関係とする
ことができる。その結果、基体載置ステージ10Aの温
度変化に起因するセラミックス層13Aの割れ等の損傷
発生を、効果的に防止することができる。
In the substrate mounting stage 10A manufactured in this manner, the linear expansion coefficients α 21 and α 22 of the first and second ceramic layers are substantially the same as the linear expansion coefficient α 1 of the base material 12A. Value. Therefore, even if the temperature changes due to high-temperature heating of the base material 12A, the ceramic layer 13A does not suffer damage such as cracks. In the second embodiment, the volume ratio between aluminum nitride and aluminum is adjusted, and if necessary, the TiO 2 in the first and second ceramic layers made of Al 2 O 3 is used.
By adjusting the addition rate of the linear expansion coefficient alpha 21 linear expansion coefficient of the base material 12A alpha 1 and the first and second ceramic layers, the α 22, (α 1 -4) ≦ α 21 ≦ (α 1 +4) and (α 1 -4) ≦ α 22 ≦ (α 1 +4). As a result, it is possible to effectively prevent the ceramic layer 13A from being damaged due to a temperature change of the base mounting stage 10A.

【0083】また、セラミックス層13Aを母材12A
上に溶射法にて形成するので、大面積の複合部材を作製
することができ、基体の大面積化に容易に対処すること
ができる。更には、母材12Aとセラミックス層13A
とがより一層一体化するので、母材12Aとセラミック
ス層13Aとの間の応力緩和が図れると共に、母材12
Aからセラミックス層13Aへの熱伝導が速やかとな
り、セラミックス層13Aに保持・固定された基体の温
度制御を迅速に且つ確実に行うことが可能となる。
The ceramic layer 13A is formed on the base material 12A.
Since the composite member is formed thereon by a thermal spraying method, a composite member having a large area can be manufactured, and it is possible to easily cope with an increase in the area of the base. Further, the base material 12A and the ceramic layer 13A
Are further integrated, stress relaxation between the base material 12A and the ceramic layer 13A can be achieved, and the base material 12A
The heat conduction from A to the ceramic layer 13A becomes quick, and the temperature control of the substrate held and fixed to the ceramic layer 13A can be performed quickly and reliably.

【0084】複合部材11Aによって構成されるこのよ
うな基体載置ステージ10Aを備えた実施の形態2のエ
ッチング装置20Aは、図5に概念図を示すように、配
管16及びこれに関連する設備を除き、実質的には実施
の形態1にて説明した基体処理装置と同様とすることが
できるので、詳細な説明は省略する。尚、基体載置ステ
ージ10Aの温度制御は、蛍光ファイバ温度計36で検
知された温度を制御装置(PIDコントローラ)38で
検出し、ヒータ15Aへ電力を供給するための電源39
を制御することによって行うことができる。
The etching apparatus 20A of the second embodiment provided with such a substrate mounting stage 10A constituted by the composite member 11A includes, as shown in a conceptual diagram in FIG. Except for this, the structure can be substantially the same as that of the substrate processing apparatus described in the first embodiment, and a detailed description thereof will be omitted. The temperature of the substrate mounting stage 10A is controlled by a controller (PID controller) 38 detecting the temperature detected by the fluorescent fiber thermometer 36, and a power supply 39 for supplying power to the heater 15A.
Can be controlled.

【0085】尚、母材を構成するアルミニウム系材料の
組成をアルミニウムとしたが、その代わりに、母材を構
成するアルミニウム系材料の組成をアルミニウム及びケ
イ素とすることができる。アルミニウム系材料の組成を
アルミニウム及びケイ素(例えば、Al80体積%−S
i20体積%)とすることによって、母材の線膨張率α
1を制御することが可能となり、一層、セラミックス層
の線膨張率α21,α22との差を小さくすることが可能と
なる。また、第1のセラミックス層及び第2のセラミッ
クス層をAl23から構成する代わりに、窒化アルミニ
ウム(AlN)から構成してもよい。更には、第1のセ
ラミックス層をAl23から構成し、第2のセラミック
ス層を窒化アルミニウム(AlN)から構成してもよい
し、第1のセラミックス層を窒化アルミニウム(Al
N)から構成し、第2のセラミックス層をAl23から
構成してもよい。
Although the composition of the aluminum-based material forming the base material is aluminum, the composition of the aluminum-based material forming the base material may be aluminum and silicon instead. The composition of the aluminum-based material is changed to aluminum and silicon (for example, Al 80 volume% -S
i20% by volume), the linear expansion coefficient α of the base material
1 can be controlled, and the difference between the linear expansion coefficients α 21 and α 22 of the ceramic layer can be further reduced. Further, the first ceramic layer and the second ceramic layer may be made of aluminum nitride (AlN) instead of being made of Al 2 O 3 . Further, the first ceramics layer may be made of Al 2 O 3 , the second ceramics layer may be made of aluminum nitride (AlN), or the first ceramics layer may be made of aluminum nitride (AlN).
N), and the second ceramics layer may be made of Al 2 O 3 .

【0086】(実施の形態3)実施の形態3も実施の形
態1の変形である。実施の形態3が実施の形態1と相違
する点は、複合部材における母材を構成するセラミック
ス部材の組成を炭化ケイ素(SiC)とし、母材を構成
するアルミニウム系材料の組成をアルミニウム(Al)
とした点にある。
(Embodiment 3) Embodiment 3 is also a modification of Embodiment 1. The third embodiment is different from the first embodiment in that the composition of the ceramic member forming the base material in the composite member is silicon carbide (SiC), and the composition of the aluminum-based material forming the base material is aluminum (Al).
It is in the point which was.

【0087】複合部材によって構成される基体処理装置
の一部である実施の形態3における基体載置ステージ1
0Bの模式的な断面図を、図4の(B)に示す。この基
体載置ステージ10Bも複合部材11Bから構成されて
いる。この複合部材11Bは、セラミックス部材の組織
中にアルミニウム系材料が充填された母材12B(温度
調節ジャケットに相当する)と、この母材12Bの頂面
及び側面に溶射法にて設けられたセラミックス層13B
とから成る。母材12Bの形状は円盤である。尚、実施
の形態2と同様に、母材12Bの底面にはヒータ15B
が取り付けられている。また、セラミックス層13Bの
内部には電極14Bが形成されている。
The substrate mounting stage 1 according to the third embodiment which is a part of the substrate processing apparatus constituted by the composite member.
FIG. 4B is a schematic cross-sectional view of FIG. The substrate mounting stage 10B is also composed of the composite member 11B. The composite member 11B includes a base material 12B (corresponding to a temperature control jacket) in which the structure of the ceramic member is filled with an aluminum-based material, and a ceramic material provided on the top surface and side surfaces of the base material 12B by a thermal spraying method. Layer 13B
Consisting of The shape of the base material 12B is a disk. Incidentally, similarly to the second embodiment, the heater 15B is provided on the bottom surface of the base material 12B.
Is attached. An electrode 14B is formed inside the ceramic layer 13B.

【0088】実施の形態3においては、母材12Bを構
成するセラミックス部材の組成を炭化ケイ素(SiC)
とした。尚、炭化ケイ素の線膨張率は4×10-6/Kで
あり、熱伝導率は0.358cal/cm・秒・K(1
50W/m・K)である。また、母材を構成するアルミ
ニウム系材料の組成をアルミニウム(Al)とした。
(α1−4)≦α21≦(α1+4)及び(α1−4)≦α
22≦(α1+4)を満足するように炭化ケイ素とアルミ
ニウムとの容積比は決定されており、具体的には、炭化
ケイ素/アルミニウムの容積比は70/30である。
尚、母材12Bの線膨張率は、100〜300゜Cにお
ける平均値で、6.2×10-6/Kである。即ち、α1
=6.2である。セラミックス層13Bを構成する材料
を、TiO2が約1.5重量%添加されたAl23とし
た。セラミックス層13Bは、溶射法にて母材12Bの
頂面及び側面に形成されている。Al23は本来その線
膨張率が約8×10-6/Kであるが、Al23にTiO
2を添加することによって、その線膨張率は、100〜
300゜Cにおける平均値で、約8〜9×10-6/K
(α21,α22は約8〜9)となり、母材12Bの線膨張
率α1と第1及び第2のセラミックス層の線膨張率
α21,α22の関係は、(α1−4)≦α21≦(α1+4)
及び(α1−4)≦α22≦(α1+4)を満足する。これ
によって、母材12Bの高温加熱などによる温度変化に
よってもセラミックス層13Bに割れ等の損傷が発生す
ることを効果的に防止し得る。また、Al23にTiO
2を添加することにより、セラミックス層13Bの体積
固有抵抗値を1011Ω/□のオーダーに調整することが
できる。これによって、セラミックス層13Bが静電チ
ャックとしての機能を効果的に発揮する。
In the third embodiment, the composition of the ceramic member constituting base material 12B is silicon carbide (SiC).
And The linear expansion coefficient of silicon carbide is 4 × 10 −6 / K, and the thermal conductivity is 0.358 cal / cm · sec · K (1
50 W / m · K). The composition of the aluminum-based material constituting the base material was aluminum (Al).
1 -4) ≦ α 21 ≦ (α 1 +4) and (α 1 -4) ≦ α
The volume ratio between silicon carbide and aluminum is determined so as to satisfy 22 ≦ (α 1 +4), and specifically, the volume ratio of silicon carbide / aluminum is 70/30.
The coefficient of linear expansion of the base material 12B is 6.2 × 10 −6 / K as an average value at 100 to 300 ° C. That is, α 1
= 6.2. The material constituting the ceramic layer 13B was Al 2 O 3 to which about 1.5% by weight of TiO 2 was added. The ceramic layer 13B is formed on the top and side surfaces of the base material 12B by a thermal spraying method. Al 2 O 3 is originally the linear expansion coefficient of about 8 × 10 -6 / K, TiO the Al 2 O 3
By adding 2 , the coefficient of linear expansion is from 100 to
About 8 to 9 × 10 −6 / K at an average value at 300 ° C.
21 and α 22 are approximately 8 to 9), and the relationship between the linear expansion coefficient α 1 of the base material 12B and the linear expansion coefficients α 21 and α 22 of the first and second ceramic layers is (α 1 -4). ) ≦ α 21 ≦ (α 1 +4)
And (α 1 -4) ≦ α 22 ≦ (α 1 +4). Thus, it is possible to effectively prevent the ceramic layer 13B from being damaged by cracking or the like even by a temperature change due to high-temperature heating of the base material 12B. Also, TiO is added to Al 2 O 3 .
By adding 2 , the volume resistivity of the ceramic layer 13B can be adjusted to the order of 10 11 Ω / □. Thus, the ceramic layer 13B effectively exhibits a function as an electrostatic chuck.

【0089】ヒータ15Bは、実施の形態2と同様に、
PBNヒータである。ヒータ15Bを母材12Bである
温度調節ジャケットの裏面に取り付けることにより、母
材12Bを常温から約500゜Cまでの範囲内で温度制
御することが可能となる。そして、電極14Bに配線
(図示せず)を介して直流電圧を印加すれば、セラミッ
クス層13Bが静電チャックとして機能する。尚、この
基体載置ステージ10Bには、セラミックス層13B上
に載置、保持された基体を押し上げるためのプッシャー
ピン(図示せず)が埋設されている。また、このプッシ
ャーピンには、プッシャーピンをセラミックス層13B
の頂面上に突出させあるいは頂面下に埋没させる機構
(図示せず)が取り付けられている。
The heater 15B is provided in the same manner as in the second embodiment.
It is a PBN heater. By attaching the heater 15B to the back surface of the temperature control jacket, which is the base material 12B, the temperature of the base material 12B can be controlled within a range from room temperature to about 500 ° C. When a DC voltage is applied to the electrode 14B via a wiring (not shown), the ceramic layer 13B functions as an electrostatic chuck. A pusher pin (not shown) for pushing up the substrate placed and held on the ceramic layer 13B is embedded in the substrate mounting stage 10B. Also, this pusher pin is connected to the ceramic layer 13B.
A mechanism (not shown) for projecting above the top surface or burying below the top surface is attached.

【0090】基体載置ステージ10Bの作製方法を、以
下、説明する。複合部材11Bは、基本的には、実施の
形態2と同様に、(A)セラミックス部材の組織中にア
ルミニウム系材料を充填し、以て、セラミックス部材の
組織中にアルミニウム系材料が充填された母材12Bを
作製する工程と、(B)母材12Bの表面に溶射法にて
第1のセラミックス層を形成した後、第1のセラミック
ス層上に電極14Bを形成し、次いで、電極14Bを含
む第1のセラミックス層上に溶射法にて第2のセラミッ
クス層を形成する工程に基づき作製される。実施の形態
3においては、この工程(A)は、非加圧金属浸透法に
基づき、炭化ケイ素粒子から成形されたセラミックス部
材に溶融したアルミニウムを組成としたアルミニウム系
材料を非加圧状態にて浸透させる工程から成る。
The method of manufacturing the substrate mounting stage 10B will be described below. The composite member 11B is basically (A) a structure in which a ceramic member is filled with an aluminum-based material and a structure in which the ceramic member is filled with an aluminum-based material, similarly to the second embodiment. (B) forming a first ceramic layer on the surface of the base material 12B by spraying, forming an electrode 14B on the first ceramic layer, and then forming the electrode 14B on the first ceramic layer. It is manufactured based on a step of forming a second ceramics layer on the first ceramics layer including the first ceramics layer by a thermal spraying method. In the third embodiment, in this step (A), based on a non-pressurized metal infiltration method, an aluminum-based material having a composition of aluminum melted in a ceramic member formed from silicon carbide particles is applied in a non-pressurized state. Permeation step.

【0091】具体的には、平均粒径15μmのSiC粒
子と平均粒径60μmのSiC粒子とを容積比で1:4
にて混合したものを鋳込み泥漿成形法にて成形した後、
約800゜Cの温度で焼成を行うことによって、SiC
粒子を成形したプリフォームであるセラミックス部材を
作製した。そして、このセラミックス部材を約800゜
Cに予備加熱しておき、約800゜Cに加熱して溶融し
たアルミニウムを非加圧でセラミックス部材に浸透させ
る。これによって、SiC70体積%−Al30体積%
の構成の母材12Bを作製することができる。次いで、
母材12Bを成形加工して円盤状の温度調節ジャケット
の形状とする。尚、この母材12Bには、プッシャーピ
ン等を埋設するための孔も予め加工しておく。次いで、
このようにして得られた母材12Bの頂面及び側面を研
磨する。
More specifically, a volume ratio of SiC particles having an average particle size of 15 μm to SiC particles having an average particle size of 60 μm is 1: 4.
After shaping the mixture mixed by the casting slurry forming method,
By firing at a temperature of about 800 ° C., the SiC
A ceramic member, which is a preform formed from particles, was produced. Then, the ceramic member is preheated to about 800 ° C., and the aluminum melted by heating to about 800 ° C. is infiltrated into the ceramic member without pressure. Thereby, SiC 70 volume% -Al 30 volume%
Can be manufactured. Then
The base material 12B is formed into a shape of a disc-shaped temperature control jacket. A hole for embedding a pusher pin or the like is also formed in the base material 12B in advance. Then
The top and side surfaces of the base material 12B thus obtained are polished.

【0092】その後、この研磨面に、Al23にTiO
2を約1.5重量%混合した粒径が約10μmの混合粉
末を真空溶射法によって溶融状態で吹き付け、固化させ
る。これによって、体積固有抵抗値が1011Ω/□オー
ダーの第1のセラミックス層を形成することができる。
尚、第1のセラミックス層の形成の前に、溶射下地層と
して例えばアルミニウムを約5重量%含んだニッケル
(Ni−5重量%Al)を溶射しておき、この溶射下地
層上に第1のセラミックス層を溶射法にて形成してもよ
い。その後、メッキ法にて電極14Bを第1のセラミッ
クス層上に形成する。尚、電極14Bは双極形式であ
る。その後、全面に、Al23にTiO2を約1.5重
量%混合した粒径が約10μmの混合粉末を真空溶射法
によって溶融状態で吹き付け、固化させることによっ
て、第2のセラミックス層を形成する。こうして、内部
に電極14Bが形成されたセラミックス層13B(第1
のセラミックス層及び第2のセラミックス層)を形成す
ることができる。その後、母材12Bの底面、即ちセラ
ミックス層13Bが設けられた頂面と反対側の面にPB
Nヒータから成るヒータ15Bを取り付け、基体載置ス
テージ10Bを得る。
Then, TiO was added to Al 2 O 3 on the polished surface.
2 is mixed in an amount of about 1.5% by weight, and a mixed powder having a particle diameter of about 10 μm is sprayed in a molten state by a vacuum spraying method and solidified. Thereby, the first ceramics layer having a volume resistivity value of the order of 10 11 Ω / □ can be formed.
Prior to the formation of the first ceramics layer, for example, nickel (Ni-5% by weight Al) containing about 5% by weight of aluminum is sprayed as a thermal spraying underlayer, and the first thermal spraying underlayer is formed on the thermal spraying underlayer. The ceramic layer may be formed by a thermal spraying method. Thereafter, the electrode 14B is formed on the first ceramic layer by a plating method. The electrode 14B is of a bipolar type. Thereafter, a mixed powder of Al 2 O 3 mixed with TiO 2 of about 1.5% by weight and having a particle size of about 10 μm is sprayed on the entire surface in a molten state by a vacuum spraying method and solidified, thereby forming a second ceramic layer. Form. Thus, the ceramic layer 13B having the electrode 14B formed therein (first
Ceramic layer and the second ceramic layer) can be formed. Then, PB is applied to the bottom surface of the base material 12B, that is, the surface opposite to the top surface on which the ceramic layer 13B is provided.
A heater 15B composed of N heaters is attached to obtain a substrate mounting stage 10B.

【0093】尚、基体載置ステージ10Bの作製方法
は、上述の方法に限定されない。上述の工程(A)を、
実施の形態1と同様に、容器(鋳型)の中に炭化ケイ素
を組成としたセラミックス部材を配し、この容器(鋳
型)内に溶融したアルミニウムを組成としたアルミニウ
ム系材料を流し込み、高圧鋳造法にてセラミックス部材
中にアルミニウム系材料を充填する工程から構成するこ
ともできる。即ち、基体載置ステージ10Bを作製する
には、先ず、所定の円盤形状に成形されたSiCから成
るプリフォームを用意する。尚、プリフォームには、プ
ッシャーピン等を埋設するための孔を予め加工してお
く。次いで、プリフォームから成るセラミックス部材を
約800゜Cに予備加熱しておき、続いて、容器(鋳
型)内に約800゜Cに加熱して溶融状態としたアルミ
ニウムを流し込む。そして、容器(鋳型)内に約1トン
/cm2の高圧を加える高圧鋳造法を実行する。その結
果、セラミックス部材の組織中には、アルミニウムが充
填される。そして、アルミニウムを冷却・固化させるこ
とによって、母材12Bが作製される。以下、先に述べ
たと同様の方法で基体載置ステージ10Bを作製すれば
よい。
The method of manufacturing the substrate mounting stage 10B is not limited to the method described above. The above step (A)
As in the first embodiment, a ceramic member having a composition of silicon carbide is provided in a container (mold), and an aluminum-based material having a composition of molten aluminum is poured into the container (mould). And filling the ceramic member with an aluminum-based material. That is, in order to manufacture the substrate mounting stage 10B, first, a preform made of SiC formed into a predetermined disk shape is prepared. A hole for embedding a pusher pin or the like is formed in the preform in advance. Next, the ceramic member made of the preform is preheated to about 800 ° C., and subsequently, molten aluminum is poured into the vessel (mold) by heating to about 800 ° C. Then, a high-pressure casting method in which a high pressure of about 1 ton / cm 2 is applied in the container (mold) is performed. As a result, the structure of the ceramic member is filled with aluminum. Then, the base material 12B is manufactured by cooling and solidifying the aluminum. Hereinafter, the substrate mounting stage 10B may be manufactured by the same method as described above.

【0094】このようにして作製された基体載置ステー
ジ10Bにあっては、母材12Bの高温加熱などによる
温度変化によっても、セラミックス層13Bに割れ等の
損傷は発生しない。また、実施の形態3の基体処理装置
にあっては、炭化ケイ素とアルミニウム系材料との容積
比を調整することによって、更には、必要に応じて、A
23から成るセラミックス層13BにおけるTiO2
の添加率を調整することによって、母材12Bの線膨張
率α1と第1及び第2のセラミックス層の線膨張率
α21,α22を、(α1−4)≦α21≦(α1+4)及び
(α1−4)≦α22≦(α1+4)を満足する関係とする
ことができる。その結果、基体載置ステージ10Bの温
度変化に起因するセラミックス層13Bの割れ等の損傷
発生を、効果的に防止することができる。
In the substrate mounting stage 10B manufactured as described above, even if the base material 12B is heated by high temperature or the like, the ceramic layer 13B is not damaged such as a crack. Further, in the substrate processing apparatus according to the third embodiment, by adjusting the volume ratio between silicon carbide and the aluminum-based material, and further, if necessary, A
TiO 2 in ceramic layer 13B made of l 2 O 3
By adjusting the addition rate of the linear expansion coefficient alpha 21 linear expansion coefficient of the base material 12B alpha 1 and the first and second ceramic layers, the α 22, (α 1 -4) ≦ α 21 ≦ (α 1 +4) and (α 1 -4) ≦ α 22 ≦ (α 1 +4). As a result, it is possible to effectively prevent damage such as cracking of the ceramic layer 13B due to a temperature change of the base mounting stage 10B.

【0095】また、セラミックス層13Bを母材12B
上に溶射法にて形成するので、大面積の複合部材を作製
することができ、基体の大面積化に容易に対処すること
ができる。しかも、母材12Bとセラミックス層13B
とがより一層一体化するので、母材12Bとセラミック
ス層13Bとの間の応力緩和が図れると共に、母材12
Bからセラミックス層13Bへの熱伝導が速やかとな
り、セラミックス層13Bに保持・固定された基体の温
度制御を迅速に且つ確実に行うことが可能となる。
The ceramic layer 13B is formed on the base material 12B.
Since the composite member is formed thereon by a thermal spraying method, a composite member having a large area can be manufactured, and it is possible to easily cope with an increase in the area of the base. Moreover, the base material 12B and the ceramic layer 13B
Are further integrated, stress relaxation between the base material 12B and the ceramic layer 13B can be achieved, and the base material 12B
The heat conduction from B to the ceramic layer 13B becomes quick, and the temperature control of the substrate held and fixed to the ceramic layer 13B can be performed quickly and reliably.

【0096】尚、母材を構成するアルミニウム系材料の
組成をアルミニウムとしたが、その代わりに、母材を構
成するアルミニウム系材料の組成をアルミニウム及びケ
イ素(例えば、Al80体積%−Si20体積%)とす
ることができる。アルミニウム系材料の組成をアルミニ
ウム及びケイ素とすることによって、母材の線膨張率α
1を制御することが可能となり、一層、第1及び第2の
セラミックス層の線膨張率α21,α22との差を小さくす
ることが可能となる。また、第1のセラミックス層及び
第2のセラミックス層をAl23から構成する代わり
に、窒化アルミニウム(AlN)から構成してもよい。
更には、第1のセラミックス層をAl23から構成し、
第2のセラミックス層を窒化アルミニウム(AlN)か
ら構成してもよいし、第1のセラミックス層を窒化アル
ミニウム(AlN)から構成し、第2のセラミックス層
をAl23から構成してもよい。
Although the composition of the aluminum-based material forming the base material was aluminum, the composition of the aluminum-based material forming the base material was changed to aluminum and silicon (for example, 80% by volume of Al—20% by volume of Si). It can be. By setting the composition of the aluminum-based material to aluminum and silicon, the linear expansion coefficient α of the base material
1 can be controlled, and the difference between the linear expansion coefficients α 21 and α 22 of the first and second ceramic layers can be further reduced. Further, the first ceramic layer and the second ceramic layer may be made of aluminum nitride (AlN) instead of being made of Al 2 O 3 .
Further, the first ceramics layer is made of Al 2 O 3 ,
The second ceramic layer may be composed of aluminum nitride (AlN), the first ceramic layer may be composed of aluminum nitride (AlN), and the second ceramic layer may be composed of Al 2 O 3. .

【0097】複合部材11Bによって構成されるこのよ
うな基体載置ステージ10Bを備えた実施の形態3のエ
ッチング装置20Bの概念図を図6に示す。このエッチ
ング装置20BはICP(Inductive Coupled Plasma)
型のドライエッチング装置である。エッチング装置20
Bには、石英製のチャンバー51と、天板52と、チャ
ンバー51の側面の外側に配設された誘導結合コイル5
3が備えられている。チャンバー51内には、半導体基
板40を保持・固定するための基体載置ステージ10B
(図4の(B)参照)が配設されている。更に、チャン
バー51内のガスを排気するための排気口57が、真空
ポンプ等の負圧手段(図示せず)に接続されている。基
体載置ステージ10Bには、半導体基板40への入射イ
オンエネルギーを制御するためのバイアス電源54が接
続され、更には、電極14Bにはセラミックス層13B
に静電吸着力を発揮させるための直流電源55が接続さ
れている。また、基体載置ステージ10Bの母材12B
に配設されたヒータ15Bは、電源56に接続されてい
る。更には、半導体基板40の温度を計測するための蛍
光ファイバ温度計(図示せず)が、エッチング装置20
Bには備えられている。基体載置ステージ10Bの温度
制御は、蛍光ファイバ温度計で検知された温度を制御装
置(PIDコントローラ)(図示せず)で検出し、ヒー
タ15Bへ電力を供給するための電源56を制御するこ
とによって行うことができる。尚、天板52は、後述す
るように、複合材料から作製されていることが好まし
い。
FIG. 6 shows a conceptual diagram of an etching apparatus 20B of the third embodiment provided with such a substrate mounting stage 10B constituted by the composite member 11B. This etching apparatus 20B is an ICP (Inductive Coupled Plasma).
It is a type of dry etching device. Etching equipment 20
B includes a quartz chamber 51, a top plate 52, and an inductive coupling coil 5 disposed outside the side surface of the chamber 51.
3 are provided. A substrate mounting stage 10B for holding and fixing the semiconductor substrate 40 is provided in the chamber 51.
(See FIG. 4B). Further, an exhaust port 57 for exhausting the gas in the chamber 51 is connected to negative pressure means (not shown) such as a vacuum pump. A bias power supply 54 for controlling ion energy incident on the semiconductor substrate 40 is connected to the base mounting stage 10B, and further, a ceramic layer 13B is connected to the electrode 14B.
Is connected to a DC power supply 55 for exerting an electrostatic attraction force. The base material 12B of the base mounting stage 10B
Is connected to the power supply 56. Further, a fluorescent fiber thermometer (not shown) for measuring the temperature of the semiconductor substrate 40 is provided in the etching apparatus 20.
B is equipped. The temperature of the substrate mounting stage 10B is controlled by detecting a temperature detected by a fluorescent fiber thermometer with a control device (PID controller) (not shown) and controlling a power supply 56 for supplying power to the heater 15B. Can be done by The top plate 52 is preferably made of a composite material as described later.

【0098】実施の形態1と同様に、基体処理装置であ
るエッチング装置20Bを用いて銅(Cu)膜のプラズ
マエッチングを行った。エッチングの条件を以下の表3
に例示する条件とした。
As in the first embodiment, plasma etching of a copper (Cu) film was performed using an etching apparatus 20B as a substrate processing apparatus. The etching conditions are shown in Table 3 below.
Conditions.

【0099】[0099]

【表3】 エッチングガス:Cl2=10sccm 圧力 :0.13Pa(1mTorr) ソースパワー :1.5kW(13.56MHz) RFバイアス :350W 半導体基板温度:250゜C 天板52の温度:300゜CTable 3 Etching gas: Cl 2 = 10 sccm Pressure: 0.13 Pa (1 mTorr) Source power: 1.5 kW (13.56 MHz) RF bias: 350 W Semiconductor substrate temperature: 250 ° C. Temperature of top plate 52: 300 ° C.

【0100】このようにしてプラズマエッチング処理を
行ったところ、エッチング処理中においてもプラズマか
らの入熱に起因する半導体基板40等の温度上昇が殆ど
認められず、エッチング処理中、半導体基板40、更に
はCu膜43(図3参照)を設定した温度(250゜
C)に安定して保つことができた。そして、このように
Cu膜43を含む半導体基板40の温度を高精度で安定
させることができたため、エッチングガスとしてCl2
を単独で用いたにも拘わらず、良好な異方性形状を有す
る配線を形成することができ、Cu膜43の加工を良好
に行うことができた。
When the plasma etching process was performed in this manner, almost no increase in the temperature of the semiconductor substrate 40 and the like due to the heat input from the plasma was observed during the etching process. Was able to stably maintain the Cu film 43 (see FIG. 3) at the set temperature (250 ° C.). Since the temperature of the semiconductor substrate 40 including the Cu film 43 could be stabilized with high accuracy in this manner, Cl 2 was used as an etching gas.
In spite of using alone, a wiring having a good anisotropic shape could be formed, and the Cu film 43 could be processed favorably.

【0101】(実施の形態4)実施の形態4も実施の形
態1の変形である。実施の形態4が実施の形態1と相違
する点は、複合部材における母材を構成するセラミック
ス部材の組成を酸化アルミニウム(Al23)とし、母
材を構成するアルミニウム系材料の組成をアルミニウム
(Al)とした点にある。
(Embodiment 4) Embodiment 4 is also a modification of Embodiment 1. Embodiment 4 is different from Embodiment 1 in that the composition of the ceramic member forming the base material in the composite member is aluminum oxide (Al 2 O 3 ), and the composition of the aluminum-based material forming the base material is aluminum. (Al).

【0102】複合部材によって構成される基体処理装置
の一部である実施の形態4における基体載置ステージ1
0Bの模式的な断面図は図4の(B)に示したと同様で
ある。即ち、実施の形態4における複合部材は、実施の
形態3にて説明した複合部材11Bと同様の構造を有す
る。
Substrate mounting stage 1 in Embodiment 4 which is a part of a substrate processing apparatus constituted by a composite member
The schematic cross-sectional view of FIG. 0B is the same as that shown in FIG. That is, the composite member in the fourth embodiment has the same structure as the composite member 11B described in the third embodiment.

【0103】実施の形態4においては、母材12Bを構
成するセラミックス部材の組成を酸化アルミニウム(A
23)とした。尚、酸化アルミニウムの線膨張率は
7.8×10-6/Kであり、熱伝導率は0.069ca
l/cm・秒・K(29W/m・K)である。また、母
材を構成するアルミニウム系材料の組成をアルミニウム
(Al)とした。(α1−4)≦α21≦(α1+4)及び
(α1−4)≦α22≦(α1+4)を満足するように酸化
アルミニウムアルミニウムとの容積比は決定されてお
り、具体的には、酸化アルミニウム/アルミニウムの容
積比は80/20である。尚、母材12Bの線膨張率
は、100〜300゜Cにおける平均値で、11×10
-6/Kである。即ち、α1=11である。第1及び第2
のセラミックス層を構成する材料を、TiO2が約1.
5重量%添加されたAl23とした。セラミックス層1
3Bは、溶射法にて母材12Bの頂面及び側面に形成さ
れている。そして、母材12Bの線膨張率α1と第1及
び第2のセラミックス層の線膨張率α21,α22の関係
は、(α1−4)≦α21≦(α1+4)及び(α1−4)
≦α22≦(α1+4)を満足する。これによって、母材
12Bの高温加熱などによる温度変化によってもセラミ
ックス層13Bに割れ等の損傷が発生することを効果的
に防止し得る。また、Al23にTiO2を添加するこ
とにより、セラミックス層13Bの体積固有抵抗値を1
11Ω/□のオーダーに調整することができる。これに
よって、セラミックス層13Bが静電チャックとしての
機能を効果的に発揮する。
In the fourth embodiment, the composition of the ceramic member constituting base material 12B is changed to aluminum oxide (A
l 2 O 3 ). The coefficient of linear expansion of aluminum oxide is 7.8 × 10 −6 / K, and the thermal conductivity is 0.069 ca.
1 / cm · second · K (29 W / m · K). The composition of the aluminum-based material constituting the base material was aluminum (Al). The volume ratio with aluminum aluminum oxide is determined so as to satisfy (α 1 -4) ≦ α 21 ≦ (α 1 +4) and (α 1 -4) ≦ α 22 ≦ (α 1 +4). Typically, the volume ratio of aluminum oxide / aluminum is 80/20. The coefficient of linear expansion of the base material 12B is an average value at 100 to 300 ° C.
−6 / K. That is, α 1 = 11. First and second
The material constituting the ceramic layer of TiO 2 is about 1.
Al 2 O 3 was added at 5% by weight. Ceramic layer 1
3B is formed on the top and side surfaces of the base material 12B by a thermal spraying method. The linear expansion coefficient alpha 21 linear expansion coefficient of the base material 12B alpha 1 and the first and second ceramic layers, the relationship of alpha 22 is, (α 1 -4) ≦ α 21 ≦ (α 1 +4) and ( α 1 -4)
≦ α 22 ≦ (α 1 +4). Thus, it is possible to effectively prevent the ceramic layer 13B from being damaged by cracking or the like even by a temperature change due to high-temperature heating of the base material 12B. Also, by adding TiO 2 to Al 2 O 3 , the volume resistivity of the ceramic layer 13B is reduced to 1
It can be adjusted to the order of 0 11 Ω / □. Thus, the ceramic layer 13B effectively exhibits a function as an electrostatic chuck.

【0104】実施の形態4の基体載置ステージ10Bの
作製方法を、以下、説明する。複合部材11Bは、基本
的には、実施の形態2と同様に、(A)セラミックス部
材の組織中にアルミニウム系材料を充填し、以て、セラ
ミックス部材の組織中にアルミニウム系材料が充填され
た母材12Bを作製する工程と、(B)母材12Bの表
面に溶射法にて第1のセラミックス層を形成した後、第
1のセラミックス層上に電極14Bを形成し、次いで、
電極14Bを含む第1のセラミックス層上に溶射法にて
第2のセラミックス層を形成する工程に基づき作製され
る。実施の形態4においては、この工程(A)は、非加
圧金属浸透法に基づき、酸化アルミニウムから成形され
たセラミックス部材に溶融したアルミニウムを組成とし
たアルミニウム系材料を非加圧状態にて浸透させる工程
から成る。
A method of manufacturing the base mounting stage 10B according to the fourth embodiment will be described below. The composite member 11B is basically (A) a structure in which a ceramic member is filled with an aluminum-based material and a structure in which the ceramic member is filled with an aluminum-based material, similarly to the second embodiment. Forming a base material 12B, and (B) forming a first ceramic layer on the surface of the base material 12B by thermal spraying, forming an electrode 14B on the first ceramic layer,
It is produced based on a step of forming a second ceramics layer on the first ceramics layer including the electrode 14B by a thermal spraying method. In the fourth embodiment, in this step (A), the non-pressurized metal infiltration method is used to infiltrate a ceramic material formed of aluminum oxide into an aluminum-based material containing molten aluminum in a non-pressurized state. The step of causing

【0105】具体的には、平均粒径20μmのAl23
粒子と平均粒径80μmのAl23粒子とを容積比で
1:4にて混合したものを鋳込み泥漿成形法にて成形し
た後、約800゜Cの温度で焼成を行うことによって、
Al23粒子を成形したプリフォームであるセラミック
ス部材を作製した。そして、このセラミックス部材を約
800゜Cに予備加熱しておき、約800゜Cに加熱し
て溶融したアルミニウムを非加圧でセラミックス部材に
浸透させる。これによって、Al2380体積%−Al
20体積%の構成の母材12Bを作製することができ
る。次いで、母材12Bを成形加工して円盤状の温度調
節ジャケットの形状とする。尚、この母材12Bには、
プッシャーピン等を埋設するための孔も予め加工してお
く。次いで、このようにして得られた母材12Bの頂面
及び側面を研磨する。
Specifically, Al 2 O 3 having an average particle size of 20 μm
A mixture obtained by mixing particles and Al 2 O 3 particles having an average particle diameter of 80 μm at a volume ratio of 1: 4 is cast by a slurry molding method, and then baked at a temperature of about 800 ° C.
A ceramic member as a preform formed of Al 2 O 3 particles was produced. Then, the ceramic member is preheated to about 800 ° C., and the aluminum melted by heating to about 800 ° C. is infiltrated into the ceramic member without pressure. Thereby, Al 2 O 3 80 volume% -Al
A base material 12B having a configuration of 20% by volume can be manufactured. Next, the base material 12B is formed into a shape of a disc-shaped temperature control jacket. In addition, in this base material 12B,
A hole for embedding a pusher pin or the like is also processed in advance. Next, the top and side surfaces of the base material 12B thus obtained are polished.

【0106】その後、この研磨面に、Al23にTiO
2を約1.5重量%混合した粒径が約10μmの混合粉
末を真空溶射法によって溶融状態で吹き付け、固化させ
る。これによって、体積固有抵抗値が1011Ω/□オー
ダーの第1のセラミックス層を形成することができる。
尚、第1のセラミックス層の形成の前に、溶射下地層と
して例えばアルミニウムを約5重量%含んだニッケル
(Ni−5重量%Al)を溶射しておき、この溶射下地
層上に第1のセラミックス層を溶射法にて形成してもよ
い。その後、導電性ペーストを印刷、硬化させる印刷法
にて電極14Bを第1のセラミックス層上に形成する。
尚、電極14Bは双極形式である。その後、全面に、A
23にTiO2を約2.5重量%混合した粒径が約1
0μmの混合粉末を真空溶射法によって溶融状態で吹き
付け、固化させることによって、第2のセラミックス層
を形成する。こうして、内部に電極14Bが形成された
セラミックス層13B(第1のセラミックス層及び第2
のセラミックス層)を形成することができる。その後、
母材12Bの底面、即ちセラミックス層13Bが設けら
れた頂面と反対側の面にPBNヒータから成るヒータ1
5Bを取り付け、基体載置ステージ10Bを得る。
Then, TiO was added to Al 2 O 3 on the polished surface.
2 is mixed in an amount of about 1.5% by weight, and a mixed powder having a particle diameter of about 10 μm is sprayed in a molten state by a vacuum spraying method and solidified. Thereby, the first ceramics layer having a volume resistivity value of the order of 10 11 Ω / □ can be formed.
Prior to the formation of the first ceramics layer, for example, nickel (Ni-5% by weight Al) containing about 5% by weight of aluminum is sprayed as a thermal spraying underlayer, and the first thermal spraying underlayer is formed on the thermal spraying underlayer. The ceramic layer may be formed by a thermal spraying method. Thereafter, the electrodes 14B are formed on the first ceramic layer by a printing method of printing and curing the conductive paste.
The electrode 14B is of a bipolar type. After that, A
About 2.5% by weight of TiO 2 mixed with l 2 O 3 has a particle size of about 1
A second ceramic layer is formed by spraying and solidifying a mixed powder of 0 μm in a molten state by a vacuum spraying method. Thus, the ceramic layer 13B having the electrode 14B formed therein (the first ceramic layer and the second ceramic layer 13B) is formed.
Ceramic layer) can be formed. afterwards,
A heater 1 comprising a PBN heater is provided on the bottom surface of the base material 12B, that is, on the surface opposite to the top surface on which the ceramic layer 13B is provided.
5B is attached to obtain a substrate mounting stage 10B.

【0107】尚、基体載置ステージ10Bの作製方法
は、上述の方法に限定されない。上述の工程(A)を、
実施の形態1と同様に、容器(鋳型)の中に酸化アルミ
ニウムを組成としたセラミックス部材を配し、この容器
(鋳型)内に溶融したアルミニウムを組成としたアルミ
ニウム系材料を流し込み、高圧鋳造法にてセラミックス
部材中にアルミニウム系材料を充填する工程から構成す
ることもできる。即ち、基体載置ステージ10Bを作製
するには、先ず、所定の円盤形状に成形されたAl23
から成るプリフォームを用意する。尚、プリフォームに
は、プッシャーピン等を埋設するための孔を予め加工し
ておく。次いで、プリフォームから成るセラミックス部
材を約800゜Cに予備加熱しておき、続いて、容器
(鋳型)内に約800゜Cに加熱して溶融状態としたア
ルミニウムを流し込む。そして、容器(鋳型)内に約1
トン/cm2の高圧を加える高圧鋳造法を実行する。そ
の結果、セラミックス部材の組織中には、アルミニウム
が充填される。そして、アルミニウムを冷却・固化させ
ることによって、母材12Bが作製される。以下、先に
述べたと同様の方法で基体載置ステージ10Bを作製す
ればよい。
The method of manufacturing the substrate mounting stage 10B is not limited to the method described above. The above step (A)
As in the first embodiment, a ceramic member containing aluminum oxide is placed in a container (mold), and an aluminum-based material containing aluminum is poured into the container (mold). And filling the ceramic member with an aluminum-based material. That is, in order to manufacture the substrate mounting stage 10B, first, Al 2 O 3 formed into a predetermined disc shape is used.
A preform consisting of A hole for embedding a pusher pin or the like is formed in the preform in advance. Next, the ceramic member made of the preform is preheated to about 800 ° C., and subsequently, molten aluminum is poured into the vessel (mold) by heating to about 800 ° C. And about 1 in the container (mold)
A high pressure casting method is applied, applying a high pressure of ton / cm 2 . As a result, the structure of the ceramic member is filled with aluminum. Then, the base material 12B is manufactured by cooling and solidifying the aluminum. Hereinafter, the substrate mounting stage 10B may be manufactured by the same method as described above.

【0108】このようにして作製された基体載置ステー
ジ10Bにあっては、母材12Bの高温加熱などによる
温度変化によっても、セラミックス層13Bに割れ等の
損傷は発生しない。また、実施の形態4の基体処理装置
にあっては、酸化アルミニウムとアルミニウム系材料と
の容積比を調整することによって、更には、必要に応じ
て、Al23から成る第1及び第2のセラミックス層に
おけるTiO2の添加率を調整することによって、母材
12Bの線膨張率α1と第1及び第2のセラミックス層
の線膨張率α21,α22を、(α1−4)≦α21≦(α1
4)及び(α1−4)≦α22≦(α1+4)を満足する関
係とすることができる。その結果、基体載置ステージ1
0Bの温度変化に起因するセラミックス層13Bの割れ
等の損傷発生を、効果的に防止することができる。
In the substrate mounting stage 10B manufactured as described above, the ceramic layer 13B does not suffer damage such as cracks even when the base material 12B changes in temperature due to high-temperature heating or the like. Further, in the substrate processing apparatus according to the fourth embodiment, the first and second layers made of Al 2 O 3 may be further adjusted by adjusting the volume ratio between aluminum oxide and the aluminum-based material, if necessary. By adjusting the addition rate of TiO 2 in the ceramic layer of ( 1 ), the linear expansion coefficient α 1 of the base material 12B and the linear expansion coefficients α 21 and α 22 of the first and second ceramic layers are (α 1-4). ≦ α 21 ≦ (α 1 +
4) and (α 1 -4) ≦ α 22 ≦ (α 1 +4). As a result, the substrate mounting stage 1
Damage such as cracking of the ceramic layer 13B due to a temperature change of 0B can be effectively prevented.

【0109】また、セラミックス層13Bを母材12B
上に溶射法にて形成するので、大面積の複合部材を作製
することができ、基体の大面積化に容易に対処すること
ができる。しかも、母材12Bとセラミックス層13B
とがより一層一体化するので、母材12Bとセラミック
ス層13Bとの間の応力緩和が図れると共に、母材12
Bからセラミックス層13Bへの熱伝導が速やかとな
り、セラミックス層13Bに保持・固定された基体の温
度制御を迅速に且つ確実に行うことが可能となる。
The ceramic layer 13B is formed on the base material 12B.
Since the composite member is formed thereon by a thermal spraying method, a composite member having a large area can be manufactured, and it is possible to easily cope with an increase in the area of the base. Moreover, the base material 12B and the ceramic layer 13B
Are further integrated, stress relaxation between the base material 12B and the ceramic layer 13B can be achieved, and the base material 12B
The heat conduction from B to the ceramic layer 13B becomes quick, and the temperature control of the substrate held and fixed to the ceramic layer 13B can be performed quickly and reliably.

【0110】尚、母材を構成するアルミニウム系材料の
組成をアルミニウムとしたが、その代わりに、母材を構
成するアルミニウム系材料の組成をアルミニウム及びケ
イ素(例えば、Al80体積%−Si20体積%)とす
ることができる。アルミニウム系材料の組成をアルミニ
ウム及びケイ素とすることによって、母材の線膨張率α
1を制御することが可能となり、一層セラミックス層の
線膨張率α21,α22との差を小さくすることが可能とな
る。また、第1のセラミックス層及び第2のセラミック
ス層をAl23から構成する代わりに、窒化アルミニウ
ム(AlN)から構成してもよい。更には、第1のセラ
ミックス層をAl23から構成し、第2のセラミックス
層を窒化アルミニウム(AlN)から構成してもよい
し、第1のセラミックス層を窒化アルミニウム(Al
N)から構成し、第2のセラミックス層をAl23から
構成してもよい。
Although the composition of the aluminum-based material forming the base material was aluminum, the composition of the aluminum-based material forming the base material was changed to aluminum and silicon (for example, Al 80 vol% -Si 20 vol%). It can be. By setting the composition of the aluminum-based material to aluminum and silicon, the linear expansion coefficient α of the base material
1 can be controlled, and the difference between the linear expansion coefficients α 21 and α 22 of the ceramic layer can be further reduced. Further, the first ceramic layer and the second ceramic layer may be made of aluminum nitride (AlN) instead of being made of Al 2 O 3 . Further, the first ceramics layer may be made of Al 2 O 3 , the second ceramics layer may be made of aluminum nitride (AlN), or the first ceramics layer may be made of aluminum nitride (AlN).
N), and the second ceramics layer may be made of Al 2 O 3 .

【0111】(実施の形態5)実施の形態5は、実施の
形態1の変形である。但し、基体処理装置において、基
体上に形成された基体に対してプラズマCVD処理を行
う。以下、実施の形態5における基体処理装置であるプ
ラズマCVD装置(より具体的には、バイアスECR
CVD装置)の概要を、図7を参照して説明するが、基
体載置ステージの構造それ自体は、実施の形態1にて説
明した基体載置ステージ10と同様である。
(Embodiment 5) Embodiment 5 is a modification of Embodiment 1. However, in the substrate processing apparatus, a plasma CVD process is performed on the substrate formed on the substrate. Hereinafter, a plasma CVD apparatus (more specifically, a bias ECR
The outline of the CVD apparatus will be described with reference to FIG. 7, but the structure of the substrate mounting stage itself is the same as that of the substrate mounting stage 10 described in the first embodiment.

【0112】このバイアスECR CVD装置60(以
下、CVD装置と略称する)には、アルミニウム製ブロ
ックからチャンバー側壁61Aが作製されたチャンバー
61と、図1に示した基体載置ステージ10が備えられ
ている。基体載置ステージ10はチャンバー61の底部
に配置されている。尚、チャンバー側壁61Aは、後述
するように、複合材料から作製することもできる。
The bias ECR CVD apparatus 60 (hereinafter abbreviated as CVD apparatus) includes a chamber 61 in which a chamber side wall 61A is formed from an aluminum block, and the substrate mounting stage 10 shown in FIG. I have. The base mounting stage 10 is arranged at the bottom of the chamber 61. Note that the chamber side wall 61A can be made of a composite material as described later.

【0113】チャンバー61の頂面には石英製の窓61
Bが設けられている。この窓61Bの上方にはマイクロ
波発生手段62が配設されている。また、側壁61Aの
外周面にはヒータ63が設けられており、これによって
チャンバー61内を所定温度に加熱することができる。
更に、チャンバー61の上部側周辺部にはソレノイドコ
イル64が配置されている。また、チャンバー61の排
気側にはポンプ65が設置されている。基体載置ステー
ジ10には、RFバイアス電源66が接続されている。
また、電極14には、セラミックス層13に静電吸着力
を発揮させるための直流電源67が接続されている。更
に、母材12内に配設されたヒータ15は電源68に接
続されている。尚、配管34A,34B,34Cや温度
制御用熱媒体供給装置35、蛍光ファイバ温度計36、
制御バルブ37、制御装置(PIDコントローラ)38
の図示は省略した。
A window 61 made of quartz is provided on the top surface of the chamber 61.
B is provided. Above the window 61B, a microwave generating means 62 is provided. Further, a heater 63 is provided on the outer peripheral surface of the side wall 61A, so that the inside of the chamber 61 can be heated to a predetermined temperature.
Further, a solenoid coil 64 is disposed in a peripheral portion on the upper side of the chamber 61. A pump 65 is provided on the exhaust side of the chamber 61. An RF bias power source 66 is connected to the substrate mounting stage 10.
Further, a DC power supply 67 for causing the ceramic layer 13 to exert an electrostatic attraction force is connected to the electrode 14. Further, the heater 15 provided in the base material 12 is connected to a power supply 68. The pipes 34A, 34B, 34C, the heating medium supply device 35 for temperature control, the fluorescent fiber thermometer 36,
Control valve 37, control device (PID controller) 38
Are not shown.

【0114】このような構成のCVD装置60にあって
は、マイクロ波発生手段62から窓61Bを通じて供給
されたマイクロ波と、ソレノイドコイル64による磁場
の共鳴作用によってECR放電が生じ、ここで生成する
イオンが基体載置ステージ10上の基体(例えば半導体
基板40)に入射する。従って、このような機構によっ
て、CVD装置60においては高精度のギャップフィル
を実現することができる。尚、CVD装置60には、C
VD処理用の原料ガスをチャンバー61に供給するため
の配管(図示せず)が設けられている。
In the CVD apparatus 60 having such a configuration, ECR discharge occurs due to the resonance action of the microwave supplied from the microwave generation means 62 through the window 61B and the magnetic field of the solenoid coil 64, and is generated here. The ions are incident on a substrate (for example, a semiconductor substrate 40) on the substrate mounting stage 10. Therefore, a highly accurate gap fill can be realized in the CVD apparatus 60 by such a mechanism. The CVD device 60 has a C
A pipe (not shown) for supplying a source gas for VD processing to the chamber 61 is provided.

【0115】尚、実施の形態5における基体載置ステー
ジの構造、構成を、実施の形態2〜実施の形態4にて説
明した基体載置ステージの構造、構成と同様とすること
もできる。また、CVD装置においては、基体載置ステ
ージの構造によっては、配管16及びこれに関連する設
備、装置を省略することができる。
The structure and configuration of the substrate mounting stage according to the fifth embodiment may be the same as the structure and configuration of the substrate mounting stage described in the second to fourth embodiments. Further, in the CVD apparatus, depending on the structure of the substrate mounting stage, the piping 16 and related equipment and devices can be omitted.

【0116】実施の形態5におけるCVD装置60を用
いた本発明の基体処理方法(但し、プラズマCVD処
理)を、図8の(A)〜(C)を参照して、以下、説明
する。
The substrate processing method of the present invention using the CVD apparatus 60 in Embodiment 5 (however, plasma CVD processing) will be described below with reference to FIGS. 8A to 8C.

【0117】先ず、シリコン半導体基板から成る半導体
基板40の上にSiO2から成る下地絶縁層46を公知
の方法によって形成し、次いで、アルミニウム系合金か
ら成る配線47を、公知のスパッタ法並びにリソグラフ
ィ技術及びエッチング技術に基づき形成する。この例に
おいては、下地絶縁層46及びアルミニウム系合金から
成る配線47が基体に相当する。この状態を、図8の
(A)の模式的な一部断面図に示す。
First, a base insulating layer 46 made of SiO 2 is formed on a semiconductor substrate 40 made of a silicon semiconductor substrate by a known method, and a wiring 47 made of an aluminum-based alloy is formed by a known sputtering method and lithography technique. And an etching technique. In this example, the base insulating layer 46 and the wiring 47 made of an aluminum-based alloy correspond to the base. This state is shown in the schematic partial cross-sectional view of FIG.

【0118】そして、この半導体基板40を図7に示し
たCVD装置60の基体載置ステージ10上に載置し、
セラミックス層13を静電チャックとして機能させ、半
導体基板40を基体載置ステージ10上に保持・固定す
る。次いで、基体載置ステージ10を、CVD処理にお
ける条件温度である350゜Cに加熱調整した。即ち、
ヒータ15の作動及び温度制御用熱媒体を配管16に流
すことによって、基体載置ステージ10の加熱を行っ
た。そして、以下の表4に例示する条件にて、プラズマ
CVD処理を行い、SiO2から成る層間絶縁膜48を
形成した。この状態を、図8の(B)の模式的な一部断
面図に示す。
Then, the semiconductor substrate 40 is mounted on the substrate mounting stage 10 of the CVD apparatus 60 shown in FIG.
The ceramic layer 13 is caused to function as an electrostatic chuck, and the semiconductor substrate 40 is held and fixed on the base mounting stage 10. Next, the substrate mounting stage 10 was heated and adjusted to 350 ° C., which is a condition temperature in the CVD process. That is,
The substrate mounting stage 10 was heated by operating the heater 15 and flowing the heat medium for temperature control through the pipe 16. Then, a plasma CVD process was performed under the conditions exemplified in Table 4 below to form an interlayer insulating film 48 made of SiO 2 . This state is shown in the schematic partial cross-sectional view of FIG.

【0119】[0119]

【表4】 使用ガス :SiH4/N2O=80/20sccm 圧力 :1.3Pa(10mTorr) マイクロ波パワー:1500W RFバイアス :500W(800kHz) 半導体基板温度 :350゜CTable 4 Gas used: SiH 4 / N 2 O = 80/20 sccm Pressure: 1.3 Pa (10 mTorr) Microwave power: 1500 W RF bias: 500 W (800 kHz) Semiconductor substrate temperature: 350 ° C.

【0120】このようにして層間絶縁膜48を形成した
後、例えばCMP法(化学的機械的研磨法)によって層
間絶縁膜48の平坦化を行い、図8の(C)に模式的な
一部断面図を示すように平坦化された層間絶縁膜48A
を形成した。
After the interlayer insulating film 48 is formed in this manner, the interlayer insulating film 48 is planarized by, for example, a CMP method (chemical mechanical polishing), and a part of the structure is schematically shown in FIG. The interlayer insulating film 48A which has been planarized as shown in the sectional view.
Was formed.

【0121】このようなプラズマCVD処理方法によれ
ば、基体載置ステージ10を用いて基体の温度制御を行
いつつ層間絶縁膜48を形成するので、層間絶縁膜48
の成膜中に基体の温度を高精度に制御することができ
る。その結果、層間絶縁膜48中に構造水(層間絶縁膜
48中に入り込んだ水分)が少ない信頼性の高い層間絶
縁膜を形成することができる。尚、従来では、高温仕様
の静電チャックシステムが無かったので、基体の温度を
十分に制御することができなかった。そのため、層間絶
縁膜中のHやOHを十分に除去することができず、信頼
性に問題の残る膜質を有するSiO2から成る層間絶縁
膜しか得られなかった。
According to such a plasma CVD method, the interlayer insulating film 48 is formed while controlling the temperature of the substrate by using the substrate mounting stage 10.
The temperature of the substrate can be controlled with high precision during the film formation. As a result, a highly reliable interlayer insulating film with little structural water (moisture entering the interlayer insulating film 48) can be formed in the interlayer insulating film 48. Heretofore, since there has been no electrostatic chuck system of a high temperature specification, the temperature of the substrate could not be sufficiently controlled. As a result, H and OH in the interlayer insulating film could not be sufficiently removed, and only an interlayer insulating film made of SiO 2 having a film quality with a problem in reliability could be obtained.

【0122】(実施の形態6)実施の形態6も、実施の
形態1の変形である。但し、基体処理装置において、基
体上に形成された基体に対してソフトエッチング処理を
含むスパッタ処理を行う。以下、実施の形態6における
基体処理装置であるスパッタ装置の概要を、図9を参照
して説明する。
(Sixth Embodiment) The sixth embodiment is also a modification of the first embodiment. However, in the substrate processing apparatus, a sputtering process including a soft etching process is performed on the substrate formed on the substrate. Hereinafter, an outline of a sputtering apparatus which is a substrate processing apparatus according to the sixth embodiment will be described with reference to FIG.

【0123】このスパッタ装置70には、ICP型のチ
ャンバー71内に、図1に示した基体載置ステージ10
が備えられている。チャンバー71の天板71Aは石英
製である。また、チャンバー71の側壁の外面には誘導
結合コイル72が配置されている。参照番号73はター
ゲットである。ターゲット73は高周波電源74に接続
されている。更には、基体載置ステージ10には高周波
電源75が接続されている。また、電極14には、セラ
ミックス層13に静電吸着力を発揮させるための直流電
源76が接続されている。更に、母材12内に配設され
たヒータ15は電源77に接続されている。尚、配管3
4A,34B,34Cや温度制御用熱媒体供給装置3
5、蛍光ファイバ温度計36、制御バルブ37、制御装
置(PIDコントローラ)38の図示は省略した。ま
た、スパッタ装置70には、各種のプロセスガスを導入
するための配管が備えられているが、これらの配管の図
示も省略した。尚、天板71Aは、後述するように、複
合材料から作製することもできる。
In the sputtering apparatus 70, a substrate mounting stage 10 shown in FIG.
Is provided. The top plate 71A of the chamber 71 is made of quartz. In addition, an inductive coupling coil 72 is arranged on the outer surface of the side wall of the chamber 71. Reference number 73 is a target. The target 73 is connected to a high frequency power supply 74. Further, a high frequency power supply 75 is connected to the base stage 10. In addition, a DC power supply 76 for causing the ceramic layer 13 to exert an electrostatic attraction force is connected to the electrode 14. Further, the heater 15 provided in the base material 12 is connected to a power supply 77. In addition, piping 3
4A, 34B, 34C and heat medium supply device 3 for temperature control
5. Illustration of a fluorescent fiber thermometer 36, a control valve 37, and a control device (PID controller) 38 is omitted. The sputter device 70 is provided with pipes for introducing various process gases, but these pipes are not shown. The top plate 71A can be made of a composite material, as described later.

【0124】実施の形態6における基体載置ステージの
構造、構成を、実施の形態2〜実施の形態4にて説明し
た基体載置ステージの構造、構成と同様とすることもで
きる。
The structure and configuration of the substrate mounting stage according to the sixth embodiment may be the same as the structure and configuration of the substrate mounting stage described in the second to fourth embodiments.

【0125】スパッタ装置70を用いた、ソフトエッチ
ング処理を含むスパッタ処理方法を、以下、図10及び
図11を参照して説明する。
A sputtering method including a soft etching process using the sputtering apparatus 70 will be described below with reference to FIGS.

【0126】先ず、シリコン半導体基板から成る半導体
基板40の上に形成されたSiO2から成る下地絶縁層
101上に、アルミニウム系合金から成る配線102
を、公知のスパッタ法並びにリソグラフィ技術及びエッ
チング技術に基づき形成する。次いで、全面にSiO2
から成る層間絶縁膜103を公知の方法で形成する。そ
の後、リソグラフィ技術及びドライエッチング技術によ
って、配線102の上方の層間絶縁膜103に開口部1
04を設ける。この状態を、図10の(A)の模式的な
一部断面図に示す。尚、実施の形態6においては、配線
102が基体に相当する。
First, a wiring 102 made of an aluminum-based alloy is formed on a base insulating layer 101 made of SiO 2 formed on a semiconductor substrate 40 made of a silicon semiconductor substrate.
Is formed based on a known sputtering method, a lithography technique, and an etching technique. Next, the entire surface is made of SiO 2
Is formed by a known method. Thereafter, an opening 1 is formed in the interlayer insulating film 103 above the wiring 102 by a lithography technique and a dry etching technique.
04 is provided. This state is shown in the schematic partial cross-sectional view of FIG. In the sixth embodiment, the wiring 102 corresponds to a base.

【0127】そして、係るシリコン半導体基板を図9に
示したスパッタ装置70の基体載置ステージ10上に載
置し、セラミックス層13に静電吸着力を発揮させて半
導体基板40を基体載置ステージ10上に保持・固定す
る。次に、ソフトエッチング処理の条件温度である50
0゜Cに基体載置ステージ10を加熱調整し、基体を5
00゜Cに保持する。
Then, the silicon semiconductor substrate is placed on the substrate mounting stage 10 of the sputtering apparatus 70 shown in FIG. Hold on 10 and fix. Next, the condition temperature of 50 for the soft etching process is set.
The substrate mounting stage 10 is heated and adjusted to 0 ° C.
Keep at 00 ° C.

【0128】そして、以下の表5に例示する条件でソフ
トエッチング処理を行い、開口部104の底部に露出し
たアルミニウム系合金から成る配線102の表面に形成
された自然酸化膜(図示せず)を除去する。
Then, a natural etching film (not shown) formed on the surface of the wiring 102 made of an aluminum alloy exposed at the bottom of the opening 104 is subjected to a soft etching process under the conditions exemplified in Table 5 below. Remove.

【0129】[0129]

【表5】 使用ガス :Ar=200sccm 圧力 :1.3Pa(10mTorr) ソースパワー :1500W RFバイアス :100W 半導体基板温度:500゜CTable 5 Working gas: Ar = 200 sccm Pressure: 1.3 Pa (10 mTorr) Source power: 1500 W RF bias: 100 W Semiconductor substrate temperature: 500 ° C.

【0130】基体を高温加熱条件に保持した状態でのソ
フトエッチング処理であるが故に、配線102の表面の
自然酸化膜が除去されるだけでなく、層間絶縁膜103
中に含有された水分がベークアウトされる。
Since the soft etching process is performed with the base kept at a high temperature heating condition, not only the natural oxide film on the surface of the wiring 102 is removed, but also the interlayer insulating film 103 is removed.
The moisture contained therein is baked out.

【0131】このようにして前処理を施した後、Ti
層、TiN層、アルミニウム系合金から成る金属配線材
料層105をスパッタ法にて成膜する。この状態を図1
0の(B)の模式的な一部断面図に示すが、Ti層及び
TiN層の図示は省略した。尚、開口部104の上方に
形成された金属配線材料層105の形状は、ブリッジ形
状であることが望ましい。即ち、開口部104の底部に
はボイドが残り、且つ、開口部104の上方は金属配線
材料層105によって塞がれていることが望ましい。金
属配線材料層105をこのようなブリッジ形状にするこ
とで、高圧不活性ガスの圧力によって、開口部104の
上方及びその近傍の金属配線材料が開口部104内に押
し込まれる。具体的には、基体を300乃至500゜
C、好ましくは400乃至500゜C、より好ましくは
440乃至500゜Cに加熱した状態で、スパッタ装置
70内の雰囲気を約106Pa以上の不活性ガス雰囲気
とする。こうして、図11に模式的な一部断面図を示す
ように、開口部104内にボイドが残ることなく、金属
配線材料層105で埋め込まれた接続孔(ビアホール)
を形成することができた。
After performing the pretreatment in this way, the Ti
Layer, a TiN layer, and a metal wiring material layer 105 made of an aluminum-based alloy are formed by a sputtering method. This state is shown in FIG.
0 (B) is a schematic partial cross-sectional view, but illustration of a Ti layer and a TiN layer is omitted. The shape of the metal wiring material layer 105 formed above the opening 104 is desirably a bridge shape. That is, it is desirable that a void remains at the bottom of the opening 104 and that the upper part of the opening 104 is closed by the metal wiring material layer 105. By forming the metal wiring material layer 105 in such a bridge shape, the metal wiring material above and near the opening 104 is pushed into the opening 104 by the pressure of the high-pressure inert gas. Specifically, an atmosphere in the sputtering apparatus 70 is heated to about 10 6 Pa or more while the substrate is heated to 300 to 500 ° C., preferably 400 to 500 ° C., and more preferably 440 to 500 ° C. Gas atmosphere. In this way, as shown in a schematic partial cross-sectional view of FIG. 11, the connection hole (via hole) filled with the metal wiring material layer 105 without leaving a void in the opening 104.
Could be formed.

【0132】従来の技術では、高圧リフロー工程の際、
層間絶縁膜103からの脱ガスの影響によって開口部1
04内を確実に金属配線材料層105で埋め込むことが
困難であり、接続孔(ビアホール)にはボイドが形成さ
れてしまうといった問題が生じていた。然るに、実施の
形態6のスパッタ装置70を用いたスパッタ処理方法に
おいては、ソフトエッチング処理時に層間絶縁膜103
中の水分除去を十分に行うことができるので、埋め込み
不良のない接続孔を得ることができる。
In the prior art, in the high-pressure reflow process,
Opening 1 due to the effect of outgassing from interlayer insulating film 103
It is difficult to reliably fill the inside of the semiconductor device 04 with the metal wiring material layer 105, and there has been a problem that voids are formed in the connection holes (via holes). However, in the sputtering processing method using the sputtering apparatus 70 of the sixth embodiment, the interlayer insulating film
Since the moisture in the inside can be sufficiently removed, it is possible to obtain a connection hole free from poor embedding.

【0133】以上、本発明を、発明の実施の形態に基づ
き説明したが、本発明はこれらに限定されるものではな
い。発明の実施の形態にて説明した基体処理装置の構造
は例示であり、適宜設計変更することができる。また、
発明の実施の形態にて説明した各種の加工条件も例示で
あり、適宜変更することができる。更には、複合部材の
組成や、成分割合、コージエライトセラミックス・ファ
イバーボードの物性も例示であり、適宜変更することが
できる。各発明の実施の形態にて説明した基体処理装置
と基体載置ステージの組み合わせも任意である。
Although the present invention has been described based on the embodiments of the present invention, the present invention is not limited to these embodiments. The structure of the substrate processing apparatus described in the embodiment of the invention is an example, and the design can be changed as appropriate. Also,
The various processing conditions described in the embodiments of the invention are also examples, and can be appropriately changed. Furthermore, the composition of the composite member, the component ratio, and the physical properties of the cordierite ceramic fiberboard are also examples, and can be appropriately changed. The combination of the substrate processing apparatus and the substrate mounting stage described in each embodiment of the present invention is also arbitrary.

【0134】発明の実施の形態においては、電極14の
平面形状を所謂櫛型電極形状としたが(図1の(C)参
照)、電極14の形状はこのような形状に限定されず、
例えば、円を2分割した2つの半円形状等、任意の一対
の形状とすることができる。
In the embodiment of the invention, the planar shape of the electrode 14 is a so-called comb-shaped electrode shape (see FIG. 1C), but the shape of the electrode 14 is not limited to such a shape.
For example, any pair of shapes such as two semicircular shapes obtained by dividing a circle into two can be used.

【0135】発明の実施の形態においては、専ら、一体
的に形成された母材から基体載置ステージを作製した
が、基体載置ステージは、例えばアルミニウム材料と母
材との組み合わせから作製することもできる。このよう
な基体載置ステージの模式的な断面図を図12に示す。
基体載置ステージ10Cは、アルミニウム製の円盤状部
材17に複合部材11Cをロウ付け法又はビス止めにて
固定して作製されている。尚、ロウ材あるいはビスは、
図12及び後述する図15、図18には図示していな
い。図12に示す構造においては、基体載置ステージ1
0Cの頂面は溶射法に基づきセラミックス層13Cにて
被覆されている。尚、必要に応じて、基体載置ステージ
10Cの側面をセラミックス層13Cにて被覆しておい
てもよい。図12の(A)においては、アルミニウム製
の円盤状部材17の内部に配管16Cが配設されてい
る。また、母材12Cが円盤状部材17の上面及び下面
に固定されている。円盤状部材17の上面に固定された
複合部材11Cの構造、及び円盤状部材17の下面に固
定された母材12Cの構成は、実施の形態1〜実施の形
態4にて説明した母材の構成と同様とすることができ
る。図12の(B)においては、アルミニウム製の円盤
状部材17の下面には母材が省略されている。図12の
(C)においては、アルミニウム製の円盤状部材17の
下面にPBNヒータ15Cが取り付けられている。そし
て、複合部材11Cが円盤状部材17の上面に固定され
ている。
In the embodiments of the present invention, the base mounting stage is manufactured exclusively from the integrally formed base material. However, the base mounting stage may be manufactured from a combination of an aluminum material and a base material. Can also. FIG. 12 shows a schematic sectional view of such a substrate mounting stage.
The substrate mounting stage 10C is manufactured by fixing the composite member 11C to an aluminum disk-shaped member 17 by brazing or screwing. The brazing material or screw is
It is not shown in FIG. 12 and FIGS. 15 and 18 described later. In the structure shown in FIG.
The top surface of 0C is covered with a ceramic layer 13C based on a thermal spraying method. If necessary, the side surface of the substrate mounting stage 10C may be covered with a ceramic layer 13C. In FIG. 12A, a pipe 16C is provided inside a disk-shaped member 17 made of aluminum. The base material 12C is fixed to the upper and lower surfaces of the disk-shaped member 17. The structure of the composite member 11C fixed to the upper surface of the disk-shaped member 17 and the configuration of the base material 12C fixed to the lower surface of the disk-shaped member 17 are the same as those of the base material described in the first to fourth embodiments. The configuration can be the same. In FIG. 12B, the base material is omitted on the lower surface of the disc-shaped member 17 made of aluminum. In FIG. 12C, a PBN heater 15C is attached to the lower surface of a disk-shaped member 17 made of aluminum. Then, the composite member 11C is fixed to the upper surface of the disk-shaped member 17.

【0136】プラズマエッチング装置を、図13に示す
ように、例えば、ICP型のプラズマエッチング装置と
することもできる。エッチング装置20Cには、チャン
バー側壁82と天板83から構成されたチャンバー81
と、チャンバー側壁82の外側に配設された誘導結合コ
イル84が備えられている。尚、チャンバー側壁82は
石英製であり、天板83は後述するように複合材料から
作製されている。チャンバー81内には、半導体基板4
0を保持・固定するための基体載置ステージ10(図1
参照)が配設されている。更に、チャンバー81内のガ
スを排気するための排気口88が、真空ポンプ等の負圧
手段(図示せず)に接続されている。基体載置ステージ
10には、基体への入射イオンエネルギーを制御するた
めのバイアス電源85が接続され、更には、電極14
(図1参照)には、セラミックス層13に静電吸着力を
発揮させるための直流電源86が接続されている。ま
た、基体載置ステージ10の母材12に配設されたヒー
タ15は、電源87に接続されている。更には、半導体
基板40の温度を計測するための蛍光ファイバ温度計
(図示せず)が、エッチング装置20Cには備えられて
いる。基体載置ステージ10の温度制御は、蛍光ファイ
バ温度計で検知された温度を制御装置(PIDコントロ
ーラ)(図示せず)で検出し、ヒータ15へ電力を供給
するための電源87を制御することによって行うことが
できる。
The plasma etching apparatus may be, for example, an ICP type plasma etching apparatus as shown in FIG. The etching apparatus 20C includes a chamber 81 composed of a chamber side wall 82 and a top plate 83.
And an inductive coupling coil 84 disposed outside the chamber side wall 82. The chamber side wall 82 is made of quartz, and the top plate 83 is made of a composite material as described later. In the chamber 81, the semiconductor substrate 4
Substrate holding stage 10 (FIG. 1)
See also). Further, an exhaust port 88 for exhausting gas in the chamber 81 is connected to negative pressure means (not shown) such as a vacuum pump. The substrate mounting stage 10 is connected to a bias power supply 85 for controlling the ion energy incident on the substrate.
(See FIG. 1), a DC power supply 86 for causing the ceramic layer 13 to exert an electrostatic attraction force is connected. The heater 15 disposed on the base material 12 of the base mounting stage 10 is connected to a power supply 87. Further, a fluorescent fiber thermometer (not shown) for measuring the temperature of the semiconductor substrate 40 is provided in the etching apparatus 20C. The temperature of the substrate mounting stage 10 is controlled by detecting a temperature detected by a fluorescent fiber thermometer with a control device (PID controller) (not shown) and controlling a power supply 87 for supplying power to the heater 15. Can be done by

【0137】必要に応じて、基体処理装置のチャンバー
側壁や天板を、複合材料から作製することができる。基
体処理装置のチャンバー側壁の模式的な一部断面図を、
図14の(A)に示す。このチャンバー側壁は、セラミ
ックス部材の組織中にアルミニウム系材料が充填された
母材112と、この母材112の表面に設けられたセラ
ミックス層113とから成る複合材料111から作製さ
れている。複合材料111の構成は、セラミックス層が
1層である点、電極が形成されていない点を除き、基体
載置ステージを構成する複合部材と実質的に同じ構成す
ることができるし、複合部材と実質的に同じ作製方法に
て作製することができる。尚、複合材料においても、母
材の線膨張率をα’1[単位:10-6/K]としたと
き、セラミックス層の線膨張率α’2[単位:10-6
K]は(α’1−4)≦α’2≦(α’1+4)を満足す
ることが好ましい。
If necessary, the chamber side wall and the top plate of the substrate processing apparatus can be made of a composite material. A schematic partial cross-sectional view of the chamber side wall of the substrate processing apparatus,
This is shown in FIG. The chamber side wall is made of a composite material 111 including a base material 112 in which the structure of a ceramic member is filled with an aluminum-based material, and a ceramic layer 113 provided on the surface of the base material 112. The configuration of the composite material 111 can be substantially the same as the composite member constituting the base mounting stage, except that the ceramic layer is a single layer and the electrode is not formed. It can be manufactured by substantially the same manufacturing method. In the composite material, when the coefficient of linear expansion of the base material is α ′ 1 [unit: 10 −6 / K], the coefficient of linear expansion of the ceramic layer is α ′ 2 [unit: 10 −6 / K].
K] preferably satisfies (α ′ 1 -4) ≦ α ′ 2 ≦ (α ′ 1 +4).

【0138】チャンバー側壁の内部には、公知のシーズ
ヒータから成るヒータ115が配設されている(図14
の(A)参照)。ヒータ115は、ヒータ本体(図示せ
ず)と、ヒータ本体の外側に配設されそしてヒータ本体
を保護する鞘管(図示せず)から構成されている。そし
て、ヒータ115は、配線を介して電源(図示せず)に
接続されている。ヒータ115の熱膨張は、チャンバー
側壁に影響を与える。従って、母材112の線膨張率
α’1やセラミックス層113の線膨張率α’2に近い値
を有する材料を用いることが好ましい。具体的には、チ
タンやステンレススチール等、線膨張率が9×10-6
K〜12×10-6/Kの材料から作製された鞘管を用い
ることが好ましい。即ち、ヒータ115を構成する材料
(母材112と接する鞘管の材料)の線膨張率αH[単
位:10-6/K]は、(α’1−4)≦αH≦(α’1
4)の関係を満足することが好ましい。尚、ヒータ11
5の本体の線膨張率は、チャンバー側壁に影響を与える
ことがないので、特に制限されない。場合によっては、
ヒータ115を配設すると同時に、先に説明した配管1
6と同様の構造の配管をチャンバー側壁の内部に配設し
てもよいし、ヒータ115を配設する代わりに、配管を
チャンバー側壁の内部に配設してもよい。
A heater 115 composed of a known sheathed heater is disposed inside the chamber side wall (FIG. 14).
(A)). The heater 115 includes a heater body (not shown) and a sheath tube (not shown) provided outside the heater body and protecting the heater body. The heater 115 is connected to a power supply (not shown) via a wiring. The thermal expansion of the heater 115 affects the side wall of the chamber. Therefore, it is preferable to use a material having a value close to 2 'linear expansion coefficient α of 1 or ceramic layer 113' linear expansion coefficient α of the base material 112. Specifically, such as titanium and stainless steel, the coefficient of linear expansion is 9 × 10 −6 /
It is preferable to use a sheath tube made of a material of K to 12 × 10 −6 / K. That is, the linear expansion coefficient α H [unit: 10 −6 / K] of the material constituting the heater 115 (the material of the sheath tube in contact with the base material 112) is (α ′ 1 -4) ≦ α H ≦ (α ′). 1 +
It is preferable to satisfy the relationship of 4). The heater 11
The coefficient of linear expansion of the body of No. 5 is not particularly limited because it does not affect the side wall of the chamber. In some cases,
At the same time that the heater 115 is provided,
6 may be provided inside the chamber side wall, or the pipe may be provided inside the chamber side wall instead of providing the heater 115.

【0139】あるいは又、図14の(B)の模式的な断
面図に示すように、ヒータ115を母材112に埋設す
る代わりに、チャンバー側壁の外面(チャンバーと面す
る面とは反対側の面)に、例えば、PBNヒータから成
るヒータ115Aを取り付けてもよい。
Alternatively, as shown in a schematic cross-sectional view of FIG. 14B, instead of embedding the heater 115 in the base material 112, the outer surface of the chamber side wall (the side opposite to the surface facing the chamber) may be used. For example, a heater 115A composed of a PBN heater may be attached to the (surface).

【0140】図15の(A)、図15の(B)及び図1
6には、ステンレススチール製あるいはアルミニウム製
の中空円筒部材17Aに複合材料111をロウ付け法又
はビス止めにて固定して作製された基体処理装置の側壁
の模式的な断面図を示す。図15の(A)においては、
中空円筒部材17Aの内部にヒータ115(配管であっ
てもよい)が配設されている。母材112は中空円筒部
材17Aの内面及び外面に固定されている。図15の
(B)においては、中空円筒部材17Aの外面の母材が
省略されている。図16においては、中空円筒部材17
Aの外面にPBNヒータ115Aが取り付けられてい
る。そして、複合材料111が中空円筒部材17Aの内
面に固定されている。
FIG. 15A, FIG. 15B and FIG.
FIG. 6 shows a schematic cross-sectional view of a side wall of a substrate processing apparatus manufactured by fixing the composite material 111 to a stainless steel or aluminum hollow cylindrical member 17A by brazing or screwing. In FIG. 15A,
A heater 115 (which may be a pipe) is provided inside the hollow cylindrical member 17A. The base material 112 is fixed to the inner surface and the outer surface of the hollow cylindrical member 17A. In FIG. 15B, the base material on the outer surface of the hollow cylindrical member 17A is omitted. In FIG. 16, the hollow cylindrical member 17
A PBN heater 115A is attached to the outer surface of A. Then, the composite material 111 is fixed to the inner surface of the hollow cylindrical member 17A.

【0141】基体処理装置の天板も、必要に応じてチャ
ンバーの側壁と同様の構造とすればよい。尚、これらの
基体処理装置のチャンバー側壁あるいは天板は、実施の
形態1〜実施の形態4にて説明した複合部材の製造方法
と、電極を形成する必要が無い点、セラミックス層は1
層でよい点を除き、実質的に同様の方法に基づき作製す
ることができるので、詳細な説明は省略する。
The top plate of the substrate processing apparatus may have the same structure as the side wall of the chamber, if necessary. In addition, the chamber side wall or the top plate of these substrate processing apparatuses is the same as the composite member manufacturing method described in Embodiments 1 to 4 in that the electrode does not need to be formed.
Except for the fact that it can be a layer, it can be manufactured based on a substantially similar method, and a detailed description thereof will be omitted.

【0142】基体処理装置において、基体に対してプラ
ズマエッチング処理を行う場合、基体処理装置であるエ
ッチング装置内に平行平板の上部対向電極が配設された
エッチング装置を用いる場合がある。
In the case of performing a plasma etching process on a substrate in the substrate processing apparatus, an etching apparatus in which a parallel plate upper counter electrode is provided in an etching apparatus which is a substrate processing apparatus may be used.

【0143】複合材料によって構成された平行平板の上
部対向電極90を備えた基体処理装置(ドライエッチン
グ装置20Dであり、以下、単にエッチング装置20D
と略称する)の概念図を図17に示す。また、上部対向
電極の模式的な断面図を図18の(A)に示す。
A substrate processing apparatus (a dry etching apparatus 20D provided with a parallel plate upper counter electrode 90 made of a composite material, and hereinafter simply referred to as an etching apparatus 20D)
FIG. 17 shows a conceptual diagram of the above. FIG. 18A is a schematic cross-sectional view of the upper counter electrode.

【0144】このエッチング装置20Dにおいては、下
部電極に相当する基体載置ステージ10と対向して、チ
ャンバー93内の上方に平行平板の上部対向電極90が
配置されている。この上部対向電極90は、RF電源9
1に接続されている。尚、チャンバー93の側壁94及
び天板95は、図14〜図16を参照して説明した複合
材料111から構成されていることが好ましい。尚、エ
ッチング装置20Dにおいて、図1に示したエッチング
装置20と同じ参照番号を付した構成要素、部品は、図
1に示したエッチング装置20と同じ構成要素、部品で
ある。
In this etching apparatus 20D, a parallel plate upper counter electrode 90 is arranged above the inside of the chamber 93 so as to face the substrate mounting stage 10 corresponding to the lower electrode. The upper counter electrode 90 is connected to the RF power source 9.
1 connected. The side wall 94 and the top plate 95 of the chamber 93 are preferably made of the composite material 111 described with reference to FIGS. Note that, in the etching apparatus 20D, components and parts denoted by the same reference numerals as those of the etching apparatus 20 shown in FIG. 1 are the same as those of the etching apparatus 20 shown in FIG.

【0145】上部対向電極90を構成する複合材料は、
実施の形態1と同様に、母材212を構成するセラミッ
クス部材の組成をコージエライトセラミックスとした。
また、母材を構成するアルミニウム系材料の組成はアル
ミニウム(Al)及びケイ素(Si)であり、アルミニ
ウム系材料を基準として、アルミニウム系材料にはケイ
素が20体積%含まれている。母材212は、純粋なセ
ラミックスの電気伝導度や熱伝導度よりも金属に近づい
た値を有する。従って、このような母材212から作製
された上部対向電極90には、高周波も問題なく印加す
ることができる。セラミックス層213を構成する材料
を、TiO2が約2.5重量%添加されたAl23とす
る。厚さ約0.2mmのセラミックス層213は、溶射
法にて母材212の表面に形成されている。このような
組成のセラミックス層213の線膨張率は、100〜3
00゜Cにおける平均値で、約9×10-6/Kである。
従って、セラミックス層213の線膨張率α”2は約9
であり、母材212の線膨張率をα”1としたとき、
(α”1−4)≦α”2≦(α”1+4)を満足してい
る。尚、Al23それ自体の線膨張率は約8×10-6
Kである。
The composite material forming the upper counter electrode 90 is as follows:
As in Embodiment 1, the composition of the ceramic member constituting base material 212 was cordierite ceramics.
The composition of the aluminum-based material constituting the base material is aluminum (Al) and silicon (Si). Based on the aluminum-based material, the aluminum-based material contains 20% by volume of silicon. The base material 212 has a value closer to a metal than the electrical conductivity or thermal conductivity of pure ceramics. Therefore, a high frequency can be applied to the upper opposing electrode 90 made of such a base material 212 without any problem. The material constituting the ceramic layer 213 is Al 2 O 3 to which about 2.5% by weight of TiO 2 is added. The ceramic layer 213 having a thickness of about 0.2 mm is formed on the surface of the base material 212 by a thermal spraying method. The coefficient of linear expansion of the ceramic layer 213 having such a composition is 100 to 3
The average value at 00 ° C. is about 9 × 10 −6 / K.
Accordingly, the coefficient of linear expansion α ″ 2 of the ceramic layer 213 is about 9
And the coefficient of linear expansion of the base material 212 is α ″ 1 ,
(Α ″ 1 −4) ≦ α ″ 2 ≦ (α ″ 1 +4) The linear expansion coefficient of Al 2 O 3 itself is about 8 × 10 −6 /.
K.

【0146】上部対向電極90の内部には、公知のシー
ズヒータから成るヒータ215が配設されている。ヒー
タ215は、ヒータ本体(図示せず)と、ヒータ本体の
外側に配設されそしてヒータ本体を保護する鞘管(図示
せず)から構成されている。そして、ヒータ215は、
図示しない配線を介して電源92(図17参照)に接続
されている。ヒータ215の熱膨張は、上部対向電極9
0に影響を与える。従って、セラミックス層213や母
材212の線膨張率に近い値を有する材料を用いること
が好ましい。具体的には、チタンやステンレススチール
等、線膨張率が9×10-6/K〜12×10-6/Kの材
料から作製された鞘管を用いることが好ましい。即ち、
ヒータ215を構成する材料(母材212と接する鞘管
の材料)の線膨張率αH[単位:10-6/K]は、
(α”1−4)≦αH≦(α”1+4)を満足することが
好ましい。尚、ヒータ215の本体の線膨張率は、上部
対向電極90に影響を与えることがないので、特に制限
されない。
A heater 215 composed of a known sheathed heater is provided inside the upper counter electrode 90. The heater 215 includes a heater body (not shown) and a sheath tube (not shown) provided outside the heater body and protecting the heater body. And the heater 215
It is connected to a power supply 92 (see FIG. 17) via a wiring (not shown). The thermal expansion of the heater 215 depends on the upper counter electrode 9.
Affects 0. Therefore, it is preferable to use a material having a value close to the linear expansion coefficient of the ceramic layer 213 or the base material 212. Specifically, titanium or stainless steel or the like, it is preferable that the linear expansion coefficient which sheath tube made from the material of the 9 × 10 -6 / K~12 × 10 -6 / K. That is,
The linear expansion coefficient α H [unit: 10 −6 / K] of the material constituting the heater 215 (the material of the sheath tube in contact with the base material 212) is
It is preferable to satisfy (α ″ 1 −4) ≦ α H ≦ (α ″ 1 +4). The linear expansion coefficient of the main body of the heater 215 is not particularly limited because it does not affect the upper counter electrode 90.

【0147】複合材料によって構成される上部対向電極
90の作製方法は、実施の形態1にて説明した複合部材
の製造方法と、電極を形成する必要が無い点、セラミッ
クス層は1層でよい点を除き、実質的に同様の方法に基
づき作製することができるので、詳細な説明は省略す
る。
The method of manufacturing the upper counter electrode 90 composed of a composite material is the same as the method of manufacturing the composite member described in Embodiment 1, except that there is no need to form an electrode and that only one ceramic layer is required. Can be manufactured based on substantially the same method except for the above, and therefore detailed description is omitted.

【0148】このようにして得られた上部対向電極90
にあっては、多孔質のコージエライトセラミックス・フ
ァイバーボードにAl80体積%−Si20体積%のア
ルミニウム系材料を充填して得られた材料で母材212
が構成されており、母材212の線膨張率はセラミック
ス層213の線膨張率に近い値となっている。従って、
上部対向電極90の加熱・冷却による母材212とセラ
ミックス層213の伸縮の度合いは殆ど同じである。そ
れ故、これらの材料間の線膨張率の差に起因して、高温
加熱時や高温から常温に上部対向電極90を戻したとき
にセラミックス層213に割れ等の損傷が発生すること
を確実に回避することができる。また、複合材料211
は優れた熱伝導性を有するので、ヒータ215によって
上部対向電極90を効率よく加熱することができる。
The upper counter electrode 90 thus obtained
The base material 212 is made of a material obtained by filling a porous cordierite ceramic fiberboard with an aluminum-based material of 80% by volume of Al and 20% by volume of Si.
The coefficient of linear expansion of the base material 212 is close to the coefficient of linear expansion of the ceramic layer 213. Therefore,
The degree of expansion and contraction of the base material 212 and the ceramic layer 213 due to heating and cooling of the upper counter electrode 90 is almost the same. Therefore, due to the difference in the coefficient of linear expansion between these materials, it is ensured that the ceramic layer 213 is damaged, such as cracking, when heating the upper counter electrode 90 at high temperature or when returning the upper counter electrode 90 from high temperature to normal temperature. Can be avoided. In addition, the composite material 211
Has excellent thermal conductivity, so that the heater 215 can efficiently heat the upper counter electrode 90.

【0149】エッチング処理において、上部対向電極9
0及び側壁94、天板95を構成するセラミックス層2
13,113に割れ等の損傷が発生することを防止し得
る。また、従来のエッチング装置においては、放電時に
生成した例えばフルオロカーボンポリマーのプリカーサ
ーが、上部対向電極やチャンバーの側壁に堆積すること
に起因して、エッチング処理中にプラズマ中の炭素/フ
ッ素比が変動してしまう。然るに、上部対向電極90及
び側壁94、天板95を高温に加熱・保持することがで
きるので、プリカーサーが上部対向電極やチャンバーの
側壁、天板に堆積することを効果的に防止することがで
きる。その結果、エッチング処理中にプラズマ中の炭素
/フッ素比が変動してしまうことを抑制することがで
き、高い精度で安定したドライエッチング処理を行うこ
とができる。しかも、上部対向電極やチャンバーの側
壁、天板にフルオロカーボンポリマーが殆ど堆積するこ
とがないので、エッチング処理の回数を重ねても、パー
ティクルレベルが悪化することもない。
In the etching process, the upper counter electrode 9
Ceramic layer 2 forming the side wall 94 and the top plate 95
It is possible to prevent the occurrence of damages such as cracks in the 13, 13. Further, in the conventional etching apparatus, the carbon / fluorine ratio in the plasma fluctuates during the etching process due to, for example, a precursor of a fluorocarbon polymer generated at the time of discharge being deposited on the upper counter electrode or the side wall of the chamber. Would. However, since the upper counter electrode 90, the side wall 94, and the top plate 95 can be heated and held at a high temperature, the precursor can be effectively prevented from being deposited on the upper counter electrode, the side wall of the chamber, and the top plate. . As a result, it is possible to suppress a change in the carbon / fluorine ratio in the plasma during the etching process, and it is possible to perform a stable dry etching process with high accuracy. Moreover, since the fluorocarbon polymer hardly deposits on the upper counter electrode, the side wall of the chamber, and the top plate, the particle level does not deteriorate even if the number of etching processes is increased.

【0150】尚、上部対向電極は、実施の形態2〜実施
の形態4にて説明した複合部材の製造方法と、電極を形
成する必要が無い点、セラミックス層は1層でよい点を
除き、実質的に同様の方法に基づき作製することもでき
る。
The upper counter electrode is the same as the composite member manufacturing method described in the second to fourth embodiments, except that it is not necessary to form an electrode, and only one ceramic layer is required. It can also be manufactured based on a substantially similar method.

【0151】図18の(B)及び(C)には、ステンレ
ススチール製あるいはアルミニウム製の円盤状部材17
Bに複合材料211Aをロウ付け法又はビス止めにて固
定して作製された上部対向電極90Aの模式的な断面図
を示す。円盤状部材17Bの内部にヒータ215が配設
されている。この複合材料211Aの構造は複合材料2
11と同様の構造を有する。図18の(B)において
は、複合材料211Aは円盤状部材17Bの上面及び下
面に固定されている。一方、図18の(C)において
は、円盤状部材17Bの上面には複合材料が省略されて
いる。
FIGS. 18B and 18C show a disk-shaped member 17 made of stainless steel or aluminum.
B shows a schematic cross-sectional view of the upper counter electrode 90A manufactured by fixing the composite material 211A by brazing or screwing. A heater 215 is provided inside the disk-shaped member 17B. The structure of this composite material 211A is composite material 2
It has the same structure as 11. In FIG. 18B, the composite material 211A is fixed to the upper and lower surfaces of the disc-shaped member 17B. On the other hand, in FIG. 18C, the composite material is omitted on the upper surface of the disc-shaped member 17B.

【0152】[0152]

【発明の効果】本発明においては、基体載置ステージを
複合部材から構成するので、母材とセラミックス層との
熱膨張に起因したセラミックス層の損傷発生を回避で
き、複合部材を高温で確実に使用することが可能とな
る。しかも、母材の表面に溶射法にてセラミックス層を
形成するので、大面積の複合部材を作製することがで
き、基体の大面積化に容易に対処することができる。し
かも、母材とセラミックス層とがより一層一体化するの
で、母材とセラミックス層との間の応力緩和が図れると
共に、母材からセラミックス層への熱伝導が速やかとな
り、セラミックス層上に保持・固定された基体の温度制
御を迅速に且つ確実に、効率良く行うことが可能となる
し、温度制御手段によって効率よく複合部材を加熱する
ことができる。従って、従来の技術では、セラミックス
層の割れ等が原因で行うことができなかった高温加熱時
における高精度の温度制御を行うことができ、これによ
り、エッチング処理やCVD処理、スパッタ処理などの
多岐に亙る半導体装置の製造プロセスを高い精度で安定
して実行することができる。また、大面積の基体、例え
ば、300mm程度の大径のシリコン半導体基板の処理
を行うことができ、しかも、例えば基体の高温処理等を
高い精度にて行うことが可能となる。更には、セラミッ
クス層が設けられているので、金属汚染の発生防止や、
例えばハロゲン系ガスによる複合部材の腐蝕発生を効果
的に防止することができる。
According to the present invention, since the base mounting stage is composed of a composite member, it is possible to avoid the occurrence of damage to the ceramic layer due to the thermal expansion of the base material and the ceramic layer, and to ensure that the composite member is kept at a high temperature. It can be used. In addition, since the ceramic layer is formed on the surface of the base material by the thermal spraying method, a large-area composite member can be manufactured, and it is possible to easily cope with an increase in the area of the base. In addition, since the base material and the ceramic layer are further integrated, stress relaxation between the base material and the ceramic layer can be achieved, and heat conduction from the base material to the ceramic layer can be promptly performed, so that the base material and the ceramic layer can be held on the ceramic layer. The temperature of the fixed base can be quickly, reliably and efficiently controlled, and the composite member can be efficiently heated by the temperature control means. Therefore, the conventional technology can perform high-precision temperature control at the time of high-temperature heating, which could not be performed due to cracking of the ceramic layer or the like. Semiconductor device manufacturing processes can be stably executed with high accuracy. In addition, a large-area substrate, for example, a silicon semiconductor substrate having a large diameter of about 300 mm can be processed, and, for example, high-temperature processing of the substrate can be performed with high accuracy. Furthermore, since a ceramic layer is provided, prevention of metal contamination and
For example, corrosion of the composite member due to a halogen-based gas can be effectively prevented.

【図面の簡単な説明】[Brief description of the drawings]

【図1】発明の実施の形態1における基体載置ステージ
の模式的な断面図、及び電極の平面形状を示す図であ
る。
FIG. 1 is a schematic cross-sectional view of a substrate mounting stage according to Embodiment 1 of the present invention, and a diagram showing a planar shape of an electrode.

【図2】発明の実施の形態1における基体処理装置であ
るドライエッチング装置の概念図である。
FIG. 2 is a conceptual diagram of a dry etching apparatus which is a substrate processing apparatus according to Embodiment 1 of the present invention.

【図3】発明の実施の形態1における基体処理方法(プ
ラズマエッチング処理方法)を説明するための半導体基
板等の模式的な一部断面図である。
FIG. 3 is a schematic partial cross-sectional view of a semiconductor substrate and the like for describing a substrate processing method (plasma etching processing method) according to Embodiment 1 of the present invention;

【図4】発明の実施の形態2及び発明の実施の形態3に
おける基体載置ステージの模式的な断面図である。
FIG. 4 is a schematic cross-sectional view of a substrate mounting stage according to Embodiment 2 and Embodiment 3 of the present invention.

【図5】発明の実施の形態2における基体処理装置であ
るドライエッチング装置の概念図である。
FIG. 5 is a conceptual diagram of a dry etching apparatus which is a substrate processing apparatus according to Embodiment 2 of the present invention.

【図6】発明の実施の形態3における基体処理装置であ
るドライエッチング装置の概念図である。
FIG. 6 is a conceptual diagram of a dry etching apparatus which is a substrate processing apparatus according to Embodiment 3 of the present invention.

【図7】実施の形態5における基体処理装置であるプラ
ズマCVD装置の概念図である。
FIG. 7 is a conceptual diagram of a plasma CVD apparatus as a substrate processing apparatus according to a fifth embodiment.

【図8】実施の形態5における基体処理方法(プラズマ
CVD処理)を説明するための半導体基板等の模式的な
一部断面図である。
FIG. 8 is a schematic partial cross-sectional view of a semiconductor substrate and the like for describing a substrate processing method (plasma CVD processing) in Embodiment 5.

【図9】実施の形態6における基体処理装置であるスパ
ッタ装置の概念図である。
FIG. 9 is a conceptual diagram of a sputtering apparatus which is a substrate processing apparatus according to a sixth embodiment.

【図10】実施の形態6における基体処理方法(スパッ
タ処理)を説明するための半導体基板等の模式的な一部
断面図である。
FIG. 10 is a schematic partial cross-sectional view of a semiconductor substrate or the like for describing a substrate processing method (sputtering process) in Embodiment 6.

【図11】図10に引き続き、実施の形態6における基
体処理方法(スパッタ処理)を説明するための半導体基
板等の模式的な一部断面図である。
FIG. 11 is a schematic partial cross-sectional view of a semiconductor substrate and the like for describing a substrate processing method (sputtering processing) in Embodiment 6 following FIG. 10;

【図12】基体載置ステージの別の形態の模式的な断面
図である。
FIG. 12 is a schematic cross-sectional view of another embodiment of the substrate mounting stage.

【図13】ICP型のプラズマエッチング装置の概念図
である。
FIG. 13 is a conceptual diagram of an ICP type plasma etching apparatus.

【図14】チャンバー側壁の模式的な一部断面図であ
る。
FIG. 14 is a schematic partial sectional view of a chamber side wall.

【図15】チャンバー側壁の模式的な一部断面図であ
る。
FIG. 15 is a schematic partial sectional view of a chamber side wall.

【図16】チャンバー側壁の模式的な一部断面図であ
る。
FIG. 16 is a schematic partial sectional view of a chamber side wall.

【図17】平行平板の上部対向電極を備えた基体処理装
置の概念図である。
FIG. 17 is a conceptual diagram of a substrate processing apparatus provided with a parallel plate upper counter electrode.

【図18】上部対向電極の模式的な断面図である。FIG. 18 is a schematic sectional view of an upper counter electrode.

【符号の説明】[Explanation of symbols]

10,10A,10B,10C・・・基体載置ステー
ジ、11,11A,11B,11C・・・複合部材、1
11,211,211A・・・複合材料、12,12
A,12B,12C,112,212・・・母材、1
3,13A,13B,13C,113,213・・・セ
ラミックス層、130A・・・第1のセラミックス層、
130B・・・第2のセラミックス層、14,14A,
14B,14C・・・電極、15,15A,15B,1
5C,115,115A,215・・・ヒータ、16,
16C・・・配管、17,17B・・・円盤状部材、1
7A・・・中空円筒部材、20,20A,20B,20
C,20D・・・ドライエッチング装置、21・・・チ
ャンバー、22,23・・・RFアンテナ、24・・・
マルチポール磁石、25・・・ベルジャー、25A・・
・天板、26・・・ソレノイドコイル・アッセンブリ、
27,29・・・マッチングネットワーク、28・・・
ヘリコン波プラズマ発生源、30・・・電源、31・・
・排気口、32・・・バイアス電源、33・・・直流電
源、34A,34B,34C・・・配管、35・・・温
度制御用熱媒体供給装置、36・・・蛍光ファイバ温度
計、37・・・制御バルブ、38・・・制御装置(PI
Dコントローラ)、39・・・電源、40・・・半導体
基板、41・・・下地絶縁層、42・・・TiN膜、4
3・・・Cu膜、44・・・TiN膜、45・・・マス
クパターン、46・・・下地絶縁層、47・・・配線、
48・・・層間絶縁膜、48A・・・平坦化された層間
絶縁膜、51・・・チャンバー、52・・・天板、53
・・・誘導結合コイル、54・・・バイアス電源、55
・・・直流電源、56・・・電源、57・・・排気口、
60・・・バイアスECR CVD装置、61・・・チ
ャンバー、61A・・・チャンバー側壁、61B・・・
石英製の窓、62・・・マイクロ波発生手段、63・・
・ヒータ、64・・・ソレノイドコイル、65・・・ポ
ンプ、66・・・RFバイアス電源、67・・・直流電
源、68・・・電源、70・・・スパッタ装置、71・
・・チャンバー、71A・・・天板、72・・・誘導結
合コイル、73・・・ターゲット、74,75・・・高
周波電源、76・・・直流電源、77・・・電源、81
・・・チャンバー、82・・・チャンバー側壁、83・
・・天板、84・・・誘導結合コイル、88・・・排気
口、85・・・バイアス電源、86・・・直流電源、8
7・・・電源、90,90A・・・上部対向電極、91
・・・RF電源、92・・・電源、93・・・チャンバ
ー、94・・・側壁、95・・・天板、101・・・下
地絶縁層、102・・・配線、103・・・層間絶縁
膜、104・・・開口部、105・・・金属配線材料層
10, 10A, 10B, 10C: substrate mounting stage, 11, 11A, 11B, 11C: composite member, 1
11, 211, 211A: Composite material, 12, 12
A, 12B, 12C, 112, 212 ... base material, 1
3, 13A, 13B, 13C, 113, 213: ceramic layer, 130A: first ceramic layer,
130B ... second ceramic layer, 14, 14A,
14B, 14C ... electrodes, 15, 15A, 15B, 1
5C, 115, 115A, 215 ... heater, 16,
16C: piping, 17, 17B: disk-shaped member, 1
7A: hollow cylindrical member, 20, 20A, 20B, 20
C, 20D: dry etching apparatus, 21: chamber, 22, 23 ... RF antenna, 24 ...
Multipole magnet, 25 ... bell jar, 25A ...
· Top plate, 26 ··· Solenoid coil assembly
27, 29 ... matching network, 28 ...
Helicon wave plasma source, 30 ... power supply, 31 ...
・ Exhaust port, 32 ・ ・ ・ Bias power supply, 33 ・ ・ ・ DC power supply, 34A, 34B, 34C ・ ・ ・ Piping, 35 ・ ・ ・ Heat supply medium for temperature control, 36 ・ ・ ・ Fluorescent fiber thermometer, 37 ... Control valve, 38 ... Control device (PI
D controller), 39 power supply, 40 semiconductor substrate, 41 base insulating layer, 42 TiN film, 4
3 Cu film, 44 TiN film, 45 mask pattern, 46 base insulating layer, 47 wiring,
48 ... interlayer insulating film, 48A ... flattened interlayer insulating film, 51 ... chamber, 52 ... top plate, 53
... Inductive coupling coil, 54 ... Bias power supply, 55
... DC power supply, 56 ... power supply, 57 ... exhaust port,
60 ... bias ECR CVD apparatus, 61 ... chamber, 61A ... chamber side wall, 61B ...
Quartz window, 62 ... microwave generating means, 63 ...
・ Heater, 64 ・ ・ ・ Solenoid coil, 65 ・ ・ ・ Pump, 66 ・ ・ ・ RF bias power supply, 67 ・ ・ ・ DC power supply, 68 ・ ・ ・ Power supply, 70 ・ ・ ・ Sputtering device, 71 ・
..Chamber, 71A top plate, 72 inductive coupling coil, 73 target, 74, 75 high frequency power supply, 76 DC power supply, 77 power supply, 81
... chamber, 82 ... chamber side wall, 83
..Top plate, 84 ... Inductive coupling coil, 88 ... Exhaust port, 85 ... Bias power supply, 86 ... DC power supply, 8
7 Power supply, 90, 90A Upper counter electrode, 91
... RF power supply, 92 ... power supply, 93 ... chamber, 94 ... side wall, 95 ... top plate, 101 ... base insulating layer, 102 ... wiring, 103 ... interlayer Insulating film, 104 ... opening, 105 ... metal wiring material layer

フロントページの続き (72)発明者 平野 信介 東京都品川区北品川6丁目7番35号 ソニ ー株式会社内 Fターム(参考) 5F004 AA01 BA20 BB14 BB22 BB25 BB26 BB29 BD04 BD05 CA02 CA03 CA04 CA06 DA00 DA04 DB08 DB12 EA06 EB02 5F045 AA10 AB32 AC01 AD07 AE15 AF08 AF10 CA05 CB05 DP02 DQ10 EB03 EC05 EH17 EH20 EJ03 EJ10 EK05 EM05 EM09 GH10 Continued on the front page (72) Inventor Shinsuke Hirano 6-7-35 Kita-Shinagawa, Shinagawa-ku, Tokyo F-term in Sony Corporation (reference) 5F004 AA01 BA20 BB14 BB22 BB25 BB26 BB29 BD04 BD05 CA02 CA03 CA04 CA06 DA00 DA04 DB08 DB12 EA06 EB02 5F045 AA10 AB32 AC01 AD07 AE15 AF08 AF10 CA05 CB05 DP02 DQ10 EB03 EC05 EH17 EH20 EJ03 EJ10 EK05 EM05 EM09 GH10

Claims (25)

【特許請求の範囲】[Claims] 【請求項1】複合部材から構成され、静電チャック機能
を有し、温度制御手段を備えた基体載置ステージであっ
て、 該複合部材は、セラミックス部材の組織中にアルミニウ
ム系材料が充填された母材と、該母材の表面に溶射法に
て形成されたセラミックス層とから成り、 該セラミックス層は、第1のセラミックス層と第2のセ
ラミックス層とが積層された構造を有し、 第1のセラミックス層と第2のセラミックス層との間に
は、セラミックス層に静電チャック機能を発揮させるた
めの電極が形成されていることを特徴とする基体載置ス
テージ。
1. A substrate mounting stage comprising a composite member, having an electrostatic chuck function, and having a temperature control means, wherein the composite member has a structure of a ceramic member filled with an aluminum-based material. Base material, and a ceramic layer formed on the surface of the base material by thermal spraying, the ceramic layer has a structure in which a first ceramic layer and a second ceramic layer are laminated, A substrate mounting stage, wherein an electrode for exerting an electrostatic chuck function on the ceramic layer is formed between the first ceramic layer and the second ceramic layer.
【請求項2】セラミックス層に静電チャック機能を発揮
させるために、電極に正又は負の直流電流を流すことを
特徴とする請求項1に記載の基体載置ステージ。
2. The substrate mounting stage according to claim 1, wherein a positive or negative direct current is applied to the electrodes so that the ceramic layer exhibits an electrostatic chucking function.
【請求項3】前記温度制御手段はヒータであることを特
徴とする請求項1に記載の基体載置ステージ。
3. The substrate mounting stage according to claim 1, wherein said temperature control means is a heater.
【請求項4】ヒータは母材の内部に配設されており、 母材の線膨張率をα1[単位:10-6/K]としたと
き、ヒータを構成する材料の線膨張率αH[単位:10
-6/K]は(α1−4)≦αH≦(α1+4)を満足する
ことを特徴とする請求項3に記載の基体載置ステージ。
4. A heater is disposed inside a base material. When a linear expansion coefficient of the base material is α 1 [unit: 10 −6 / K], a linear expansion coefficient α of a material forming the heater is defined as α 1 [unit: 10 −6 / K]. H [Unit: 10
-6 / K], wherein (α 1 -4) ≦ α H ≦ (α 1 +4) is satisfied.
【請求項5】前記温度制御手段は、母材の内部に配設さ
れた温度制御用熱媒体を流す配管から構成されており、 母材の線膨張率をα1[単位:10-6/K]としたと
き、配管の線膨張率αP[単位:10-6/K]は(α1
4)≦αP≦(α1+4)を満足することを特徴とする請
求項1に記載の基体載置ステージ。
5. The temperature control means comprises a pipe provided inside the base material for flowing a heat medium for temperature control, wherein the coefficient of linear expansion of the base material is α 1 [unit: 10 −6 / K], the coefficient of linear expansion of the pipe α P [unit: 10 −6 / K] is (α 1
4. The substrate mounting stage according to claim 1, wherein 4) ≦ α P ≦ (α 1 +4) is satisfied.
【請求項6】母材の線膨張率をα1[単位:10-6
K]としたとき、第1のセラミックス層の線膨張率α21
[単位:10-6/K]は(α1−4)≦α21≦(α1
4)を満足し、第2のセラミックス層の線膨張率α
22[単位:10-6/K]は(α1−4)≦α22≦(α1
4)を満足することを特徴とする請求項1に記載の基体
載置ステージ。
6. The coefficient of linear expansion of the base material is α 1 [unit: 10 −6 /
K], the linear expansion coefficient α 21 of the first ceramics layer
[Unit: 10 −6 / K] is (α 1 -4) ≦ α 21 ≦ (α 1 +
4) and the coefficient of linear expansion α of the second ceramics layer
22 [unit: 10 −6 / K] is (α 1 -4) ≦ α 22 ≦ (α 1 +
The substrate mounting stage according to claim 1, wherein 4) is satisfied.
【請求項7】母材を構成するセラミックス部材の組成は
コージエライトセラミックスであり、母材を構成するア
ルミニウム系材料の組成はアルミニウムとケイ素であ
り、第1のセラミックス層及び第2のセラミックス層を
構成する材料はAl23であることを特徴とする請求項
6に記載の基体載置ステージ。
7. The composition of a ceramic member forming a base material is cordierite ceramics, the composition of an aluminum-based material forming a base material is aluminum and silicon, and a first ceramic layer and a second ceramic layer. 7. The substrate mounting stage according to claim 6, wherein the material constituting the substrate is Al 2 O 3 .
【請求項8】母材を構成するセラミックス部材の組成は
窒化アルミニウムであり、母材を構成するアルミニウム
系材料の組成はアルミニウム又はアルミニウムとケイ素
であり、第1のセラミックス層及び第2のセラミックス
層を構成する材料はAl23であることを特徴とする請
求項6に記載の基体載置ステージ。
8. The composition of a ceramic member forming a base material is aluminum nitride, the composition of an aluminum-based material forming a base material is aluminum or aluminum and silicon, and a first ceramic layer and a second ceramic layer. 7. The substrate mounting stage according to claim 6, wherein the material constituting the substrate is Al 2 O 3 .
【請求項9】母材を構成するセラミックス部材の組成は
炭化ケイ素であり、母材を構成するアルミニウム系材料
の組成はアルミニウム又はアルミニウムとケイ素であ
り、第1のセラミックス層及び第2のセラミックス層を
構成する材料はAl23であることを特徴とする請求項
6に記載の基体載置ステージ。
9. The composition of a ceramic member constituting a base material is silicon carbide, the composition of an aluminum-based material constituting a base material is aluminum or aluminum and silicon, and a first ceramic layer and a second ceramic layer. 7. The substrate mounting stage according to claim 6, wherein the material constituting the substrate is Al 2 O 3 .
【請求項10】母材を構成するセラミックス部材の組成
は酸化アルミニウムであり、母材を構成するアルミニウ
ム系材料の組成はアルミニウム又はアルミニウムとケイ
素であり、第1のセラミックス層及び第2のセラミック
ス層を構成する材料はAl23であることを特徴とする
請求項6に記載の基体載置ステージ。
10. The composition of a ceramic member forming a base material is aluminum oxide, the composition of an aluminum-based material forming a base material is aluminum or aluminum and silicon, and a first ceramic layer and a second ceramic layer. 7. The substrate mounting stage according to claim 6, wherein the material constituting the substrate is Al 2 O 3 .
【請求項11】複合部材から構成され、静電チャック機
能を有し、温度制御手段を備えた基体載置ステージであ
って、 該複合部材は、セラミックス部材の組織中にアルミニウ
ム系材料が充填された母材と、該母材の表面に溶射法に
て形成されたセラミックス層とから成り、 該セラミックス層は、第1のセラミックス層と第2のセ
ラミックス層とが積層された構造を有し、 第1のセラミックス層と第2のセラミックス層との間に
は、セラミックス層に静電チャック機能を発揮させるた
めの電極が形成された基体載置ステージの作製方法であ
って、 (A)セラミックス部材の組織中にアルミニウム系材料
を充填し、以て、セラミックス部材の組織中にアルミニ
ウム系材料が充填された母材を作製する工程と、 (B)該母材の表面に溶射法にて第1のセラミックス層
を形成した後、該第1のセラミックス層上に電極を形成
し、次いで、該電極を含む第1のセラミックス層上に溶
射法にて第2のセラミックス層を形成する工程、を具備
することを特徴とする基体載置ステージの作製方法。
11. A substrate mounting stage comprising a composite member, having an electrostatic chuck function, and provided with a temperature control means, wherein the composite member has a structure of a ceramic member filled with an aluminum-based material. Base material, and a ceramic layer formed on the surface of the base material by thermal spraying, the ceramic layer has a structure in which a first ceramic layer and a second ceramic layer are laminated, A method for manufacturing a substrate mounting stage having an electrode for causing a ceramic layer to exhibit an electrostatic chucking function between a first ceramic layer and a second ceramic layer, comprising: (A) a ceramic member (A) a step of preparing a base material in which the structure of (a) is filled with an aluminum-based material and thereby filling the structure of the ceramic member with the aluminum-based material; Forming the first ceramic layer, forming an electrode on the first ceramic layer, and then forming a second ceramic layer on the first ceramic layer including the electrode by thermal spraying. A method for manufacturing a substrate mounting stage, comprising:
【請求項12】工程(A)は、容器の中に多孔質のコー
ジエライトセラミックスを組成としたセラミックス部材
を配し、該容器内に溶融したアルミニウムとケイ素とを
組成としたアルミニウム系材料を流し込み、高圧鋳造法
にてセラミックス部材中にアルミニウム系材料を充填す
る工程から成ることを特徴とする請求項11に記載の基
体載置ステージの作製方法。
12. The step (A) comprises disposing a ceramic member composed of porous cordierite ceramic in a container, and disposing an aluminum-based material composed of molten aluminum and silicon in the container. The method of manufacturing a substrate mounting stage according to claim 11, comprising a step of pouring and filling an aluminum-based material in the ceramic member by a high-pressure casting method.
【請求項13】工程(A)は、非加圧金属浸透法に基づ
き、窒化アルミニウム粒子から成形されたセラミックス
部材に溶融したアルミニウム又はアルミニウムとケイ素
を組成としたアルミニウム系材料を非加圧状態にて浸透
させる工程から成ることを特徴とする請求項11に記載
の基体載置ステージの作製方法。
13. The method of claim 1, wherein the step (A) comprises, based on a non-pressurized metal infiltration method, dissolving aluminum or an aluminum-based material containing aluminum and silicon into a ceramic member formed from aluminum nitride particles in a non-pressurized state. 12. The method for producing a substrate mounting stage according to claim 11, comprising a step of infiltrating the substrate mounting stage.
【請求項14】工程(A)は、非加圧金属浸透法に基づ
き、炭化ケイ素粒子から成形されたセラミックス部材に
溶融したアルミニウム又はアルミニウムとケイ素を組成
としたアルミニウム系材料を非加圧状態にて浸透させる
工程から成ることを特徴とする請求項11に記載の基体
載置ステージの作製方法。
14. The step (A) comprises, based on a non-pressurized metal infiltration method, dissolving aluminum or an aluminum material containing aluminum and silicon in a ceramic member formed from silicon carbide particles in a non-pressurized state. 12. The method for producing a substrate mounting stage according to claim 11, comprising a step of infiltrating the substrate mounting stage.
【請求項15】工程(A)は、容器の中に炭化ケイ素を
組成としたセラミックス部材を配し、該容器内に溶融し
たアルミニウム又はアルミニウムとケイ素を組成とした
アルミニウム系材料を流し込み、高圧鋳造法にてセラミ
ックス部材中にアルミニウム系材料を充填する工程から
成ることを特徴とする請求項11に記載の基体載置ステ
ージの作製方法。
15. The step (A) comprises disposing a ceramic member containing silicon carbide in a container, pouring molten aluminum or an aluminum-based material containing aluminum and silicon into the container, and subjecting the container to high-pressure casting. 12. The method according to claim 11, further comprising a step of filling the ceramic member with an aluminum-based material by a method.
【請求項16】工程(A)は、非加圧金属浸透法に基づ
き、酸化アルミニウム粒子から成形されたセラミックス
部材に溶融したアルミニウム又はアルミニウムとケイ素
を組成としたアルミニウム系材料を非加圧状態にて浸透
させる工程から成ることを特徴とする請求項11に記載
の基体載置ステージの作製方法。
16. The method of claim 1, wherein the step (A) comprises, based on a non-pressurized metal infiltration method, dissolving aluminum or an aluminum-based material containing aluminum and silicon into a ceramic member formed from aluminum oxide particles in a non-pressurized state. 12. The method for producing a substrate mounting stage according to claim 11, comprising a step of infiltrating the substrate mounting stage.
【請求項17】工程(A)は、容器の中に酸化アルミニ
ウムを組成としたセラミックス部材を配し、該容器内に
溶融したアルミニウム又はアルミニウムとケイ素を組成
としたアルミニウム系材料を流し込み、高圧鋳造法にて
セラミックス部材中にアルミニウム系材料を充填する工
程から成ることを特徴とする請求項11に記載の基体載
置ステージの作製方法。
17. In the step (A), a ceramic member containing aluminum oxide is placed in a container, and molten aluminum or an aluminum-based material containing aluminum and silicon is poured into the container. 12. The method according to claim 11, further comprising a step of filling the ceramic member with an aluminum-based material by a method.
【請求項18】第1のセラミックス層及び第2のセラミ
ックス層を構成する材料はAl23であることを特徴と
する請求項11に記載の基体処理装置の作製方法。
18. The method according to claim 11, wherein the material constituting the first ceramic layer and the second ceramic layer is Al 2 O 3 .
【請求項19】母材の線膨張率をα1[単位:10-6
K]としたとき、第1のセラミックス層の線膨張率α21
[単位:10-6/K]は(α1−4)≦α21≦(α1
4)を満足し、第2のセラミックス層の線膨張率α
22[単位:10-6/K]は(α1−4)≦α22≦(α1
4)を満足することを特徴とする請求項11に記載の基
体載置ステージの作製方法。
19. The coefficient of linear expansion of the base material is α 1 [unit: 10 −6 /
K], the linear expansion coefficient α 21 of the first ceramics layer
[Unit: 10 −6 / K] is (α 1 -4) ≦ α 21 ≦ (α 1 +
4) and the coefficient of linear expansion α of the second ceramics layer
22 [unit: 10 −6 / K] is (α 1 -4) ≦ α 22 ≦ (α 1 +
The method according to claim 11, wherein the condition (4) is satisfied.
【請求項20】基体を処理するための基体処理装置を用
いた基体処理方法であって、 該基体処理装置は基体載置ステージを備え、 該基体載置ステージは、複合部材から構成され、静電チ
ャック機能を有し、温度制御手段を備えており、該複合
部材は、セラミックス部材の組織中にアルミニウム系材
料が充填された母材と、該母材の表面に溶射法にて形成
されたセラミックス層とから成り、該セラミックス層
は、第1のセラミックス層と第2のセラミックス層とが
積層された構造を有し、第1のセラミックス層と第2の
セラミックス層との間には、セラミックス層に静電チャ
ック機能を発揮させるための電極が形成されており、 静電チャック機能によって該基体載置ステージのセラミ
ックス層上に基体を固定し、基体載置ステージの温度を
温度制御手段によって制御した状態で、基体に対して処
理を行うことを特徴とする基体処理方法。
20. A substrate processing method using a substrate processing apparatus for processing a substrate, the substrate processing apparatus including a substrate mounting stage, wherein the substrate mounting stage is composed of a composite member, The composite member has an electric chuck function and is provided with a temperature control means, and the composite member is formed by spraying a base material in which the structure of the ceramic member is filled with an aluminum-based material and a surface of the base material by a thermal spraying method. A ceramic layer, wherein the ceramic layer has a structure in which a first ceramic layer and a second ceramic layer are laminated, and a ceramic layer is provided between the first ceramic layer and the second ceramic layer. An electrode for exerting an electrostatic chuck function is formed on the layer. The substrate is fixed on the ceramic layer of the substrate mounting stage by the electrostatic chuck function, and the temperature of the substrate mounting stage is increased. While it controlled by the control means, the substrate processing method, characterized in that the processing is performed on the substrate.
【請求項21】セラミックス層に静電チャック機能を発
揮させるために、電極に正又は負の直流電流を流すこと
を特徴とする請求項20に記載の基体処理方法。
21. The substrate processing method according to claim 20, wherein a positive or negative direct current is applied to the electrodes to cause the ceramic layer to exhibit an electrostatic chuck function.
【請求項22】基体に対する処理はプラズマエッチング
処理であることを特徴とする請求項20に記載の基体処
理方法。
22. The substrate processing method according to claim 20, wherein the processing for the substrate is a plasma etching process.
【請求項23】基体に対する処理はプラズマCVD処理
であることを特徴とする請求項20に記載の基体処理方
法。
23. The substrate processing method according to claim 20, wherein the processing for the substrate is a plasma CVD process.
【請求項24】基体に対する処理はスパッタ処理である
ことを特徴とする請求項20に記載の基体処理方法。
24. The substrate processing method according to claim 20, wherein the processing on the substrate is a sputtering process.
【請求項25】スパッタ処理には、基体のソフトエッチ
ング処理が含まれることを特徴とする請求項24に記載
の基体処理方法。
25. The substrate processing method according to claim 24, wherein the sputtering includes a soft etching of the substrate.
JP10230752A 1998-08-17 1998-08-17 Substrate mount stage, its manufacture, and treatment of substrate Pending JP2000058520A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP10230752A JP2000058520A (en) 1998-08-17 1998-08-17 Substrate mount stage, its manufacture, and treatment of substrate

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP10230752A JP2000058520A (en) 1998-08-17 1998-08-17 Substrate mount stage, its manufacture, and treatment of substrate

Publications (1)

Publication Number Publication Date
JP2000058520A true JP2000058520A (en) 2000-02-25

Family

ID=16912732

Family Applications (1)

Application Number Title Priority Date Filing Date
JP10230752A Pending JP2000058520A (en) 1998-08-17 1998-08-17 Substrate mount stage, its manufacture, and treatment of substrate

Country Status (1)

Country Link
JP (1) JP2000058520A (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006066417A (en) * 2004-08-24 2006-03-09 Ulvac Japan Ltd Electrostatic chuck and tray for substrate conveyance
JP2009194237A (en) * 2008-02-15 2009-08-27 Tokyo Electron Ltd Heat treatment apparatus, heat treatment method, coating, development apparatus, and storage medium
JP2013251574A (en) * 2008-07-15 2013-12-12 Tokyo Electron Ltd Microwave plasma treatment device and manufacturing method of cooling jacket
CN107768279A (en) * 2016-08-23 2018-03-06 应用材料公司 Method for depositing etch quantity of the fluorine alumina layer with fast quick-recovery in etching chamber

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006066417A (en) * 2004-08-24 2006-03-09 Ulvac Japan Ltd Electrostatic chuck and tray for substrate conveyance
JP2009194237A (en) * 2008-02-15 2009-08-27 Tokyo Electron Ltd Heat treatment apparatus, heat treatment method, coating, development apparatus, and storage medium
JP2013251574A (en) * 2008-07-15 2013-12-12 Tokyo Electron Ltd Microwave plasma treatment device and manufacturing method of cooling jacket
CN107768279A (en) * 2016-08-23 2018-03-06 应用材料公司 Method for depositing etch quantity of the fluorine alumina layer with fast quick-recovery in etching chamber

Similar Documents

Publication Publication Date Title
JP4022954B2 (en) COMPOSITE MATERIAL AND ITS MANUFACTURING METHOD, SUBSTRATE TREATING APPARATUS AND ITS MANUFACTURING METHOD, SUBSTRATE MOUNTING STAGE AND ITS MANUFACTURING METHOD, AND SUBSTRATE TREATING METHOD
KR100539626B1 (en) Apparatus for processing glass substrate
KR101986682B1 (en) Substrate support assembly having metal bonded protective layer
US5981913A (en) Static electricity chuck and wafer stage
US20080029032A1 (en) Substrate support with protective layer for plasma resistance
CN105777210B (en) A kind of aluminium nitride ceramics copper-clad plate and preparation method thereof
JP2001060584A (en) Plasma treatment for strengthening adhesion of carbon- containing layer and minimizing oxidation of the layer
JP2001102436A (en) Electrostatic chuck and its manufacturing method
JPH0649595B2 (en) Surface strengthening method for multi-phase glass / ceramic substrate
JP2004235637A (en) Two-stage forming method of etch stop layer
TW200834728A (en) Film forming method, film forming apparatus, storage medium and semiconductor device
JP2000269189A (en) Method for plasma etching
JP2000082695A (en) Plasma etching method and semiconductor device
JP2000331991A (en) Manufacture of semiconductor device
JP2000058520A (en) Substrate mount stage, its manufacture, and treatment of substrate
JPH11111682A (en) Dry etching method
JP2004200203A (en) Semiconductor device and its manufacturing method
JPH1161448A (en) Dry etching
JP3855451B2 (en) Method for forming fluorocarbon film
JP3438496B2 (en) Wafer stage, manufacturing method thereof and dry etching apparatus
JP2023512448A (en) Mixed metal baseplate for improved thermal expansion match with thermal oxide spraycoat
JP2004002101A (en) Plasma resistant member and its manufacturing process
JP4051785B2 (en) Plasma etching method
TW201221353A (en) Heat spreading element with AlN film and method for manufacturing the same
JPH11307515A (en) Plasma etching method of copper thin film

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050302

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20050621

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070417

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20070911