GB2349506A - Pulse modulation plasma etching - Google Patents

Pulse modulation plasma etching Download PDF

Info

Publication number
GB2349506A
GB2349506A GB0009746A GB0009746A GB2349506A GB 2349506 A GB2349506 A GB 2349506A GB 0009746 A GB0009746 A GB 0009746A GB 0009746 A GB0009746 A GB 0009746A GB 2349506 A GB2349506 A GB 2349506A
Authority
GB
United Kingdom
Prior art keywords
gas
plasma
time
processing method
plasma processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
GB0009746A
Other versions
GB2349506B (en
GB0009746D0 (en
Inventor
Seiji Samukawa
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Corp
Original Assignee
NEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Corp filed Critical NEC Corp
Publication of GB0009746D0 publication Critical patent/GB0009746D0/en
Publication of GB2349506A publication Critical patent/GB2349506A/en
Application granted granted Critical
Publication of GB2349506B publication Critical patent/GB2349506B/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

A pulse modulated RF bias of 2-5 MHz (power off time of 10-100 microseconds and power on time of about 20 microseconds) is applied to an etching gas including bromine or hydrogen bromide. The etching gas may also comprise mixtures of bromine or hydrogen bromide with gases comprising chlorine, nitrogen, oxygen, and carbon. The etching gas is selected according to its electronegativity and negative ion generation cross section compared to chlorine. The etching methd is used for high precision patterning of polysilicon or titanium or tungsten gate electrodes.

Description

PLASMA PROCESSING METHOD FOR A SEMICONDUCTOR WAFER The present invention relates to a plasma processing method for a semiconductor water. A particular plasma processing method for treating the surface of a semiconductor substrate will be described below by way of example in illustration of the invention, in which a semiconductor substrate is processed using a plasma which is generated by pulse-modulating a high frequency electric field.
It is a requirement that a semiconductor wafer be processed at a high speed and with a high precision. A manufacturing technique has previously been proposed in which a plasma is generated at a high density under a low pressure. In carrying-out the above technique, there have been proposed high density plasma generating units, such as a helicon wave plasma source, an electron cyclotron resonance plasma source, and an inductively coupled plasma source. A gas such as a chlorine gas and a hydrogen bromide gas is generally introduced into the apparatus. Thus, a wiring line material, such as an aluminium electrode material and a gate electrode material may be etched through the introduction of such a gas. As known from a publication entitled"Semiconductor Dry Etching Technique"by Tokuyama (published by Sangyo Tosyo), the conversion difference to a mask has recently become of importance. Hydrogen bromide gas is especially often proposed for the manufacture of the gate electrode. By using the hydrogen bromide gas, a perpendicular shape is obtained compared with chlorine gas.
In a manufacturing method using such a high density plasma, there is the problem that the electron temperature is high so that damage may be caused due to the accumulation of electric charge. In order to solve this problem of the manufacturing method using a high density plasma, a method has been considered in which a high frequency electric field for the generation of a plasma is pulse-modulated in the order of microseconds to decrease the electron temperature in the plasma. Such a technique is described in Japanese Laid Open Patent Application (JP-A-Heisei 6267900). In the previously proposed pulse modulation technique, a chlorine plasma or a fluorocarbon plasma is pulse-modulated at a frequency equal to or more than 10 kHz. Thus, the electron temperature is decreased during the power off time while the densities of positive ions and neutral particles are kept. Also, the potential of the semiconductor substrate surface is reduced in order to control the electric charge accumulation. By such a pulse modulation technique, the electric charge which is accumulated on the semiconductor substrate surface is caused to decrease, when the etching of an aluminium electrode is carried out using a chlorine gas, or the etching of a contact hole is carried out using a fluorocarbon gas. As a result, it is possible to make less likely an erroneous operation of the device due to the degradation of a gate oxide film.
Moreover, as described in Japanese Laid Open Patent Application (JP-A-Heisei 8-181125) corresponding to Japanese Patent No. 2845163, a chlorine plasma is pulse-modulated in the order of 10 to 100 microseconds, such that many negative ions are formed during the power off time. A semiconductor substrate bias having a frequency equal to or less than 600 kHz is applied to a semiconductor substrate such that negative ions are introduced into the semiconductor substrate to etch the semiconductor substrate. By this technique, a plasma density distribution can be made more uniform in a high density plasma in which a magnetic field is present.
Moreover, the etching can be realized without damage due to electric charge accumulation.
In such a previously proposed plasma generation apparatus, when a gate electrode is etched using a plasma obtained by pulse-modulating a high density chlorine plasma in the case in which a chlorine gas and a hydrogen bromide gas are used, it is found that an insufficient etching characteristic is attained in the device manufactured to satisfy the design rule that there should be 0.15 gm or less in which a lower gate oxide film has the film thickness of 45 angstroms or less. Too many electrons with high energy are generated during the power on time to dissociate a reactive gas sufficiently, so that the energy of the ions to be incident on the semiconductor substrate surface becomes large. As a result, the selection ratio to the lower film is degraded so that a good enough characteristic cannot be obtained. When a high density plasma equal to or greater than 1011 cm-3 is generated using a chlorine gas, the dissociation during the power on time becomes equal to or more than 80% so that there are many more Cl atoms than CL2 molecules.
At this time, when the polysilicon gate is etched, the etching rate largely depends on a dopant such as boron and arsenic, as shown in Fig. 1 of the accompanying drawings. As a result, there is the problem that it is difficult to etch a P-channel gate and an N-channe gate at the same time.
Moreover, at this time, the electron temperature during the power on time reaches an energy level equal to 4 to 5 eV or more, so that the negative potential generated in the semiconductor substrate surface reaches 20 to 30 V. Therefore, the ion energy which is incident on the semiconductor substrate becomes large. Thus, there is another problem in that a high enough selection ratio is not attained in the etching of the gate, where the selection ratio to the lower oxide film is especially important. At this time, when the film thickness of the lower gate oxide film is equal to or less than 20 angstroms, the phenomenon in which high energy ions penetrate the lower gate oxide film occurs, as shown in Fig. 2 of the accompanying drawings. This phenomenon is called"trenching". This is a serious problem. The high energy ions are reflected by the side wall and are incident to the semiconductor substrate at an angle of 45 degrees. The ions sputter a SiO2 oxide film locally. At this time, the etching rate provided by these ions is as fast as 1.5 times compared with the etching rate provided by perpendicularly incident ions. The selection ratio of at least about 500 is necessary for such a very thin oxide film in consideration of the uniformity of the etching, an etching remainder at a step section, and the over-etching of 100%.
However, the high energy electrons are necessary even in the pulse modulation plasma to generate a high density plasma. Therefore, a negative potential which is generated on the semiconductor substrate becomes large. As a result, the ion energy becomes high and is a trade-off at this point in the method using chlorine.
In conjunction with the above description, a plasma etching method is disciosed in Japanese Laid Open Patent Application (JP-A-Heisei 10284297). In this publication, a high frequency electric field for discharge is pulse-modulated to have the power off time of 10 to 100 microseconds to generate a plasma. An RF electric field having a frequency equai to or less than 600 kHz is applied to a semiconductor substrate electrode in the plasma. In the course of the usual continuation of the discharge plasma, less negative ions are generated than 10% of positive ions and the negative ion density increases with respect to the positive ion density in an after-glow plasma. At this time, when an RF electric field having a frequency equal to or less than 600 kHz is applied, ions with a heavy mass can follow sufficiently and be accelerated. Thus, the positive ions and the negative ions are alternately incident on the semiconductor substrate surface for every half period. Therefore, any electric charge accumulation is minimised, and not only the positive ions but also the negative ions contribute to the etching to increase the etching rate. Thus, damage to a device due to the electric charge accumulation in the semiconductor substrate surface is minimised and a high-speed anisotropical etching is attained.
A method of processing a plasma is also disclosed in Japanese Laid Open Patent Application (JP-A-Heisei 11-16892). In this publication, a high frequency electric field is pulse-modulated in the range of 10 to 100 microseconds. Aiso, a pulse rise time is set to be in the range of 2 to 50 microseconds, and a pulse falling time is set to be in the range of 10 to 100 microseconds. Thus, the electronic temperature in the plasma is controlled to be equal to or less than 2 eV, and the change of the negative ion density in the plasma is controlled to be equal to or less than 20%. In this way, damage to the semiconductor device due to the electric charge accumulation on the semiconductor substrate surface is minimised. Also, a high speed, high selectivity isotropic etching is realized.
A surface treating apparatus is also described in Japanese Laid Open Patent Application (JP-A-Heisei 10-261620). In this publication, a bias power supply is intermittently driven to accelerate ions in the plasma. A deposition gas such as oxygen is also mixed with a halogen gas used for the etching. A halogen gas is an etching enhancing gas and a deposition gas has the function of obstructing the etching. The ions accelerated by the bias power supply promote an etching reaction. The deposition gas has the effect of obstructing the reaction with a lower material such as an insulator, which has low reactivity with halogen. Therefore, through the use of the gas mixture for the deposition gas, the selection ratio of the etching rate of the semiconductor and the etching rate of the insulator becomes large. When an off cycle occurs in the bias power supply, only the function of the deposition gas becomes conspicuous in the off time of the bias power supply, i. e., during the period when accelerated ions are not incident on the sample surface. The selection ratio thus becomes high compared with the case where the bias power supply is supplied continuously.
A plasma processing apparatus is also described in Japanese Laid Open Patent Application (JP-A-Heisei 10-335314). In this reference, an anormal shape is reduced in a dry etching step and an etching parameter, also designed to improve etching efficiency such as selectivity, uniformity, manufacture performance, can be extended. A microwave is controlled in a pulse manner and is introduced into a chamber. The current value applied to a main coil which generates a magnetic field in the chamber is larger during the power on period than in the power off period.
Features of a plasma processing method for a semiconductor substrate to be described below, by way of example in illustration of the present invention are that any excessive dissociation of reactive gas is kept to a minimum, the selection ratio with respect to a lower film is not degraded, and a pulse modulation plasma is generated using a bromine containing gas.
In a particular plasma processing method for a semiconductor wafer to be described below, by way of example in illustration of the invention, a semiconductor wafer is placed on an electrode in a plasma generating chamber, a plasma generation gas is introduced into the plasma generating chamber, the plasma generation gas being an etching enhancing gas containing an element which has an electronegativity larger than chlorine and a negative ion generation cross section larger than chlorine, and a high frequency electric field is pulse modulated at a frequency equal to or larger than 2 MHz to form a pulse-modulated plasma from the plasma generation gas in the plasma generating chamber such that the wafer is processed by the pulse-modulated plasma.
The plasma processing method may further include a step of applying an RF bias to the electrode. In this case, the frequency of the RF bias is equal to or lower than 5 MHz. Also, the RF bias may be intermittently applied to the electrode.
It is preferable that the plasma generation gas should be a gas containing bromine. In this case, the plasma generation gas is a gas selected from a group consisting of a bromine gas, a hydrogen bromide gas, a mixture gas of a hydrogen bromide gas and a chlorine gas, and a mixture gas of a hydrogen bromide gas and a chlorine gas. Also, the plasma generation gas may further contain at least one of an oxygen containing gas, a nitrogen containing gas, and a carbon containing gas.
A time period of the pulse modulation includes an on time and an off time, and it is preferable that the off time is in a range of 10 to 100 microseconds. Instead, the off time may be determined such that negative ions in the plasma can be substantially absorbed by the wafer. Also, the on time may be determined based on a particular element contained in the plasma generation gas.
In another arrangement to be described by way of example in illustration of the present invention, a plasma processing method for a semiconductor substrate, includes pulse-modulating a high frequency electric field at a frequency equal to or larger than 2 MHz to form a pulse-modulated plasma from a plasma generation gas in a plasma generating chamber, wherein the pulse-modulated plasma has an on time and an off time, and the plasma generation gas is an etching enhancing gas containing an element which has an electronegativity larger than chlorine and a negative ion generation cross-section larger than chlorine, by generating positive ions, radicals and electrons to etch the semiconductor substrate with the positive ions and the radicals during the on time, wherein the reaction production of the semiconductor substrate, the positive ions and the radicals is exhausted during the on time, by generating negative ions by the use of the generated electrons during the off time, and by forming a protection film from the reaction production by use of the negative ions on the semiconductor substrate during the off time, wherein the reaction production is deposited on the semiconductor substrate.
In this case, an RF bias may be applied to the electrode. The frequency of the RF bias is preferably equal to or lower than 5 MHz, and the RF bias may be intermittently applied to the electrode.
Also, the plasma generation gas may be a gas containing bromine.
The plasma generation gas in this case is a gas selected from a group consisting of a bromine gas, a hydrogen bromide gas, a mixture gas of a bromine gas and a chlorine gas, and a mixture gas of a hydrogen bromide gas and a chlorine gas. The plasma generation gas may further contain at least one of an oxygen containing gas, a nitrogen containing gas, and a carbon containing gas.
Also, the time period of the pulse modulation includes an on time and an off time, and it is preferable that the off time is in the range of 10 to 100 microseconds. Instead, the off time may be determined such that negative ions in the plasma can be substantially absorbed by the wafer. Also, the on time may be determined based on a particular element contained in the plasma generation gas.
Arrangements which are suitable for illustrating the invention will now be described, by way of example with reference to the accompanying drawings, in which: Fig. 1 is a graph showing the etching rate dopant dependence in polysilicon etching using a pulse modulation plasma, Fig. 2 is a cross section for use in illustrating a gate oxide film penetrating phenomenon at the time of the polysilicon etching, Figs. 3A to 3D are time charts showing a pulse modulation of a high frequency electric field, electron temperature, ion energy, and deposition rate, Fig. 4 is a graph showing electron density dependence during the power on time in the pulse modulation chlorine and HBr plasmas, Fig. 5 is a graph showing the relation of the time period from the time when the discharge is started to the time when an electron density becomes stable, and a discharge frequency in the HBr plasma, Fig. 6 is a cross section showing a plasma generating unit, Fig. 7 is a graph showing an pulse modulated power waveform, Fig. 8 is a graph showing a discharge time, Fig. 9 is a graph showing a positive ion density, an electron density, a negative ion density in the pulse modulation HBr inductively coupled plasma, Fig. 10 is a graph showing a dopant dependence of the etching rate in polysilicon etching in the inductively coupled pulse modulation chlorine HBr plasma, and Fig. 11 is a graph showing the improvement in the selection ratio in the pulse modulation plasma.
Reference may be made to US Patent No. 5,468,341 entitled "PLASMA-ETCHING METHOD AND APPARATUS THEREFOR"by Samukawa, who is the inventor of the arrangement described in the present specification. The US patent corresponds to Japanese Patent No. 2957403.
In a plasma processing method for a semiconductor substrate in one arrangement illustrative of the present invention, a process gas is converted into a plasma in a plasma generation chamber using a high frequency electric field. The plasma irradiates the semiconductor substrate to process the semiconductor substrate. As a plasma gas, a HBr gas or a HBr gas is used as a plasma generation gas. A chlorine gas may be mixed with the above gases. Also, an oxygen gas, a nitrogen containing gas, and/or a carbon containing gas may be mixed with the above gas as a deposition gas. The plasma is pulse-modulated with a high frequency electric field which has a power off time of at least 20 microseconds or more. Thus, the selection ratio of 500 or more to the lower oxide film can be attained in the etching of a polysilicon film. By generating a pulse modulation plasma from the HBr gas, an improved etching characteristic can be realized. The improvement is not obtained by a continuing discharge plasma and by a pulse modulation chlorine plasma.
An HBr gas, a gas in which chlorine is added to the HBr gas, or a gas in which oxygen is added to a chlorine gas is pulse-modulated with the high frequency electric field to have at least a power off time of 20 microseconds.
Thus, it is possible to reduce the electron temperature while keeping the plasma density (positive ion density and neutral particle (radical) density).
Therefore, it is possible to control the energy of ions incident upon the semiconductor substrate, and the etching rate of an oxide film which depends on the ion energy can be reduced to a large extent.
Because it is easy for polysilicon to react with Br and so on, the etching rate depends on the incident ion flux (density) having an energy equal to or more than several eV. Therefore, the etching rate may be decided based on the ion density generated during the power on time. It is preferable that the power off time is in the range of about 20 microseconds to 100 microseconds. In this range, the ion density and the neutral particle density is not reduced substantially. The etching rate of the lower oxide film in over-etching depends on the incident ion energy. The lower oxide film is etched during the power on time, as in a usual continuous discharge plasma. However, because the ion energy is largely reduced during the power off time, the deposition of the reaction product and the polymerization on the surface are promoted so that the etching of the gate oxide film is restrained. Therefore, the selection ratio to the lower oxide film largely rises.
Depending upon the repetition of the power on and the power off of the plasma, there are few differences in the etching rate of the polysilicon, compared with the case of the continuous discharge plasma. In the oxide film surface, the deposition and the etching of the deposition are alternately repeated. As a result, the oxide film etching is restrained so that the selection ratio improves largely.
The density of the ions and the density of the excited neutral particles which determine the etching rate of the polysilicon are determined on the basis of the balance of the power on time and the power off time. An optimal power on time depends on the discharge frequency, the discharge pressure, and the existence or non-existence of magnetic field.
As shown in Fig. 5, the time by which the plasma density becomes stable (reaching a stationary state) depending on the discharge frequency, and the optimal power on time are different. The power on time is in a range of 10 microseconds to 20 microseconds in a plasma using a microwave of 2.45 GHz, about 30 microseconds in a plasma using a UHF band of 500 MHz, about 50 microseconds in a plasma using an RF band of 13.56 MHz, and about 100 microseconds in a plasma using a frequency band of 2 MHz. The optimal power on time is about 50 microseconds in an inductively coupled plasma using the RF band of 13.56 MHz, which is the most often used at present. When a magnetic field is applied to an inductively coupled plasma, or in the case of a helicon wave plasma, a sufficiently high electron density can be attained in a power on time of about 30 microseconds. This is because the electron energy loss is decreased due to the effect of the magnetic field.
Moreover, the optimal power off time is determined based on the lifetimes of generated ions and neutral particles, the electron density for keeping the plasma state, and the deposition rate of a deposition film. The lifetime of the positive ion or neutral particle which is generated during the power on time is in the range of 200 to 300 microseconds, while the lifetime of an electron is about 100 microseconds at most. Therefore, there is no electron when the power off time is equal to or more than 100 microseconds. Discharge during the power on time becomes difficult when there are no electrons, and the electron temperature increases rapidly during the power on time so that the electrons are increased. Thus, the effect of the pulse modulation is obstructed due to the above phenomena. Therefore, the optimal power off time is within 100 microseconds in any plasma source.
On the other hand, a power off time of at least 10 microseconds is necessary because it takes about 10 microseconds until the electron temperature decreases sufficiently so that the semiconductor substrate surface voltage decreases. At this time, a semiconductor substrate bias of 1 MHz is applied in a general plasma etching apparatus to accelerate ions to the semiconductor substrate, independently of the high frequency electric field for the discharge. In a pulse modulation plasma, the effective semiconductor substrate RF bias frequency depends on the material being etched. In the high density plasma equal to or more than 1011 cm-3, the plasma ion frequency, i. e., the frequency of the semiconductor substrate RF bias which an ion can follow is calculated to be 5 MHz from the following equation fpi- [ (nie2)/ (MEO) l 112/2n) where ni is an ion density, M is an ion mass, and Eo is a dielectric constant.
In other words, when the RF bias frequency is equal to or more than 5 MHz, ions can not follow the RF electric field. Therefore, ions are never accelerated by the RF electric field, and only electrons are accelerated to reach the semiconductor substrate.
Electrons and positive ions exist profusely in a normal plasma. The electrons are accelerated to the semiconductor substrate and then accumulated in the semiconductor substrate. As a result, the semiconductor substrate surface is charged to a negative potential to accelerate the positive ions. Therefore, even if a high frequency electric field of 13.56 MHz is used, the positive ions can be accelerated sufficiently towards the semiconductor substrate. However, because negative ions exist in profusion in the pulse modulation plasma instead of electrons, the negative potential on the semiconductor substrate surface is small when a high frequency discharge electric field of 13.56 MHz is used. As a result, the ions cannot be sufficiently accelerated. Therefore, the etching rate decreases in the etching of the material (for example, the etching of barrier metal TiN is at the rate of the AI etching) in which an ion energy equal to or greater than tens of eV is necessary. The high frequency discharge electric field of 13.56 MHz is sufficient in the etching of a film such as a polysilicon electrode which does not require high ion energy.
Etching with the assistance of ions is necessary in an oxide film etching, an aluminium etching, and a high melting point metal etching.
Therefore, it is desirable to apply an RF bias equal to or less than 5 MHz.
Also, it is more desirable to apply an RF bias equal to or less than 1 MHz.
In this case, the positive ions and negative ions can follow the electric field sufficiently so that the ions can be accelerated to the semiconductor substrate.
Next, a plasma processing method illustrative of the present invention will be described.
As shown in Figs. 3A to 3D, a plasma is generated in a plasma generation chamber from a process gas using a high frequency electric field.
The plasma irradiates the semiconductor substrate to process a semiconductor substrate. The mixture gas of HBr, or HBr and a chlorine gas and/or an oxygen gas is used as the process gas and the applied high frequency electric field has a power off time which is at least equal to or more than 20 microseconds. With this, the selectivity to the lower oxide film in the polysilicon etching can be made equal to or more than 500.
When Br2 or HBr gas is used, the vapour pressure of the bromine compound formed in the etching is low compared with the case in which chlorine is used. The bromine compound is formed as a protection film in the etching base or side and the etching selectivity is improved. When the Br2 or HBr gas is used along with the pulse modulation plasma, a multiple effect as in the following is obtained.
That is, first, it is easy for the ionization potential of a Br ion generated from the HBr gas in the pulse modulation plasma during the power on time to be 12 eV and to be low compared with 15 eV of the chlorine. Therefore, the necessary ON time period can be shortened and it is possible to get a high density plasma compared with chlorine, as shown in Fig. 4.
Moreover, the electronic energy is also low and ion energy to the semiconductor substrate can be made low compared with the case of the chlorine plasma. Also, the power off time is set to be equal to or more than 20 microseconds at least. That the electronic energy decreases sufficiently in this way, and that an electron adheres to a gas molecule is promoted to form a negative ion. In the power off time, more negative ions are formed because the electronegativity of bromine is higher than that of chlorine and the negative ion formation cross section of bromine is larger than that of chlorine. Therefore, the effect of an electron is reduced because an electron adheres to a gas molecule during the power off time to form a negative ion.
As a result, the negative potential of the semiconductor substrate surface generated due to electron accumulation decreases remarkably. Therefore, the energy of the ions incident on the semiconductor substrate is substantially decreased.
The following description of an operation will be made in respect of a case using a pulse modulation Br plasma and a polysilicon/SiO2 laminate structure (the gate electrode section).
First, in the initial etching of the polysilicon, the etching progresses through a preliminary reaction of the Br ions and the neutral particles (radicals). At this time, the etching rate depends on the ion flux which is incident on the semiconductor substrate. The etching rate in the Br plasma can be mainly kept at substantially the same level as in a Cl plasma, because the bromine atom may be ionized easily, as mentioned above, and also the density decrease is small during the power off time. The SiO2 etching rate depends on the high energy ion flux incident on the semiconductor substrate (wafer) at the time of over-etching, or in the state in which a part of the lower oxide film is exposed. However, because the ion energy decreases in the Br plasma, it is possible to decrease the lower oxide film etching rate at the time of the over-etching, compared with the chlorine plasma.
Moreover, a protection film forming effect which is the conventional advantage when Br gas is used is attained. In other words, during the power off time, the electron temperature decreases so that the negative potential formed on the semiconductor substrate surface becomes very low.
Therefore, it becomes easy for neutral particles and negative ions to adhere to the semiconductor substrate surface. Especially, the negative ions promote the formation of a compound, such as Si x Br y Oz on the oxide film surface. Thus, the protection film is formed. In this way, in the time of the over-etching, the etching of the lower oxide film can be restrained.
Fig. 6 shows an arrangement illustrative of the present invention. As shown in Fig. 6, a high frequency electric field is introduced by an antenna 1 to generate a plasma with an induced electric field and magnetic field. A plasma generation cha gas may be further mixed into the above gases. The introduced high frequency electric field is pulse-modulated by a pulse circuit 4. The power on time is in the range of 10 to 100 microseconds and the power off time is in the range of 10 to 100 microseconds. The shape of the pulse is shown in Fig. 7.
In this way, the power introduced from the plasma source is pulsed.
As a result, positive ions, neutral particles and electrons are generated during the power on time, and the electron temperature is decreased during the power off time and negative ions are generated. At that time, the ion energy incident on the semiconductor substrate decreases and the dissociation of the gas is stopped.
The dissociation and the ionization are caused through the collision of the etectrons on discharge from gas molecules and depend on the discharge time (Fig. 8). Therefore, the period of the power on time influences the gas dissociation and the electron density (ion density) in the plasma so that the etching characteristic is controlled. Also, because the electron temperature decreases during the power off time, the negative bias generated on the semiconductor substrate surface becomes small so that the energy of the positive ions incident to the semiconductor substrate is caused to decrease.
Figs. 3A to 3D shows the time change of the electron temperature, the ion energy, and the deposition rate in the inductively coupled bromine plasma.
The electron temperature increases at the moment that the pulse is turned on. The electron density reaches a stationary state in about 30 microseconds, as shown in Fig. 9. In other words, the power on time of about 50 microsecond is optimal to stabilization of the pulse-modulated inductively coupled plasma of 13.56 MHz. When a pulse-modulated hydrogen bromide plasma is used for a polysilicon etching, dopant dependence of the etching rate is eliminated, as shown in Fig. 10. This is because the atomic radius of Br is larger than that of Cl so that the absorption reaction is scarce and the ion assisting reaction becomes primary, On the other hand, the selectivity to an oxide film can be improved by adding an oxygen gas to the process gas in the pulse modulation plasma.
There is the effect that a Si x Cl y Oz film is deposited on the oxide film to restrain the etching at the time when the polysilicon etching ends and the lower gate oxide film is exposed. However, it is difficult due to etching uniformity to add the oxygen gas after the polysilicon is completely etched.
The oxygen gas is added from the start of the polysilicon etching. When continuous discharge is used, it is easy for the polysilicon film to be oxidized.
In order to keep the etching rate of the polysilicon film, it is permitted only to add oxygen gas of 5% or below at the maximum. The deposition film cannot be formed sufficiently with the addition of the oxygen gas of 5%.
Therefore, it is difficult to improve the selectivity to a large extent. This is because the oxygen ions dissociated from the oxygen molecule have a high reactivity with Si so that the oxidation rate of the polysilicon film is high.
On the other hand, when a pulse modulation plasma having a power on time of 50 microseconds is used, the dissociation is restrained and the oxygen molecule density becomes higher than the oxygen atom density. In this case, the oxidation of the polysilicon is restrained and the etching rate of the polysilicon film does not decrease, even if oxygen gas equal to or more than 10% is added. The deposition of a protection film on the gate oxide film depends on the oxygen concentration and increases in proportional to the oxygen addition quantity. At this time, the selection ratio to the lower gate oxide film is equal to or more than 100. In other words, the deposition rate of the Si x Cl y Oz film on the oxide film can be increased by restraining the dissociation of the added oxygen.
Moreover, in the etching using a gas mainly containing the Br gas, the effect of the pulse modulation plasma is conspicuous. The use of the HBr gas remarkably increases the deposition of the reaction product, compared with the chlorine gas. Therefore, there are many cases in which the HBr gas may be used to improve the selection ratio to the gate oxide film. This is because it is easy for a reaction product such as Si x Br y to dissociate in the plasma and to be deposited. However, a lot of deposition is formed in the etching of the polysilicon. For this reason, there are problems in that the etched shape becomes a taper and a polysilicon remainder (stringer) is generated at the LOCOS step. When the pulse modulation plasma is used, the reaction product is not generated during the power off time and is easily exhausted. Therefore, it is possible to restrain the deposition of the reaction product in the polysilicon etching. Also, it is possible to restrain the occurrence of the tapered shape and the remainder.
On the other hand, when the polysilicon etching ends and the lower oxide film is exposed, neutral particles and the negative ions generated during the power off time adhere easily to the oxide film surface because there is little ion impact, and the Si x Br y Oz film is formed on the oxide film surface. Therefore, the pulse modulation plasma functions to restrain oxide film etching. At this time, because the ion energy decreases with the decrease of the electron temperature during the power off time, this formation of the protection film is promoted. As a result, the etching rate of the oxide film decreases so that it is possible largely to improve the selection ratio. For example, the ion energy is about 20 to 30 eV in the continuous discharge plasma and during the power on time in the pulse modulation plasma, but the ion energy decreases to about several eV during the power off time of 50 microseconds. At this time, the etching rate of the polysilicon film does not decrease, because the polysilicon film can be etched with an ion energy of about several eV. However, the etching rate of the lower gate oxide film in the gate electrode etching depends on the ion energy and decreases. Therefore, the selection ratio to the lower oxide film in the polysilicon etching can be largely improved. It is impossible to decrease the ion energy to this level in the continuation discharge process. However, because a pulse modulation hydrogen bromide plasma process is used, the selection ratio to the oxide film can be improved to 500 or more.
On the other hand, in the case of the etching of a high melting point metal such as Ti and W, it is necessary to accelerate ions such that the accelerated ions are incident on the semiconductor substrate, because the reactivity is scarce. At this time, when the high frequency bias equal to or less than 5 MHz is applied to the semiconductor substrate, the etching rate increases, because positive and negative ions are accelerated directly by the RF electric field such that the accelerated ions are incident on the semiconductor substrate. Moreover, as a result, electric charge accumulation on the semiconductor substrate surface is restrained so that damage due to the electric charge accumulation is restrained. Also, electrons carried to the semiconductor substrate surface by the negative ions adhere to the etching reaction product and changes the electronic state of the reaction product. At this time, the leaving energy of the reaction product decreases, the leaving rate of the reaction product becomes fast and the etching rate is increased.
The arrangements described in illustration of the present invention may be applied without dependence on the discharge frequency and the type of discharge. For example, the present arrangements can be applied to an electron cyclotron resonance plasma source, a surface wave plasma source, a helicon wave plasma source and a parallel plate type apparatus, which are widely used at present. Thus, precise etching can be realized by using the method described in illustration of the present invention.
The plasma generation gas may further contain at least one of an oxygen containing gas, a nitrogen containing gas, and a carbon containing gas.
In a plasma processing method for a semiconductor substrate described above in illustration of the present invention, the high selection ratio to the semiconductor substrate surface layer such a the lower oxide film can be attained in the etching of the gate material such as the polysilicon.
It will be understood that, although particular arrangements illustrative of the invention have been described by way of example, variations and modifications thereof, as well as other arrangements may be made within the scope of the appended claims.

Claims (19)

  1. CLAIMS 1. A plasma processing method for a semiconductor wafer, including the steps of arranging a semiconductor wafer on an electrode in a plasma generating chamber, introducing a plasma generation gas in the plasma generating chamber, the plasma generation gas being an etching enhancing gas containing an element which has an electronegativity larger than chlorine and a negative ion generation cross section larger than chlorine, and pulse-modulating a high frequency electric field having a frequency equal to or higher than 2 MHz to form a pulse-modulated plasma from the plasma generation gas in the plasma generating chamber such that the wafer is processed by the pulse-modulated plasma.
  2. 2. A plasma processing method as claimed in claim 1, including the step of applying an RF bias to the electrode.
  3. 3. A plasma processing method as claimed in claim 2, wherein a frequency of the RF bias is equal to or lower than 5 MHz.
  4. 4. A plasma processing method as claimed in claim 2 or claim 3 wherein the step of applying an RF bias to the electrode includes intermittently applying the RF bias to the electrode.
  5. 5. A plasma processing method as claimed in any one of claims 1 to 4, wherein the plasma generation gas is a gas containing bromine.
  6. 6. A plasma processing method as claimed in any one of the preceding claims wherein the plasma generation gas is a gas selected from a group consisting of a bromine gas, a hydrogen bromide gas, a mixture gas of a bromine gas and a chlorine gas, a mixture gas of a hydrogen bromide gas and a chlorine gas.
  7. 7. A plasma processing method as claimed in claim 6, wherein the plasma generation gas further contains an oxygen containing gas, a nitrogen containing gas, and a carbon containing gas.
  8. 8. A plasma processing method as claimed in any one of claims 1 to 7, wherein a time period of the pulse modulation includes an on time and an off time, and the off time is in the range 10 to 100 microseconds.
  9. 9. A plasma processing method as claimed in any one of claims 1 to 8, wherein a time period of the pulse modulation includes an on time and an off time, and the off time is determined such that negative ions in the plasma can be substantially absorbed by the wafer.
  10. 10. A plasma processing method as claimed in any one of claims 1 to 9, wherein a time period of the pulse modulation includes an on time and an off time, and the on time is determined based on the element contained in the plasma generation gas.
  11. 11. A plasma processing method for a semiconductor substrate, including the steps of pulse-modulating a high frequency electric field having a frequency equai to or higher than 2 MHz to form a pulse-modulated plasma from a plasma generation gas in a plasma generating chamber, wherein the pulse-modulated plasma has an on time and an off time, and the plasma generation gas is an etching enhancing or enabling gas containing an element which has an electronegativity larger than chlorine and a negative ion generation cross section larger than chlorine, generating positive ions, radicals and electrons to etch the semiconductor substrate with the positive ions and the radicals during the on time, wherein reaction production of the semiconductor substrate, the positive ions and the radicals is exhausted during the on time, and generating negative ions by the use of the generated electrons during the off time, and forming a protection film from the reaction production by the use of the nevative ions on the semiconductor substrate during the off time, the reaction product being deposited on the semiconductor substrate.
  12. 12. A plasma processing method as claimed in claim 11, including the step of applying an RF bias to the semiconductor substrate.
  13. 13. A plasma processing method as claimed in claim 12, wherein the frequency of the RF bias is equai to or lower than 5 MHz.
  14. 14. A plasma processing method as claimed in claim 12 or claim 13 wherein the step of applying an RF bias to the semiconductor substrate includes intermittently applying the RF bias to the semiconductor substrate.
  15. 15. A plasma processing method as claimed in any one of claims 11 to 14, wherein the plasma generation gas is a gas containing bromine.
  16. 16. A plasma processing method as claimed in claim 15, wherein the plasma generation gas is a gas selected from a group consisting of a bromine gas, a hydrogen bromide gas, and a mixture gas of a bromine gas and a chlorine gas, and a mixture gas of a hydrogen bromide gas and a chlorine gas.
  17. 17. A plasma processing method as claimed in claim 16, wherein the plasma generation gas further contains at least one of an oxygen containing gas, a nitrogen containing gas, and a carbon containing gas.
  18. 18. A plasma processing method as claimed in any of claims 11 to 17, wherein a time period of the pulse modulation includes an on time and an off time, and the off time is in a range 10 to 100 microseconds.
  19. 19. A plasma processing method as claimed in either claim 1 or claim 11 including an arrangement substantially as described herein with reference to any one of the accompanying drawings.
GB0009746A 1999-04-23 2000-04-19 Plasma processing method for a semiconductor wafer Expired - Lifetime GB2349506B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP11116268A JP2000306894A (en) 1999-04-23 1999-04-23 Method of plasma treatment of wafer

Publications (3)

Publication Number Publication Date
GB0009746D0 GB0009746D0 (en) 2000-06-07
GB2349506A true GB2349506A (en) 2000-11-01
GB2349506B GB2349506B (en) 2002-04-24

Family

ID=14682888

Family Applications (1)

Application Number Title Priority Date Filing Date
GB0009746A Expired - Lifetime GB2349506B (en) 1999-04-23 2000-04-19 Plasma processing method for a semiconductor wafer

Country Status (4)

Country Link
JP (1) JP2000306894A (en)
KR (1) KR20000071789A (en)
GB (1) GB2349506B (en)
TW (1) TW454258B (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002078043A2 (en) * 2001-03-26 2002-10-03 Ebara Corporation Beam processing apparatus
EP1632994A1 (en) * 2003-05-29 2006-03-08 Tokyo Electron Limited Plasma processing apparatus and plasma processing method

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5224837B2 (en) 2008-02-01 2013-07-03 株式会社東芝 Substrate plasma processing apparatus and plasma processing method
JP5846851B2 (en) * 2011-10-24 2016-01-20 株式会社日立ハイテクノロジーズ Plasma processing method
US9269587B2 (en) 2013-09-06 2016-02-23 Applied Materials, Inc. Methods for etching materials using synchronized RF pulses
JP6019203B2 (en) * 2015-11-20 2016-11-02 株式会社日立ハイテクノロジーズ Plasma processing equipment
CN113387370B (en) * 2020-03-11 2023-01-03 中国科学院深圳先进技术研究院 Method for adjusting crystal morphology and structure of zeolite molecular sieve by using low-temperature plasma technology

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05339760A (en) * 1992-06-10 1993-12-21 Sakae Denshi Kogyo Kk Ecr plasma etching method
US6080681A (en) * 1998-01-21 2000-06-27 Yamaha Corporation Method of forming wiring pattern

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1079372A (en) * 1996-09-03 1998-03-24 Matsushita Electric Ind Co Ltd Plasma treating method and plasma treating equipment
JPH10312899A (en) * 1997-05-15 1998-11-24 Matsushita Electric Ind Co Ltd Plasma processing method and plasma processing device

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05339760A (en) * 1992-06-10 1993-12-21 Sakae Denshi Kogyo Kk Ecr plasma etching method
US6080681A (en) * 1998-01-21 2000-06-27 Yamaha Corporation Method of forming wiring pattern

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
4th Int. symp. Plasma Process Induced Damage, Monteray, CA, USA, 9-11/5/99 "Plasma etching" H Ohtake *
Jap. Jour. App. Phys., Pt 1, Dec 1993 "Time modulated ECR plasma discharge" S Samukawa *

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002078043A2 (en) * 2001-03-26 2002-10-03 Ebara Corporation Beam processing apparatus
WO2002078043A3 (en) * 2001-03-26 2003-12-04 Ebara Corp Beam processing apparatus
US6849857B2 (en) 2001-03-26 2005-02-01 Ebara Corporation Beam processing apparatus
EP1632994A1 (en) * 2003-05-29 2006-03-08 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
EP1632994A4 (en) * 2003-05-29 2007-06-13 Tokyo Electron Ltd Plasma processing apparatus and plasma processing method

Also Published As

Publication number Publication date
JP2000306894A (en) 2000-11-02
GB2349506B (en) 2002-04-24
GB0009746D0 (en) 2000-06-07
KR20000071789A (en) 2000-11-25
TW454258B (en) 2001-09-11

Similar Documents

Publication Publication Date Title
Ahn et al. Negative ion measurements and etching in a pulsed-power inductively coupled plasma in chlorine
Economou Pulsed plasma etching for semiconductor manufacturing
JP3623256B2 (en) Surface treatment method and surface treatment apparatus
US5662819A (en) Plasma processing method with controlled ion/radical ratio
US5779926A (en) Plasma process for etching multicomponent alloys
US5310454A (en) Dry etching method
JP4073204B2 (en) Etching method
Zarowin Relation between the RF discharge parameters and plasma etch rates, selectivity, and anisotropy
JP2603217B2 (en) Surface treatment method and surface treatment device
US7314574B2 (en) Etching method and apparatus
US6677244B2 (en) Specimen surface processing method
US6177147B1 (en) Process and apparatus for treating a substrate
US7736914B2 (en) Plasma control using dual cathode frequency mixing and controlling the level of polymer formation
JPH09129621A (en) Pulse corrugated bias electric power
JP2000294540A (en) Manufacture of semiconductor device and apparatus for manufacturing
JPH06151385A (en) Method for plasma-etching of siox material and method for generation of interlayer metal connection part at inside of integrated circuit
JP3559429B2 (en) Plasma processing method
GB2349506A (en) Pulse modulation plasma etching
Reyes-Betanzo et al. Silicon nitride etching in high-and low-density plasmas using SF 6/O 2/N 2 mixtures
JPH11219938A (en) Plasma etching method
JP2000091321A (en) Method and device for surface treatment
Samukawa Development of high-density plasma reactor for high-performance processing and future prospects
Samukawa et al. Effects of discharge frequency in plasma etching and ultrahigh-frequency plasma source for high-performance etching for ultralarge-scale integrated circuits
Ohtake et al. Charging-damage-free and precise dielectric etching in pulsed C 2 F 4/CF 3 I plasma
Samukawa Degree of Cl 2 dissociation and etching characteristics in high-density plasmas