GB2235444A - A method of producing insulating layers - Google Patents

A method of producing insulating layers Download PDF

Info

Publication number
GB2235444A
GB2235444A GB9008943A GB9008943A GB2235444A GB 2235444 A GB2235444 A GB 2235444A GB 9008943 A GB9008943 A GB 9008943A GB 9008943 A GB9008943 A GB 9008943A GB 2235444 A GB2235444 A GB 2235444A
Authority
GB
United Kingdom
Prior art keywords
sog
layer
plasma
film
oxide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
GB9008943A
Other versions
GB9008943D0 (en
GB2235444B (en
Inventor
Luc M Ouellet
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Microsemi Semiconductor ULC
Original Assignee
Mitel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Mitel Corp filed Critical Mitel Corp
Publication of GB9008943D0 publication Critical patent/GB9008943D0/en
Publication of GB2235444A publication Critical patent/GB2235444A/en
Application granted granted Critical
Publication of GB2235444B publication Critical patent/GB2235444B/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/29Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the material, e.g. carbon
    • H01L23/291Oxides or nitrides or carbides, e.g. ceramics, glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02183Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing tantalum, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Comprises spinning a film of spin-on-glass (SOG) over a semiconductor substrate, precuring the film of SOG at an elevated temperature sufficient to remove the bulk of solvent and curing the film of SOG in a plasma in a plasma reactor of a type exhibiting a self-biased RF discharge adjacent to the SOG for a period of time sufficient to exclude the bulk of SiOH, organic volatiles and H2O from the layer.

Description

01 02 03 04 05 06 07 08 09 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26
27 28 29 30 31 32 33 34 35 36 37 38 This invention relates to a method of producing layers on a substrate and to a product thereof. Passivating or dielectric layers formed of spin-on-glass that c-ire useful in semiconductor integrated circuits, liquid crystal, electrochrcmic or electroluminescent displays, as anti-reflective coatings, and corrosion or chemical protective coatings, are examples of layers that may be produced by a method in accordance with the invention. The invention also relates, among other things, to products incorpor ating one or more of such layers.
Spin-on glasses (SOG) have been described as being able to be used for the purpose of planarizing integrated circuits. Because of their inherent filling and planarizing properties, their use is particularly attractive as the size of semiconductor integrated circuits decreases, and when multiple level metallization is needed.
Unfortunately, cured SOG has been.found to be unstable in moist air and water, tending to absorb water and form silanol groups, SiOH.
SOG and its method of curing have been described in the article by A. Schiltz entitled ADVANTAGES OF USING SPIN-ON-GLASS LAYER IN INTERCONNECTION DIELECTRIC PLANARIZATION, published in "Microelectronic Engineering" 5 (1986) pp. 413-421 by Elsevier Science Publishers BV (North Holland), and the article 02 pLASMA-CONVERTED SPIN-ON-GLASS FOR PLANARIZATION by A.D. Butherus et al, September/October 1985, J. Vac. Sci. Technol. B3f5) pp. 1352-1356.
In the article COMPARISON OF PROPERTIES OF DIELECTRIC FILMS DEPOSITED BY VARIOUS METHODS by W.A. Pliskin, J. Vac. Sci. Technol. Vol. 14 No. 5, Sept./Oct. 19771 pp. 1065-10al, the SiOH and H20 content in various dielectric thin films is described. In the article EVALUATIONS OF PLASMA SILICON-OXIDE FILM (P-SiO) BY INFRARED ABSORPTION by A. Takamatsu et al,. 7. Electro. Chem. Soc.: Solid-State Science & Technology, Feb. 1986, pp.
01 02 03 04 05 06 07 08 09 10 11 12 13 14 is 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 443-445, the relationship between the presence of SiOH and failure of semiconductor devices are described.
It is clear that the known process for producing SOG produces SiOHI organic volatiles, and H20 including solvents, alcohols, large organometallic molecules and large organic molecules, which is associated with corrosion of metallization lines in contact with SOG, outgassing during metallization resulting in via-poisoning, poor adhesion due to outgassing and other H20Y organic volatiles, and SiOH effects, cracking, peeling and flaking of films deposited over SOG due to outgassing related pressure buildup, low breakdown voltage of dielectric combinations with SOG, lossy dielectric combinations with SOG, low density dielectrics due to the presence of H20 and SiOH in SOG, reduced meantime between failure in accelerated life test stressing due to the presence of H20P organic volatiles, and SiOH, the requirement for SOG to be subjected to an etch back process, and the result that SOG cannot be used as the constituent of a required high quality dielectric.
After SOG was deposited in order to plaparize the surface-of a semiconductor, an etch back technique was required to be used in multilevel planarization to remove all of the SOG over the lines of a first level of metallization so as to leave only a minimum amount of SOG in the recesses. This resulted in poor process flexibility, poor process compatibility, the requirement to use expensive etch back equipment, and the necessity of tight specifications for depositions and etch back.
Contact with water was prohibited. Contact with moist air was required to be minimized by using in-situ curing and/or long outgassing cycles after air contact. This has been found to be not really adequate to rid the layer of SiOHF organic volatiles, and H20 since some remains. even after very 11 1 01 02 03 04 05, 06 07 08 09 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 - 3 long outgassing cycles.
The remanent SiOH, organic volatiles, and H20 produced via poisoning. This limited the technology to large metal via spacings, and thus the use of SOG adjacent vias was not really practical for small geometry. Very long back sputter and outgassing steps were needed to outgas the absorbed water.
Indeed, for applications where device reliability is particularly important, such as for military applications, the use of SOG technology for semiconductor integrated circuit applications has been prohibited.
In order to avoid contact of SOG and metal at places other than the vias for multilevel metallization, the SOG layer was required to be put into a sandwich between two dielectric layers to form a completed dielectric combination of a desired thickness.
The quantity of SOG used was required to be minimized, and the aspect ratios was adjusted to obtain everywhere a good combination of dielectric and SOG.
SOG was normally cured thermally in nitrogen, argon, oxygen, water or forming gas at temperatures of between 3000C and 450C for durations between 30 minutes and 2 hours. Wafer storage, loading and unloadinq for process was required to be done in a dry ambient environment.
In the article of Schiltz and Butherus, attempts were described to cure organic SOG by means of an oxygen plasma in a barrel reactor. Unfortunately, as may be seen in the' infrared obsorption spectrum in Figure 3 of Butherus, and as described on page 1354, the five last lines of the left-hand column, and as shown in Figure 6 of Schiltz, significant amounts of SiOH and H20 are obtained using 01 02 03 04 05 06 07 08 09 10 11 12 13 14 is 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 that process as a result of the oxidation of the methyl - CH3 bonds by the active oxygen.. atoms/molecules. In addition, densification of the SOG occurs due to the production of volatile carbon oxide compoundst which were to be evaporated. However water was also formed as a byproduct, which was found to be retained in the SOG.
In one embodiment of the present invention, an SOG film is produced which is substantially SiOH, organic volatiles and H20 free after the treatment. The film has been found to be very stable in moist air and/or water after the treatmen- Thus subsequent processing is simplified.
Because of the stability and no apparent formation of SiOH, organic volatiles and H20 onc the films have been plasma curedf a dry photoresist strip in 02 plasma is feasible. Via poisoning by SiOHI organic volatiles and H20 is eliminated where the_SOG formed in accordance with the present invention contacts vias.
SOG films formed by the process of this invention can be stored in moist air for reasonably long periods of time without any appreciable effect on the film after treatment. This is in contrast with tight control required for SOG formed in accordance with the prior art.
It has been found that SOG cured in a plasma which causes an electric field in the SOG filmr which can be caused by a DC self-bias in the RF discharge which develops near the surface of the wafer to be treated, will contain substantially no SiOHy organic volatiles and H20, and indeed becomes cured throughout -the SOG layer. Further# SOG layers exposed to H20 prior to curing in this manner# and thus which have absorbed some H20, have been found to be substantially devoid of H20 following a subsequent cure using the process of the present invention. The electrical 01 02 03 04 05 06 07 08 09 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 effects which caus6 an electric field within the SOG are of prime importance in the SOG curing and passivation treatment.
It has been found that the barrel plasma reactor used in the prior art does not cause the sufficient required electric field within the SOG being treated. In contrast, a parallel plate reactor does cause the required field to be produced (assuming that the plasma is most positive and the substrate carrying the SOG is in electrical contact with the electrode, as is usual in plasma treatment).
Indeed, the electric field can be enhanced by applying an external AC or DC polarization field to the SOG (including the substrate) to increase the internal electric field thereof.
It has been found that the nature of the gas used is not essential to the invention, and many gases could be used with good results. While an oxygen plasma gas could be used, it is not the preferred gas, for reasons to be described with reference to the given examples.
Large satisfactory SOG thicknesses have been obtained without cracking or adhesion loss, permitting the cured SOG to be used as a dielectric layer itself (i.e. in contact with a semiconductor surface and/or an overlying metal layer), and not solely as a planarizing medium. The dielectric properties of the SOG treated in accordance with the present invention are better than SOG layers described in the prior art, because of water, organic volatiles and SiOH reduction. In addition corrosion of metal lines and other films in contact with the SOG formed in accordance with the present invention because of the water, organic volatiles and SiOH.is substantially reduced or eliminated.
Via poisoning is reduced because of water,, organic volatiles and SiOH reductiont and the adhesion 01 02 03 04 05 06 07 08 09 10 11 12 13 14 is 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 3738 - 6 of films over SOG is improved due to improved outgassing behaviour due to the water# organic volatiles and SiOH reduction or elimination. Film cracking over SOG produced in accordance with the present invention is minimized or eliminated because of improved outgassing behaviour due to the water. organic volatiles and SiOH reduction. Devige reliability is also improved for the same reason.
The plasma treatment described herein is effective for various types of SOG# such as siloxanes.. silicatest doped silicates and other spin-on materials.
A particular anbodiment of the present invention emplcys a method for'producing insulating layers over a substrate comprising spinning a filrR of spin-on glass (SOG) over a.silicon wafer,, precuring the film Of SOG at an elevated temperature sufficient to remove the bulk of the solvent of the SOG, and curing the.film of SOG in a plasma in a reactor of a type exhibiting-a self-biased RF discharge adjacent the SOG for a period of time sufficient to exclude the bulk of SiOH# organic volatiles and H20 from the layer.
The reactor should be of the type which creates an electric field in the SOG during operation thereof.
A reactor which has been found to produce the above effects is a parallel plate plasma reactor, such as type AM-33001 manufactured by Applied Materials Inc.
In another embodiment of the invention a method for producing insulating layers over a substrate comprises spinning a film of spin-on-glass SOG over the substrater precuring the film of SOG at an elevated temperature sufficient to remove the bulk of solventr repeating the steps of spinning and precuring to form an SOG film having a predetermined total film thickness and curing the layer of SOG in a 01 02 03 04 05 06 07 08 09 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 - 7 plasma in a plasma reactor of a type which creates an electric field in the SOG during operation thereof for a period of time s.ufficient to exclude the bulk of SiOH, organic volatiles and H20 from the layer.
Still another embodiment of the invention employs a method of producing an integrated circuit, which includes the steps of spinning a film of spin-on-glass (SOG) over a surface of a wafer to be planarized, precuring the film of SOG at an elevated temperature sufficient to remove the bulk of solvent. curing the film of SOG in a plasma in a plasma reactor of a type which creates an electric field in the SOG during operation thereof for a period of time sufficient to exclude the bulk of SiOH, organic volatiles and H20 from the layer, applying a layer of and defining. photoresist on the surface of the SOG, etching or otherwise treating the integrated circuit through the defined photoresist. dry stripping the photoresist in an 02 plasma# and applying a layerof metal conductor to the surface of the SOG over which the photoresist was stripped.
In yet another embodiment of the invention a method of producing an integrated circuit, includes the steps of spinning a film of spin-onglass (SOG) directly over a surface of conductive material to be insulated, precuring the film of SOG at an elevated temperature sufficient to exclude the bulk of solvent. curing the film of SOG in a plasma in a plasma reactor of a type which creates an electric field in the SOG.!.,ring operation thereof for a period of time sufficient to exclude the bulk of SiOH# organic volatiles and H20 from the layer and applying a conductive layer directly to the surface of the cured SOG layer. The conductive layer applied can be a metal conductori and the further process steps can be utilized which are applying photoresist to the surface of the metal conductori defining the photoresist by exposing it to light through a mask. washing away the 01 02 03 04 05 06 07 08 -09 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 a 32 33 34 35 36 37 38 - 8 photoresistover undesired regiops,, etching exposed metal conductor, removing the remaining photoresist, c1paning the surface of the circuit, and applying an insulat.ing layer overtop of the circuit in direct contact with the SOG layer.
In a still further embodiment of--the invention a method of producing an integrated circuit includes the steps of spinning a film of spin-on-glass (SOG) directly over a surface of lower conductor material to be insulatedl precuring the film of SOG at an elevated temperature sufficient to remove the bulk of the solvent, curing the film of SOG at between 200C and 400C in a plasma in a plasma reactor of a type which creates an electric field in the SOG during operation thereof for a period of time sufficient to exclude the bulk of SiOH, organic volatiles and H20 from the layer, applying a layer of photoresist, to the surface of the cured SOG layer, defining the photoresist by exposing its surface to light through a mask, washing away the photoresist over regions for locating conductors, depositing a layer of upper conductor material over the photoresist and exposed SOG layer, removing the remaining photoresist and overlying metal layer, whereupon the conductors are formed, whereby the cured SOG layer forms a dielectric between lower conductor material and the upper conductor material. Then the further step can be undertaken of cleaning the surface, and depositing an insulating layer adherent to and over the exposed SOG surface and the conductors.
In yet a further embodiment of the invention a semiconductor integrated circuit has a layer of spin-on glass thereover which is substantially devoid of SiOHr organic volatiles and H20 and a metal conductive layer for the circuit in direct contact with the SOG layer. In further embodiments the layer of spin-on glass is a passivation filmr a 01 02 03 04 05 06 07 08 09 10 11 12 13 14 is 16 17 18 19 20 21 22 23 24 25 26 27 28 29 31 32 33 34 3.5 36 37 38 9 planarization film, or a buffer film.
Yet a further. embodiment of the invention is a liquid crystal. electrochromic or electroluminescent display having a front surface protectively covered by a layer of spin-on glass which is substantially devoid of SiONy organic volatiles and H20. The cured SOG layer protects the display from contamination by dissolution of alkali metal.
In yet a further embodimentj, the plasma cured SOG devoid of SiOHp organic volatiles and H20 is an antireflective coating on a transparent medium. Another embodiment of the invention is a corrosion or chemical protective coating for an object comprised of-the plasma cured spin-on- glass layer devoid of SiOH, organic volatiles and B20. Example 1 P-5 phosphorus doped silicate SOG purchased from Allied Chemical Corp. was coated using multiple coats to obtain a total thickness of about 500 nanometers on silicon semiconductor wafers. The SOG film was precured at 125'T for 60 seconds in moist air at 40% relative humidityr and then at 2000C for 60 seconds in moist air at 400 relative humidity on a hotplate, to remove the bulk of the solvent containing the SOG from the films. between each coat.
After the testing of the final coatp and its proper precure the wafers were cured at 400C in an oxygen plasma in the AM-3300 parallel plate plasma reactor for 60 minutes at 0.25 Torr. operated at 650 watts and 115 kHz. - The parallel plate plasma reactor generated an electric field adjacent to and within the SOG. After treatment the wafers were placed in contact with moist ambient airt and infrared spectra were recorded.
It was determined that the water content as well as the organics and SiOH content was 01 02 03 04 05 06 07 08 09 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 - 10 substantially reduced, and was substantially smaller than a control set of nitrogen thermally treated films at 4000C to 4500C and not subjected to plasma treatment.
In the case of this oxygen plasma treatment, in place of SiOH bonds, SiH bonds were detected. (This SiH bond formation is not seen in case of nonoxidating plasma treatments).
It was also found that the treatment resulted in a thickness shrink of the films by about 15% (as was reported by Butherus and Schiltz) but in contrast to Butherus and Schiltzo the starting spin-on-glass, P-5, was of inorganic type and oxydation of the methyl bonds Si-CH3 could not the shrink.
It was concluded that the plasma treatment in accordance with the present invention was very effective for the tight boning, the removal-of SiOH, organic volatiles and H20r in contrast to the process described by Butherus and Schiltz, which shows substantial remanence of SiOH and H20.
The treated films were put into contact with deionized water for a period of one hour after plasma treatment. The oxygen plasma treated SOG yielded SOG films which were much more stable than the control nitrogen thermally cured films. which process could not passivate the SOG films against water. However it was found that in the oxygen plasma treated film some of the SiH bonds were consumed to yield some SiOH and H20. Therefore the oxygen plasma treatment, which provided films containing little or no SiOH and H20 was observed not to provide a stable passivation against water, and thus is not a preferred plasma gas. Example 2 Silicon wafers were coated with 600 to 675 nanometers thickness of 106 methyl siloxane SOG (organic SOG) purchased from Allied Chemical Corp.
1 01 - 11 - 02 The wafers were precured at 1250C for 60 seconds in 03 moist air and 40% relative humidity and then at 2000C 04 for 60 seconds in moist air at 40% relative.humidity % on a hotplate? in order to remove the bulk of solvents 06 carrying the SOG.
07 The wafers were cured at 4000C in a 08 nitrogen plasma in a parallel plate reactor, which 09 caused a self-biasing effect by producing a field adjacent the SOG in the RF discharge and thus an 11 electric field within the SOG, for 60 minutes at 0.25
12 Torr operating at 650 watts and 115 kHz.
13 It was found that the water content in the 14 SOG was nil. Carbon in the form of Si-CH3 was detected. It was also determined that the nitrogen 16 plasma treated films were just slightly denser than 17 thermally cured control wafers.
18 The films on the wafers were placed into 19 contact with boiling deionized water for 1 hour.
Another infrared spectrum was taken.
21 Absolutely no water was detected.
22 Absolutely no SiOH was detected. Undesirable SiH 23 bonds were not produced. although they were produced 24 in the oxygen plasma treatment. The film appeared to be literally uneffected by the 1 hour contact with 26 boiling deionized water (which is nearly the effective 27 equivalent of 5 days contact at 40% relative humidity 28 at 21C).
29 The plasma cure in a nitrogen plasma appeared to be virtually ideal.
31 Example 3
32 Very thick (>1.2 micron in thickness) 106 33 methyl siloxane SOG obtained from Allied Chemical 34 Corp. was coated using multiple coats as a film on silicon wafers. Such thickness is more than 36 sufficient for intermetal dielectric.
37 The films were precured at 1250C for 60 38 seconds in moist air at 40% relative humidity and then 01 02 03 04 05 06 07 08 09 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 at 2000C for 60 seconds in moist air at 40% relative humidity, on a hotplate. The precured film on the substrate was put into contact with boiling deionized water for 60 minutes to increase its content of water.
The film was then cured in a nitrogen plasma in a parallel plate plasma reactor as described in the previous examples, but for only 30 minutes at 4000C, operating at 650 watts and 115 kHz.
It was found that water absorbed by contact with deionized water after the precure step and prior to the plasma cure step was reversed during the plasma cure. The water did not appear after cure.
The nitrogen plasma cure passivted the SOG film and following the plasma cure and resulting passivation, almost no additional water absorption occurred after subsequent contact with moist air and/or boiling water. This is in contrast with the reported results on SOG thermal cures.
Photoresist dry strip was shown to have almost no effect on SOG film. This is contrast with reported results on thermal cures of this organic SOG.
It was determined that very thick SOG films can be coated without cracking and peeling during curing and contrast with reported results On SOG thermal cures. The N2 cure resulted in no water content in the SOG film.
Essential steps of the last example thus can be used in a non-etch back, highly flexibler high quality SOG technology, in which the SOG could be used as a dielectric by itself, in contact with a semiconductor surface, in contact with vias or other metal conductors, as an insulator between two metal layers, without poisoning effect, and with good adherence to its underlying and overlying layers. of course it can also be used in combination with another dielectric. The etch back and sandwich techniques required in order to use SOG in accordance with the 01 02 03 04 05 06 07 08 09 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 - 13 prior art need not be used to produce products when the steps of the present invention are used. Accordingly the present invention includes structures which contain an SOG layer which is devoid of SiOH, organic volatiles and H20r used as a dielectric, insulator, etc.
It should be noted that the SOG film may be applied in many coats to improve planarization. In this case, a first coat is spun on the substrate, which should be precured; a second coat is spun on overtop of the underlying precured coat, which is precured; a third coat is spun on overtop of the underlying precured coat, which is precured; etc, after which the entire precured multicoated layer is cured in the plasma as described earlier.
The types of film that can be plasma cured are not restricted to silicon oxide types of SOGs. For example, types of spin-on coatings based on spinon boron oxide, phosphorus oxide, arsenic oxide, aluminum oxide, zinc oxide, gold oxide, platinum oxide, antimony oxide, indium oxide, tantalum oxide, cesium oxide, iron oxide, or any combination thereof can be cured using the present invention.
in addition, spin-on coating types of materials formed of nitrides and oxinitrides of boron, phosphorus, arsenic, aluminum, zinc, gold, platinum, antimony, indium, tantalum, cesium and iron could be similarly cured and used.
The spin-on-glass can be either silicates undoped or doped with any of known, phosphorus, arsenic, aluminumf zinc, gold, platinum, antimony, indium, tantalum, cesium and iron or methyl siloxanes undoped or doped with the above elements, ethyl siloxanes undoped or doped with the above elements, butyl siloxanes undoped or doped with the above elements, phenyl siloxanes undoped or doped with the above elements, or combinations of any of the 1 01 02 03 04 05 06 07 08 09 10 11 12 13 14 is 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 14 above-noted siloxanes.
Films plasma cured in accordance with this invention need not be restricted to interlayer dielectrics. Some applications and structures thereof are as a diffusion source for doping of substrates, as a passivation filmr as a planarization film, as a buffer film, as a preventive film for dissolution of alkali metals (e.g. for displays such as liquid crystal, electrochromic or electroluminescent compounds), as an antireflective coating and other substances used for selective photon absorption, increased chemical resistance, friction reduction, corrosion protection, increased adhesion, etc.
For various applications, optimization of the process can include varying, the distance between the plasma glow and the film to be treated, the application of an external polarization field (which can be either DC or AC) to the substrate or substrate holder to enhance the process by increasing the internal electric fields in the SOG film, variation of pressure, power, frequency, gas, gas mixture, mass flow, film temperature and time of treatment, etc.
The films produced by the process described herein can be used on or as part of integrated circuits, emission diode devices, liquid crystal, electrochromic and electroluminescent displays, photodetectors, solar batteries, etc. It can be applied to optical filterst antireflectors, as a passivation film on objects to be protected, as a corrosion protection layer, as an adhesion promoter, as a friction reducer, in mechanical field applications, etc.

Claims (42)

- CLAIMS
1. A method of producing insulating layers over a semiconductor substrate comprisings (a) spinning a film of spin-on-glass (SOG) over a semiconductor substrate, (b) precuring the film of SOO at an. elevated temperature sufficient to remove the bulk cA solvent, (c) curing the film of SOO in a plasma in a plapma reactor of a type exhibiting a self-biased RF discharge adjacent the SOO for a period of time sufficient to exclude the bulk of SiOH, organic volatiles and H20 from the layer.
2. A method as defined in claim 1 in which the reactor is a parallel plate plasma r6actor.
3. A method as defined in claim 1 in which the plasma is a non-oxydising plasma.
4. A method as defined In claim 1 In which the plasma Is a nitrogen plasma.
5. A method as defined in claim 4 including using an RF field in the plasma at about 115 kHz, a power density of about.2 watt/cm2, a pressure of about.25 Torro a mass flow rate of 750 SCC M# a current density of about.4 ma/cm2 through the cathode of the reactor, and'a curing period of between about 30 to 60 minutes whereby the substrate reaches a tempetature of about 400C.
6. A method as defined In claim 2 including the step of applying.an external 16 - polarization field to the substrate to increase the internal electrical field in the SOG.
7. A method as defined in claim 2 or 6 in which the SOG is of a type selected from the group consisting of silicon dioxide, boron oxide, phosphorus oxide, arsenic oxide# aluminum oxide, zinc oxide, gold oxide, platinum oxide, antimony oxide, indium oxide, tantalum oxide, cesium oxide and iron oxide, or any combination thereof.
8. A method as defined in claim 2 or 6 in which the SOG is of a type selected from the group consisting of the oxides, nitrides or oxynitrides of boron, phosphorus, arsenic, aluminum? zinc, gold, platinum, antimony, indium, tantalum, cesium, and iron or any combination thereof.
9. A method as defined in claim 2 or 6 in which the SOG is a silico,oxide type obtained from one of an organic SOG solution and an inorganic (siloxane) SOG solution.
10. A method for producing insulating layers over a substrate comprising:
(a) spinning a film of spin-on-glass SOG over the substrate, (b) precuring the film of SOG at an elevated temperature sufficient to remove the bulk of solvent, (c) repeating steps (a) and (b) to form an SOG film having a predetermined total film thickness, (d) curing the layer of SOG in a plasma in a plasma reactor of a type which creates an electric field in the SOG during operation thereof for a period of time sufficient to exclude the bulk of SiOH, organic volatiles and H20 from the layer.
Z 17 -
11. A method as defined in claim 10including the step of applying an external polarization field to the SOG and the substrate to increase internal electrical fields thereof.
12. A method as defined in claim 10 in which the reactor is a parallel plate plasma reactor.
13. A method as defined in claim 12 including the step of allowing contact of the surface of the SOG film with moisture or water prior to curing.
1
14. A method as defined in claims 2, 3 or 10 in which the SOG is selected from the group consisting of a deped or undoped silicate, and a doped or undoped methyl, ethyl, butyl and phenyl siloxane, the dopant being selected from the group consisting of born, phosphorus, arsenic, aluminum, zinc, gold, platinum, antimony, indium, tantalum, cesium and iron.
15. A method as defined in claim 2, 5 or 10 in which the SOG is a silicate or siloxane material doped with phosphorus.
16. In a method of producing an integrated circuity the steps of (a) spinning a film of spin-on-glass (SOG) over a surface of a wafer to be planarized, (b) precuring the film of SOG at an elevated temperature sufficient to remove the bulk of solvent.
(c) curing the film of SOG at between 200C and 4000C in a plasma in a plasma reactor of a type which creates an electric field in the SOG during operation thereof for a period of time sufficient to exclude the bulk of SiOH# organic volatiles and H20 18 - from the layer.
(d) applying a conductive layer to the surface of the integrated circuit such that it makeg direct contact with the cured layer of SOG.
17. A method as defined in claim 14 in which the reactor is a parallel plate plasma reactor.
1
18. -A method as defined in claim 17 in which the SOG is of a type selected from the group consisting of silicon dioxide, boron oxide, phosphorus oxide, arsenic oxide, aluminum oxide, zinc oxide, gold oxide, platinum oxide, antimony oxide,) indium oxide, tantalum oxide, cesium oxide and iron oxide, or any combination thereof.
19. A method as defined in claim 17 in which the SOG is of a type selected from the group consisting of the oxides, nitrides or oxynitrides of boron, phosphorus, arsenic, aluminum, zinc, gold, platinum, antimony, indium, tantalum, cesium, and iron, or any combination thereof.
20. In a method of producing an integrated circuit, the steps of (a) spinning a film of spin-on-glass (SOG) over a surface of a wafer to be planarized, (b) precuring the film of SOG at an elevated temperature sufficient to remove the bulk of solvent.
(c) curing the film of SOG in a plasma in " a plasma reactor of a type which creates an electric field in the SOG during operation thereof for a period of time sufficient to exclude the bulk of SiOH, organic volatiles and H20 from the layer.
(d) applying a layer of and defining photoresist on the surface of the SOG, 1 19 - (e) etching or otherwise treating the integrated circuit through the defined photoresist, (f) dry stripping the photoresist in an 02 plasma. and (g) applying a layer of metal conductor to the surface of the SOG over which the photoresist was stripped.
21. In a method as defined in claim,20, the additional step, following the curing step and prior to applying a layer of photoresist, of depositing a layer of dielectric over the cured film of SOG.
22. In a method of producing an integrated circuit, the steps of (a) spinning a film of spin-on-glass (SOG) directly over a surface of conductive material to be insulated, (b) precuring the film of SOG at an elevated temperature sufficient to exclude the bulk of solvent.
(c) curing the film of SOG in a plasma in a plasma reactor of a type which creates an electric field in the SOG during operation thereof for a period of time sufficient to exclude the bulk of SiOH, organic voltiles and H20 from the layerf (d) applying a conductive layer directly to the surface of the cured SOG layer.
23. A method as defined in claim 22 in which the reactor is a parallel plate plasma reactor.
24. A method as defined in claim 23 in which the SOG is a silicon oxide type.
25. A method as defined in claim 22, 23, or 24, in which the conductive layer applied in step (d) is a metal conductor including the further steps of applying photoresist to the surface of the metal conductor, defining the photoresist by exposing it to light through a mask, washing away the photoresist over undesired regions, etching exposed metal conductor, removing the remaining photoresist, cleaning the surface of the circuit, and applying an insulating layer overtop of the circuit in direct contact with the SOG layer.
26. In a method of producing an integrated circuit, the steps of (a) spinning a film of spin-on-glass (SOG) directly over a surface of lower conductor,material to be insulated, (b) precuring the film of SOG at an elevated temperature sufficient to remove the bulk of the solvent, (c) curing the film of SOG at between 2000C and 4000C in a plasma in a plasma reactor of a type which creates an electric field in the SOG during operation thereof for a period of time sufficient to exclude the bulk of SiOH# organic volatiles and R20 from the layer.
(d) applying a layer of photoresist to the surface of the cured SOG layer# (e) defining the photoresist by exposing its surface to light through a mask, washing away the photoresist over regions for locating conductors, (f) depositing a layer of upper conductor material over the photoresist and exposed SOG layer, (g) removing the remaining photoresist and overlying metal layer. whereupon said conductors are formed.
whereby the cured SOG layer forms a dielectric between the lower conductor material and h, r -; 21 - the upper conductor material.
27. A method as defined in claim 26, including the further step of cleaning said surface, then depositing an insulating layer adherent to and over the exposed SOG surface and the conductors.
28. A semiconductor integrated circuit having a layer of plasma cured spin-on-glass thereon which is substantially devoid of SiOHI organic volatiles and H20 and a metal conductive layers for the circuit in direct contact with the SOG layer.
29. An integrated circuit as defined in ' claim 28 formed in part by the method of one of claims 22 - 27.
0
30. An integrated circuit having a layer of plasma cured spin-on-glass which is substantially devoid of SiOHp organic volatiles and H20 as a passivation film.
31. An integrated circuit having a layer of plasma cured spin-on-glass which is substantially devoid of SiOHr organic volatiles and H20 as a planarization film.
32. An integrated circuit having a layer of plasma cured spin-on-glass which is substantially devoid of SiOHi organic volatiles and H20 as a buffer film.
33. A liquid crystaly electrochromic or electroluminescent crystal display having a front surface protectively covered by a layer of plasma cured spin-on-glass which is substantially devoid of SiOH, organic volatiles and H20.
22 -
34. An antireflective coating on'a transparent medium having a front surface protectively_ covered by a layer of plasma cured spin-on-glass which is substantially devoid of SiOHi organic volatiles and H20.
35. A corrosion or chemical protective coating for an object having a front surface protectively covered by a layer of plasma cured spin-onglass which is substantially devoid of SiOH, organic volatiles and H20.
36. An integrated circuit having a layer of spin-on-glass has been formed using the process of one of claims 1, 71 8j, 9F 22 and 23.
37. A liquid crystalp electrochromic-or electroluminescent display having a front surface protectively covered by a layer of plasma cured spin-onglass which has been formed using the process of one of claims 10-13.
38. A coating on an object formed of spin-on-glass which has been formed using the process of one of claims 10-13.
39. A method as defined in claim 17 in which the gas used in the plasma reactor is a non-oxidizing gas.
40. A method as defined in claim 17 in which the gas used is the plasma reactor is nitrogen.
41. An integrated circuit as defined in claim 28 in which the SOG layer is at least 0.5 microns in thickness.
r i.
42. A method of producing a layer on a substrate substantially as described herein with reference to any one of examples 1 to 3.
Publ shed 1991 at The Patent Office. State House, 66/71 High Holborn. LA)ndonWCIR4TP. Further copies maybe obtained from 11 Sales Branch. Unit 6. Nine Mile Point Cwmiefinfach. Cross Keys. Newport, NPI 7HZ. Printed by Multiplex techniques ltd. St Mary Cray. Rent.
GB9008943A 1989-05-31 1990-04-20 A method of producing layers on a substrate and a product thereof Expired - Lifetime GB2235444B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CA000601333A CA1339817C (en) 1989-05-31 1989-05-31 Curing and passivation of spin-on-glasses by a plasma process, and product produced thereby

Publications (3)

Publication Number Publication Date
GB9008943D0 GB9008943D0 (en) 1990-06-20
GB2235444A true GB2235444A (en) 1991-03-06
GB2235444B GB2235444B (en) 1992-12-16

Family

ID=4140137

Family Applications (1)

Application Number Title Priority Date Filing Date
GB9008943A Expired - Lifetime GB2235444B (en) 1989-05-31 1990-04-20 A method of producing layers on a substrate and a product thereof

Country Status (5)

Country Link
JP (1) JPH0727896B2 (en)
KR (1) KR940010494B1 (en)
CA (1) CA1339817C (en)
DE (1) DE4013449C2 (en)
GB (1) GB2235444B (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2308735A (en) * 1995-12-23 1997-07-02 Hyundai Electronics Ind A method of manufacturing a semiconductor device
GB2322734A (en) * 1997-02-27 1998-09-02 Nec Corp Semiconductor device and a method of manufacturing the same
US6544858B1 (en) 1998-01-28 2003-04-08 Trikon Equipments Limited Method for treating silicon-containing polymer layers with plasma or electromagnetic radiation
EP1703328A1 (en) * 2003-12-26 2006-09-20 Nissan Chemical Industries, Ltd. Composition for forming nitride coating film for hard mask

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE4140330C1 (en) * 1991-12-06 1993-03-18 Texas Instruments Deutschland Gmbh, 8050 Freising, De
DE19522004A1 (en) * 1995-06-21 1997-01-02 Inst Mikrotechnik Mainz Gmbh Method for producing partly movable micro structure(s)
JP2008224288A (en) 2007-03-09 2008-09-25 Mitsubishi Electric Corp Magnetoresistance sensor device

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0327412A1 (en) * 1988-01-13 1989-08-09 STMicroelectronics S.A. Passivation process of a integrated circuit

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
IN147572B (en) * 1977-02-24 1980-04-19 Rca Corp
JPS5927532A (en) * 1982-08-04 1984-02-14 Toshiba Corp Fabrication of semiconductor device
JPS60254621A (en) * 1984-05-31 1985-12-16 Matsushita Electric Ind Co Ltd Thin film forming method

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0327412A1 (en) * 1988-01-13 1989-08-09 STMicroelectronics S.A. Passivation process of a integrated circuit

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
Butherus et al (1985) J.Vac. Sci.Technol.B3(5) pp.1352-1356 *

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2308735A (en) * 1995-12-23 1997-07-02 Hyundai Electronics Ind A method of manufacturing a semiconductor device
GB2322734A (en) * 1997-02-27 1998-09-02 Nec Corp Semiconductor device and a method of manufacturing the same
US6544858B1 (en) 1998-01-28 2003-04-08 Trikon Equipments Limited Method for treating silicon-containing polymer layers with plasma or electromagnetic radiation
EP1703328A1 (en) * 2003-12-26 2006-09-20 Nissan Chemical Industries, Ltd. Composition for forming nitride coating film for hard mask
EP1703328A4 (en) * 2003-12-26 2007-03-14 Nissan Chemical Ind Ltd Composition for forming nitride coating film for hard mask
US7727902B2 (en) 2003-12-26 2010-06-01 Nissan Chemical Industries, Ltd. Composition for forming nitride coating film for hard mask
TWI383263B (en) * 2003-12-26 2013-01-21 Nissan Chemical Ind Ltd Coating-type nitrided layer forming composition for hard mask

Also Published As

Publication number Publication date
GB9008943D0 (en) 1990-06-20
JPH0321023A (en) 1991-01-29
DE4013449C2 (en) 1996-04-18
DE4013449A1 (en) 1990-12-06
KR940010494B1 (en) 1994-10-24
JPH0727896B2 (en) 1995-03-29
CA1339817C (en) 1998-04-14
KR900019271A (en) 1990-12-24
GB2235444B (en) 1992-12-16

Similar Documents

Publication Publication Date Title
US5270267A (en) Curing and passivation of spin on glasses by a plasma process wherein an external polarization field is applied to the substrate
US6548899B2 (en) Method of processing films prior to chemical vapor deposition using electron beam processing
US6503840B2 (en) Process for forming metal-filled openings in low dielectric constant dielectric material while inhibiting via poisoning
US6582777B1 (en) Electron beam modification of CVD deposited low dielectric constant materials
CA2017720C (en) Sog with moisture-resistant protective capping layer
EP0826791B1 (en) Method of forming interlayer insulating film
US5866945A (en) Borderless vias with HSQ gap filled patterned metal layers
CA2056456C (en) High performance passivation for semiconductor devices
KR910010221B1 (en) Thin film forming method of high purity sio2
CA1339817C (en) Curing and passivation of spin-on-glasses by a plasma process, and product produced thereby
JPH06507272A (en) Prevention of via poisoning by glow discharge induced desorption
US6184123B1 (en) Method to prevent delamination of spin-on-glass and plasma nitride layers using ion implantation
US6429147B2 (en) Method for making an insulating film
KR0138853B1 (en) Curing method of spin-on glass by plasma process
EP0794569A2 (en) Amorphous carbon film, formation process thereof, and semiconductor device making use of the film
JPH0355401B2 (en)
JPH10209275A (en) Manufacture of semiconductor device
US6388309B1 (en) Apparatus and method for manufacturing semiconductors using low dielectric constant materials
JPH0570119A (en) Production of semiconductor device
JPH0677208A (en) Manufacture of semiconductor device
JPH08213456A (en) Semiconductor device
GB2301224A (en) Method of forming a SOG film in a semiconductor device
JPH01206631A (en) Manufacture of semiconductor device
Yanazawa et al. LOW TEMPERATURE UV CURING OF ORGANOSILICON POLYMER FOR MULTILAYER METALLIZATION DIELECTRICS IN GaAs LSI
KR20020044933A (en) A method for forming inter-layer dielectric in semiconductor device

Legal Events

Date Code Title Description
732E Amendments to the register in respect of changes of name or changes affecting rights (sect. 32/1977)
PCNP Patent ceased through non-payment of renewal fee

Effective date: 20090420