FR3135346A1 - Procédé de formation d’une couche à base d’un matériau diélectrique sur une couche à base d’un matériau III-V gravé - Google Patents

Procédé de formation d’une couche à base d’un matériau diélectrique sur une couche à base d’un matériau III-V gravé Download PDF

Info

Publication number
FR3135346A1
FR3135346A1 FR2204258A FR2204258A FR3135346A1 FR 3135346 A1 FR3135346 A1 FR 3135346A1 FR 2204258 A FR2204258 A FR 2204258A FR 2204258 A FR2204258 A FR 2204258A FR 3135346 A1 FR3135346 A1 FR 3135346A1
Authority
FR
France
Prior art keywords
layer
iii
etched
etching
carried out
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
FR2204258A
Other languages
English (en)
Inventor
Nicolas Posseme
Patricia PIMENTA BARROS
Simon Ruel
Bassem Salem
Sarah BOUBENIA
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Centre National de la Recherche Scientifique CNRS
Institut Polytechnique de Grenoble
Universite Grenoble Alpes
Commissariat a lEnergie Atomique et aux Energies Alternatives CEA
Original Assignee
Centre National de la Recherche Scientifique CNRS
Commissariat a lEnergie Atomique CEA
Institut Polytechnique de Grenoble
Universite Grenoble Alpes
Commissariat a lEnergie Atomique et aux Energies Alternatives CEA
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Centre National de la Recherche Scientifique CNRS, Commissariat a lEnergie Atomique CEA, Institut Polytechnique de Grenoble, Universite Grenoble Alpes, Commissariat a lEnergie Atomique et aux Energies Alternatives CEA filed Critical Centre National de la Recherche Scientifique CNRS
Priority to FR2204258A priority Critical patent/FR3135346A1/fr
Priority to PCT/EP2023/061856 priority patent/WO2023213971A1/fr
Publication of FR3135346A1 publication Critical patent/FR3135346A1/fr
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • H01L21/30612Etching of AIIIBV compounds
    • H01L21/30621Vapour phase etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • H01L29/2003Nitride compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/005Processes
    • H01L33/0062Processes for devices with an active region comprising only III-V compounds

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

Titre : Procédé de formation d’une couche à base d’un matériau diélectrique sur une couche à base d’un matériau III-V gravé L’invention concerne un procédé de formation d’une couche à base d’un matériau diélectrique sur une couche à base d’un matériau III-V gravé, le procédé comprenant au moins les étapes suivantes : Fournir au moins une couche à base d’un matériau III-V, de préférence III-N, dite couche III-V (100), présentant une face avant (101),Graver au moins une partie de la couche III-V (100) à partir de la face avant (101) de sorte à exposer une surface de la couche III-V dite surface gravée (103),Exposer au moins la surface gravée (103) à un traitement plasma de O2 ou de N2, cette étape étant réalisée à une température Ttraitement avec Ttraitement<100°C, Déposer une couche à base d’un matériau diélectrique, dite couche diélectrique (200), au moins sur la surface gravée. Figure pour l’abrégé : Fig.1E

Description

Procédé de formation d’une couche à base d’un matériau diélectrique sur une couche à base d’un matériau III-V gravé
La présente invention concerne la formation d’une couche à base d’un matériau diélectrique sur une couche à base d’un matériau III-V gravé, tel que du GaN gravé. Elle s’inscrit ainsi dans la fabrication de dispositifs comprenant une interface entre un matériau III-N et un matériau diélectrique. Elle trouve par exemple pour application particulièrement avantageuse le domaine de l’électronique de puissance tels que des transistors intégrant une ou plusieurs couches de matériaux III-N.
ETAT DE LA TECHNIQUE
La chasse au gaspillage énergétique et l'augmentation des besoins poussent les fabricants de dispositifs microélectroniques à améliorer les rendements et les performances de leurs systèmes de conversion de l'énergie électrique. Actuellement, les circuits de conversion sont fabriqués à base de silicium et de nombreuses recherches ont été menées pour faire évoluer les architectures dans le but de gagner en rendement et en conductivité. Néanmoins, ces dispositifs connus arrivent aux limites théoriques du silicium et de nouvelles solutions sont envisagées. Ces solutions se basent sur l’utilisation de matériaux III-V tel que le GaN. Tel est le cas, des transistors à électron à haute mobilité, connus sous le terme anglais de « High Electron Mobility Transistor » (HEMT).
La fabrication de ce type de dispositifs nécessite la réalisation de nombreuses étapes de gravure dans des matériaux III-V suivies de dépôts de couches diélectriques sur les zones gravées. Or, les étapes de gravure peuvent fortement dégrader les propriétés chimiques et électriques des matériaux gravés. Il s’ensuit que l’interface réalisée entre le matériau III-V et le diélectrique présente souvent des propriétés électroniques dégradées. Par exemple, les gravures par plasma induisent des défauts structurels altérant la qualité des interfaces entre la couche gravée et la couche diélectrique. Les propriétés électriques de ces interfaces et, par voie de conséquence, des composants électroniques, s’en retrouvent dégradées.
Il est connu de l’état de la technique de recourir à des procédés de gravure intrinsèquement moins dommageables pour la qualité de la couche gravée. Les techniques par gravure cycliques, notamment les techniques connues sous le terme anglais de « Atomic Layer Etching » ou ALE (en français « gravure de couche atomique »), permettent d’obtenir des couches gravées très peu endommagées et présentant de bonnes propriétés électriques. Cependant, les techniques actuelles ALE sont très chronophages et impactent fortement la productivité. De plus, elles sont parfois délicates à mettre en œuvre car il est nécessaire de se placer dans la plage d’énergies, souvent étroite, permettant un retrait à la fois sélectif et complet de la couche que l’on souhaite graver.
Il existe donc un besoin consistant à fournir une solution pour améliorer la productivité de la formation d’une couche diélectrique sur une couche de matériau III-V gravé et sans pour autant impacter la qualité de l’interface réalisée.
RESUME
Pour atteindre cet objectif, selon un mode de réalisation on prévoit un procédé de formation d’une couche à base d’un matériau diélectrique sur une couche à base d’un matériau III-V gravé. Le procédé comprend au moins les étapes suivantes :
  • Fournir au moins une couche à base d’un matériau III-V, de préférence III-N, dite couche III-V, présentant une face avant,
  • Graver au moins une partie de la couche III-V à partir de la face avant de sorte à exposer une surface de la couche III-V dite surface gravée,
  • Exposer au moins la surface gravée à un traitement plasma de O2, de N2, ou d’un mélange de O2et de N2, cette étape étant réalisée à une température Ttraitementavec Ttraitement<100°C,
  • Déposer une couche à base d’un matériau diélectrique, dite couche diélectrique, au moins sur la surface gravée.
L’étape de traitement plasma mise en œuvre dans le procédé selon l’invention permet d’améliorer fortement la qualité de la couche de matériau III-V, typiquement de GaN gravé. Le travail à basse température permet notamment d’obtenir une couche de GaN gravé fortement stabilisée, permettant ainsi de faciliter et d’accélérer la manipulation des échantillons, ce qui résulte en un gain de productivité. Les échantillons doivent en effet être déplacés d’un réacteur à un autre, par exemple du réacteur où a eu lieu le traitement plasma au réacteur où a lieu le dépôt de la couche diélectrique en Al2O3par exemple. Dans le cadre du développement de la présente invention il a été remarqué qu’avec des températures plus élevées, la couche de matériau III-N est moins stable, ce qui pose des problèmes en termes de robustesse du procédé. En outre dans le cadre du développement de la présente invention il a été observé de manière inattendue que cet abaissement de la température conduit à une qualité d’interface entre le matériau III-N gravé et le matériau diélectrique bien meilleure que ce qui était prévisible. Bien au contraire, dans ce contexte l'homme du métier aurait été incité à appliquer des températures élevées pour recristalliser le matériau III-V se trouvant en surface et qui est altéré par la gravure.
L’amélioration de la qualité de la couche de GaN gravé induit elle-même une forte amélioration de la qualité de l’interface GaN gravé/diélectrique, notamment du point de vue de ses propriétés électriques.
Le procédé selon l’invention permet ainsi d’améliorer considérablement la productivité par rapport à une solution de type ALE, tout en offrant une qualité d’interface très satisfaisante. Il est cependant possible que le procédé selon l’invention soit utilisé conjointement à une gravure de type ALE.
Il est à noter que les traitements subséquents à une étape de dépôt de GaN épitaxié non gravé ne sont pas adaptés au traitement d’une couche de GaN gravé, ou sont du moins beaucoup moins efficaces. En effet, la surface d’une couche de GaN épitaxié non gravé est relativement stable car la couche a généralement passé un temps important, souvent de quelques heures à quelques mois, à l’air. La stœchiométrie de la couche de GaN épitaxié s’en retrouve stabilisée, ce qui rend peu réactive la surface de la couche, contrairement à la surface d’une couche de GaN gravé. Ainsi, la stratégie d’application d’un traitement plasma proposée dans la présente demande pour modifier chimiquement la surface du GaN et améliorer ainsi la qualité de l’interface avec le diélectrique aura peu d’effets sur les surfaces de GaN épitaxiées. Sur les surfaces épitaxiées non gravées, les traitements plasma constituent plutôt des stratégies de nettoyage et ne permettent pas la reconstruction structurelle que permet le procédé selon l’invention utilisé sur une couche de GaN gravé.
On prévoit en outre un procédé de réalisation d’un dispositif microélectronique comprenant la formation d’une couche à base d’un matériau diélectrique sur une couche à base d’un matériau III-V gravé en mettant en œuvre le procédé de formation mentionné ci-dessus, le dispositif microélectronique étant pris parmi un transistor et une LED.
On prévoit de plus un procédé de réalisation d’un dispositif microélectronique selon le procédé de réalisation mentionné ci-dessus dans lequel :
  • le dispositif microélectronique est un transistor,
  • la gravure de la couche III-V est effectuée de sorte à réaliser une tranchée dans le matériau III-V gravé,
  • le dépôt de la couche à base d’un matériau diélectrique est effectué sur au moins sur une partie de la surface gravée de la tranchée de sorte à former un diélectrique de grille,
et comprenant, après la formation de la couche diélectrique, l’étape suivante :
  • remplir la tranchée pour définir au moins une grille du transistor.
Par dispositif microélectronique, on entend tout type de dispositif réalisé avec des moyens de la microélectronique. Ces dispositifs englobent notamment en plus des dispositifs à finalité purement électronique, des dispositifs micromécaniques ou électromécaniques (MEMS, NEMS…) ainsi que des dispositifs optiques ou optoélectroniques (LED, MOEMS…). Il peut s’agir d’un dispositif destiné à assurer une fonction électronique, optique, mécanique etc. Il peut aussi s’agir d’un produit intermédiaire uniquement destiné à la réalisation d’un autre dispositif microélectronique.
On prévoit également un procédé de réalisation d’un transistor, le procédé comprenant les étapes suivantes :
  • former une couche à base d’un matériau diélectrique sur une couche à base d’un matériau III-V gravé en mettant en œuvre le procédé de formation mentionné ci-dessus. La gravure de la couche III-V est effectuée de sorte à réaliser une tranchée dans le matériau III-V gravé. Le dépôt de la couche à base d’un matériau diélectrique est effectué sur au moins sur une partie de la surface gravée de la tranchée de sorte à former un diélectrique de grille.
  • remplir la tranchée pour définir au moins une grille du transistor.
On prévoit également un procédé de réalisation d’un transistor comprenant les étapes suivantes :
  • Fournir au moins une couche à base d’un matériau III-V, de préférence III-N, dite couche III-V, présentant une face avant,
  • Graver au moins une tranchée dans la couche III-V à partir de la face avant et à travers le masque de sorte à exposer une surface de la couche III-V dite surface gravée,
  • Exposer au moins la surface gravée à un traitement plasma de O2 ou de N2, cette étape étant réalisée à une température Ttraitementavec Ttraitement<100°C,
  • Déposer une couche à base d’un matériau diélectrique, dite couche diélectrique, au moins sur une partie de la surface gravée de la tranchée de sorte à former un diélectrique de grille,
  • Remplir la tranchée pour définir au moins une grille du transistor.
Ce type de transistor présente une interface améliorée entre la couche III-V et la couche diélectrique. Ainsi, ce procédé présente une productivité améliorée tout en permettant au transistor d’atteindre des performances élevées.
BREVE DESCRIPTION DES FIGURES
Les buts, objets, ainsi que les caractéristiques et avantages de l’invention ressortiront mieux de la description détaillée d’un mode de réalisation de cette dernière qui est illustré par les dessins d’accompagnement suivants dans lesquels :
Les figures 1A à 1G illustrent les étapes d’un procédé selon l’un des modes de réalisation de l’invention. La illustre la fourniture d’une couche à base d’un matériau III-V appelée couche III-V.
La illustre le dépôt d’une éventuelle couche de masquage surmontant la couche III-V.
La représente la formation d’ouvertures dans la couche de masquage afin de constituer un masque de gravure sur la couche III-V.
La illustre une étape de gravure de la couche III-V permettant de mettre à jour une surface dite surface gravée de la couche III-V.
La illustre une étape d’exposition de la surface gravée à un traitement plasma, figuré par un ensemble de flèches orientées vers la surface gravée.
La représente le dépôt d’une couche diélectrique sur la surface gravée.
La illustre le retrait de l’éventuel masque.
La représente une caractéristique capacité-tension (caractéristique C-V ou encore profilage C-V) d’une capacité MOS (de l’anglais « Metal Oxide Semiconductor », pouvant être traduit par « Métal Oxyde Semi-conducteur ») fabriquée selon un procédé connu de l’art antérieur.
La représente une caractéristique C-V d’une capacité MOS fabriquée selon un mode de réalisation du procédé selon l’invention.
La synthétise les différentes étapes d’un exemple de procédé de formation selon l’invention.
Les dessins sont donnés à titre d'exemples et ne sont pas limitatifs de l’invention. Ils constituent des représentations schématiques de principe destinées à faciliter la compréhension de l’invention et ne sont pas nécessairement à l'échelle des applications pratiques. En particulier les épaisseurs des différentes couches ne sont pas représentatives de la réalité.
DESCRIPTION DÉTAILLÉE
Avant d’entamer une revue détaillée de modes de réalisation de l’invention, sont énoncées ci-après des caractéristiques optionnelles qui peuvent éventuellement être utilisées en association ou alternativement :
Selon un mode de réalisation, l’étape de gravure et l’étape de traitement plasma sont effectuées dans un même réacteur.
Selon un exemple, Ttraitement>40°C.
Selon un exemple, Ttraitement<80°C.
Selon un exemple, l’étape de traitement plasma a une durée ttraitement, avec ttraitement<5min, soit ttraitement<300s, et de préférence ttraitement<2min soit ttraitement<120s.
Selon un mode de réalisation, le procédé comprend, avant l’étape de dépôt de la couche diélectrique, une étape de nettoyage humide.
Selon un mode de réalisation, l’étape de dépôt de la couche diélectrique est effectuée sous vide.
Selon un exemple, l’étape de gravure comprend une gravure par plasma chloré.
Selon un exemple, l’étape de gravure comprend au moins un cycle de gravure de type ALE.
Selon un exemple, la gravure est effectuée de sorte à graver une épaisseur de matériau III-V supérieure à 100 nm (10-9mètres), de préférence supérieure à 500 nm, de préférence supérieure à 1 µm (10-6mètres), de préférence supérieure à 3 µm.
Selon un exemple, l’étape de traitement plasma est réalisée sous une tension de polarisation Vbiasnulle.
Selon un exemple, l’étape de traitement plasma est réalisée en injectant un flux de O2, de N2ou d’un mélange de O2et de N2présentant un débit massique Dfluxcompris entre 50sccm et 1000sccm, de préférence entre 100sccm et 500sccm.
Selon un exemple, l’étape de traitement plasma est réalisée en injectant un flux de O2, de N2 ou d’un mélange de O2et de N2généré par une source de puissance Psourcecomprise entre 100W et 4000W, de préférence entre 300W et 1000W.
Selon un exemple, le matériau III-V est l’un parmi le GaN et l’AlGaN.
Selon un exemple, le matériau diélectrique est l’un parmi l’AlN, l’Al2O3 et l’HfO2.
Il est précisé que, dans le cadre de la présente invention, les termes « sur », « surmonte », « recouvre », « sous-jacent », en « vis-à-vis » et leurs équivalents ne signifient pas forcément « au contact de ». Ainsi par exemple, le dépôt, le report, le collage, l’assemblage ou l’application d’une première couche sur une deuxième couche, ne signifie pas obligatoirement que les deux couches sont directement au contact l’une de l’autre, mais signifie que la première couche recouvre au moins partiellement la deuxième couche en étant, soit directement à son contact, soit en étant séparée d’elle par au moins une autre couche ou au moins un autre élément.
Une couche peut par ailleurs être composée de plusieurs sous-couches d’un même matériau ou de matériaux différents.
On entend par un substrat, une couche, un dispositif, « à base » d’un matériau M, un substrat, une couche, un dispositif comprenant ce matériau M uniquement ou ce matériau M et éventuellement d’autres matériaux, par exemple des éléments d’alliage, des impuretés ou des éléments dopants. Ainsi un matériau à base d’un matériau III-N peut comprendre un matériau III-N additionné de dopants. De même, une couche à base de GaN comprend typiquement du GaN et des alliages d’AlGaN ou d’InGaN.
Le terme « matériau III-V » fait référence à un semi-conducteur composé d’un ou plusieurs éléments de la colonne III et de la colonne V du tableau périodique de Mendeleïev. On compte parmi les éléments de la colonne III le bore, le gallium, l’aluminium ou encore l’indium. La colonne V contient par exemple l’azote, l’arsenic, l’antimoine et le phosphore.
On entend par « gravure sélective vis-à-vis de » ou « gravure présentant une sélectivité vis-à-vis de » une gravure configurée pour enlever un matériau A ou une couche A vis-à-vis d’un matériau B ou d’une couche B, et présentant une vitesse de gravure du matériau A supérieure à la vitesse de gravure du matériau B. La sélectivité est le rapport entre la vitesse de gravure du matériau A sur la vitesse de gravure du matériau B. La sélectivité entre A et B est notée SA:B.
Un repère, de préférence orthonormé, comprenant les axes x, y, z est représenté en . Ce repère est applicable par extension aux autres figures.
Dans la présente demande de brevet, on parlera préférentiellement d’épaisseur pour une couche et de hauteur pour une structure ou un dispositif. L’épaisseur est prise selon une direction normale au plan d’extension principal de la couche, et la hauteur est prise perpendiculairement au plan de base XY. Ainsi, une couche présente typiquement une épaisseur selon z, lorsqu’elle s’étend principalement le long d’un plan XY, et un élément en saillie, par exemple une tranchée d’isolation, présente une hauteur selon z. Les termes relatifs « sur », « sous », « sous-jacent » se réfèrent préférentiellement à des positions prises selon la direction z.
Les étapes du procédé telles que revendiquées s’entendent au sens large et peuvent éventuellement être réalisées en plusieurs sous-étapes.
Un exemple de procédé de réalisation va maintenant être décrit en référence aux figures 1A à 1G et à la . Ce procédé permet d’obtenir la structure illustrée en , comprenant une interface 1000 entre une couche de matériau III-V gravé 100 et une couche de diélectrique 200.
Comme illustré en , une première étape consiste à fournir une couche 100 à base d’au moins un matériau III-V, de préférence à base d’au moins un matériau III-N. Typiquement, ce matériau est à base de GaN. Cette couche est appelée couche III-V 100. La couche III-V 100 présente une face supérieure 101 et une face inférieure 102 s’étendant toutes les deux principalement dans le plan XY du repère orthogonal XYZ. Elle présente selon la direction z une épaisseur e100.
La couche III-V 100 peut être formée d’une couche homogène, typiquement d’un unique matériau. Alternativement, la couche III-V 100 peut être formée d’un empilement de couches en matériau III-N, certaines au moins de ces couches présentant une composition différente. Par exemple, la couche III-V 100 peut être formée d’une ou plusieurs couches de GaN et d’une ou plusieurs couches de GaN dopé P, d’AlGaN, d’AlN et/ou d’InGaN. Typiquement la couche III-V 100 peut être formés d’un ensemble d’une ou plusieurs couches de GaN et d’une couche d’AlGaN surmontant cet ensemble. Tel est par exemple le cas dans certains transistors de type HEMT.
La couche III-V 100 peut reposer sur un substrat, typiquement un substrat de support ou de croissance. Un tel substrat n’est pas représenté sur les figures1A à 1G. Une ou plusieurs couches intermédiaires peuvent être disposées entre le substrat et la face inférieure 102 de la couche III-V 100. Il peut notamment s’agir d’une couche de nucléation.
Une deuxième étape consiste à graver au moins une partie de la couche III-V 100 à partir de sa face supérieure 101 et sur une épaisseur egravure. Cette étape est représentée par le bloc 31 de la . La gravure est avantageusement effectuée de sorte à graver la couche III-V 100 sur une épaisseur egravuresupérieure à 100 nm (10-9mètres), de préférence supérieure à 500 nm, de préférence supérieure à 1 µm (10-6mètres), de préférence supérieure à 3 µm. Afin de graver certaines zones seulement de la couche III-V 100, on peut prévoir de former un masque 310 surmontant la couche III-V 100, comme illustré à la . La présence de ce masque 310 n’est cependant pas obligatoire pour mettre en œuvre le procédé de gravure décrit ci-dessous. Selon un exemple, le masque 310 est disposé directement au contact de la face supérieure 101 de la couche III-V 100. Le masque 310 est par exemple formé par lithographie d’une couche de masquage 300 déposée ou reportée sur la couche III-V 100, comme illustré à la . De préférence, le masque 310 est un masque dur. Il est de préférence à base d’un polymère, par exemple d’une résine, ou d’un diélectrique. Il est par exemple formé à base de SiO2ou de SixNyavec x et y entiers non nuls. Le masque dur 310 peut par exemple être formé par l’un des matériaux suivants, ou un mélange de plusieurs des matériaux suivants : SiN, Si3N4, SiOCN, SiCBN. Le masque 310 présente au moins une ouverture 311, comme illustré en , au travers de laquelle est réalisée la gravure de la couche III-V 100.
La gravure peut être une gravure sèche ou humide. Par exemple, il peut s’agir d’une étape de gravure plasma en chimie chlorée ou fluorocarbonée. Cette étape de gravure peut être réalisée dans un réacteur de gravure ionique réactive RIE (de l’anglais « Reactive Ion Etching »), de préférence un réacteur plasma à couplage inductif ICP (de l’anglais « Inductively Coupled Plasma »). Il est également possible de mettre en œuvre un procédé de gravure cyclique auto-limitante tel que l’ALE (« Atomic Layer Etching », terme anglais pouvant être traduit par « gravure de couche atomique »), seul ou en complément d’une gravure plasma classique. Un tel procédé est généralement constitué d’un ou plusieurs cycles comprenant les étapes suivantes :
  • Une étape de modification d’une partie de la couche à graver se trouvant préférentiellement en surface de cette même couche, par exemple une chlorination auto-limitante par exposition à un plasma de Cl2/BCl3,
  • Une gravure de la partie de la couche à graver ainsi modifiée sélectivement au reste de la couche à graver, par exemple à l’aide d’un plasma à base d’Ar et sous une tension de polarisation non nulle.
Les procédés de gravure cyclique auto-limitante permettent de limiter significativement l’endommagement de la couche gravée. En synergie avec l’étape de traitement plasma qui sera décrite plus loin, une étape de gravure de la couche III-V 100 via un tel procédé permet d’obtenir une qualité d’interface 1000 encore améliorée. Il est cependant tout à fait possible que l’étape de gravure de la couche III-V 100 se limite à une gravure plasma classique.
Les techniques de gravure mentionnées ci-dessus sont données à titre d’exemples mais ne constituent en aucun cas une liste exhaustive des techniques envisageables.
L’étape de gravure venant d’être décrite permet d’exposer une surface dite surface gravée 103 de la couche III-V 100, comme représenté à la . Selon un exemple, cette gravure permet par exemple de former une tranchée dans la couche III-V 100.
Une troisième étape consiste en l’exposition de la surface gravée 103 à un traitement comme représenté à la . Le traitement est figuré sur ce schéma par les flèches verticales orientées vers la surface gravée 103. Ce traitement est par un plasma à base de dioxygène (O2) et/ou de diazote (N2). Cette étape est représentée par le bloc 32 de la . Elle est avantageusement réalisée dans la même chambre que l’étape de gravure de la couche III-V 100. Il s’agit préférentiellement d’un réacteur ICP. Réaliser l’étape de traitement plasma in situ permet de limiter les contaminations dues à la remise à l’air des échantillons et d’améliorer la productivité. Cela présente également un avantage en lien avec les défauts structurels induits par l’étape de gravure. En effet, suite à l’étape de gravure, la surface gravée 103 constitue une surface réactive qui, lors d’une remise à l’air, réagit avec l’air pour former des défauts. Par exemple, des lacunes provoquées par la gravure au niveau de la surface gravée 103 peuvent être comblées par des contaminants présents dans l’air, tels que des contaminants à base de carbone ou d’oxygène. Ces derniers constituent alors des pièges pouvant gêner l’étape de dépôt d’un diélectrique qui sera détaillée plus avant et/ou altérer les performances électroniques d’un dispositif obtenu après des étapes subséquentes supplémentaires. Soumettre la surface gravée 103 au traitement plasma in situ et avant toute remise à l’air de l’échantillon permet de combler ces lacunes par des éléments avantageux fournis par le plasma, et ainsi de limiter le comblement de ces mêmes lacunes par des éléments présents dans l’air. Par exemple, les lacunes d’azote d’une surface gravée 103 à base de GaN peuvent être comblées par des atomes d’azote fournis par un traitement plasma à base de N2.
Il est cependant possible de réaliser le traitement plasma dans une chambre distincte de celle utilisée pour la gravure de la couche III-V 100.
Le flux de O2ou de N2est généré dans la chambre de traitement par une source dont la puissance est comprise entre 100W et 4000W, de préférence entre 300W et 1000W. La puissance du flux est un paramètre ayant un impact direct sur la réactivité du plasma formé. Dans le cadre de la présente invention, on cherche à obtenir un plasma très réactif chimiquement afin d’assurer la bonne reconstitution de la surface gravée 103, et ce dans des temps de traitements réduits. Cela se caractérise notamment par une forte concentration en radicaux réactifs, et une quantité d’ions limitée. Augmenter la puissance du flux permet d’augmenter la quantité de radicaux réactifs, mais a également pour impact d’augmenter la densité d’ions. Les valeurs préférentielles mentionnées constituent ainsi un bon compromis. Le flux peut être pur ou bien être mélangé à d’autres gaz minoritaires. Par exemple, il peut s’agir d’un flux composé de N2et de O2, de N2et de Ar, ou encore de O2et de Ar. Le débit des espèces est avantageusement compris entre 50 sccm et 1000 sccm (centimètre cube par minute), de préférence entre 100 sccm et 500 sccm. La durée de cette étape de traitement plasma est avantageusement comprise entre quelques secondes, de préférence 30s, et plusieurs centaines de secondes. La tension de polarisation (bias voltage) est de préférence nulle. En effet, opter pour une tension de polarisation non nulle, combiné au fait que le plasma contienne des ions, augmente le risque de dégradation.
L’étape de traitement plasma se déroule à une température inférieure à 100°C et non à haute température, comme c’est le cas pour les techniques courantes de recristallisation d’une couche gravée. Cette condition de traitement a permis d’améliorer dans des proportions inattendues la qualité de l’interface 1000. Ce bas niveau de température permet notamment d’obtenir une interface 1000 stabilisée après l’étape de traitement plasma. Cela permet d’améliorer la robustesse du procédé et donc la qualité de l’interface tout en améliorant la productivité. De plus, dans le cas d’un traitement plasma à base de O2, le travail à basse température permet d’éviter une oxydation non désirée sur la surface gravée 103.
De préférence, la température de traitement, i.e. la température du porte-substrat sur lequel repose la couche III-V 100 dans la chambre de traitement plasma est supérieure à 20°C. De préférence, elle est inférieure à 90°C. Avantageusement, elle est comprise entre 40°C et 80°C de préférence entre 60°C et 80°C. Augmenter la température permet notamment d’améliorer la réactivité de la surface gravée 103 et donc d’optimiser la reconstruction structurelle de la couche III-V 100.
De façon avantageuse mais facultative, on prévoit, après l’étape d’exposition au traitement plasma, un nettoyage humide de la surface gravée 103 de la couche III-V 100. Ce nettoyage est avantageusement à base de HCl, de HF ou d’un mélange de HCl et de HF. Cette étape permet de nettoyer la surface gravée en prévision du dépôt d’une couche diélectrique 200 et constitue un complément au traitement plasma. Elle permet d’améliorer encore les propriétés électriques de l’interface entre la couche III-V 100 gravée et la couche diélectrique 200. Ces propriétés électriques sont améliorées dans une mesure surprenante. Cette étape de nettoyage est réalisée à l’extérieur du réacteur ayant permis la gravure de la couche III-V 100. Cette étape facultative est représentée par le bloc 33, dessiné en pointillés, de la .
Une quatrième étape, représentée à la et par le bloc 34 de la , consiste en le dépôt d’une couche à base d’un matériau diélectrique au moins sur la surface gravée 103 de la couche III-V 100. Cette couche est appelée couche diélectrique 200. De préférence, elle est constituée d’un matériau diélectrique pris parmi l’un des matériaux suivants, ou d’un mélange de ceux-ci : l’AlN, l’Al2O3, l’HfO2. De préférence, le dépôt de la couche diélectrique 200 est réalisé par ALD (acronyme anglais de « Atomic Layer Deposition », pouvant être traduit par « dépôt de couche atomique »). Il peut s’agir d’un procédé d’ALD thermique ou plasma. Dans le cas particulier où la couche diélectrique 200 est une couche d’Al2O3et où le dépôt est réalisé par ALD thermique, le précurseur à base d’oxygène peut être de l’eau (H2O) ou de l’ozone (O3), et le précurseur à base d’aluminium peut être du trimethylaluminum (TMA). Si le dépôt est réalisé par ALD plasma, le précurseur à base d’oxygène peut être un plasma à base de dioxygène (O2). Le dépôt de la couche diélectrique 200 est de préférence réalisé sous vide afin d’éviter les contaminations.
La zone de contact entre la face inférieure 202 de la couche diélectrique 200 et la surface gravée 103 de la couche III-V 100 constitue l’interface 1000 entre la couche III-V 100 et la couche diélectrique 200.
La illustre une étape facultative de retrait du masque 310 et de certaines portions de la couche diélectrique 200. Ce retrait peut avoir lieu avant ou après l’étape d’exposition de la surface gravée 103 au traitement plasma et avant ou après l’étape de dépôt de la couche diélectrique 200. Ce retrait peut par exemple être concomitant à l’étape de nettoyage. Dans ce cas, on utilise de préférence un solvant à base de HF ou de HCl et de HF. Avantageusement, le masque 310 est conservé jusqu’à l’étape d’exposition au traitement plasma, au cours de laquelle il peut à nouveau jouer le rôle de masque. Le masque 310 peut également être conservé jusqu’à l’étape de dépôt de la couche diélectrique 200, comme illustré sur la .
Les figures 2A et 2B illustrent un des avantages procurés par le procédé selon l’invention. Le graphique présenté en est une caractéristique C-V (de l’anglais « Capacitance-Voltage », pouvant être traduit en français par « capacité-tension ») d’une capacité MOS comprenant une interface entre une couche de GaN gravé et une couche d’Al2O3et obtenue par un procédé n’incluant pas de traitement plasma subséquent à la gravure de la couche de GaN. Le graphique présenté en est une caractéristique C-V d’une capacité MOS ayant été conçue par le même procédé et avec les mêmes matériaux, mais dont la couche de GaN gravé a subi un traitement plasma dans un réacteur ICP ainsi qu’un nettoyage humide à base de HCl, conformément à l’un des modes de réalisation de l’invention. Sur chacune des deux figures 2A et 2B, la caractéristique C-V a été relevée pour différentes fréquences du signal alternatif appliqué à la capacité MOS étudiée.
On remarque que, notamment à basse fréquence (voir notamment la caractéristique à 5 kHz) et à haute fréquence (voir notamment la caractéristique à 500 kHz), la capacité MOS caractérisée à la présente de moins bonnes performances que la capacité MOS caractérisée à la . Les pentes des caractéristiques pour chacune des fréquences étudiées sont en effet plus faibles que leurs équivalentes sur la , traduisant un passage moins rapide du régime d’accumulation au régime d’inversion en réponse à une variation de tension. La comparaison des figures 2A et 2B met donc en lumière une nette amélioration grâce au procédé selon l’invention des performances des composants électroniques comprenant une interface matériau III-V gravé/diélectrique.
Un avantage de la solution proposée par l’invention est que les étapes d’exposition à un traitement plasma de la couche III-V 100 gravée et de dépôt d’une couche diélectrique 200 peuvent être réalisées après n’importe quel type de gravure et pour n’importe quel matériau III-V. Le procédé selon l’invention constitue donc une solution que l’on pourrait qualifier d’universelle contre le problème de la qualité des interfaces entre un matériau III-V gravé et un diélectrique. Une telle adaptabilité permet de plus une certaine standardisation des procédés de fabrication de composants électroniques dans lesquels le procédé selon l’invention peut être intégré.
Un autre avantage de la solution proposée est que l’étape de traitement plasma est une étape rapide pouvant de plus être réalisée immédiatement après l’étape de gravure, dans le même réacteur. Le procédé selon l’invention peut ainsi être intégré à un procédé de fabrication de dispositifs microélectroniques sans altérer la productivité.
À travers les différents modes de réalisation décrits ci-dessus, il apparaît clairement que l’invention propose une solution efficace pour améliorer la productivité de la formation d’une interface entre une couche de matériau III/V gravé et une couche diélectrique tout en assurant une bonne qualité de cette interface.
Le procédé proposé est particulièrement avantageux pour des applications puissance, telles que des transistors de puissance ou des LED ou µLED. Dans ce cas, l’épaisseur de matériau III-V à graver, tel que le GaN, peut être d’une centaine de nanomètres à plusieurs microns.
L’invention n’est pas limitée aux modes de réalisations précédemment décrits et s’étend à tous les modes de réalisation couverts par son esprit.

Claims (17)

  1. Procédé de formation d’une couche à base d’un matériau diélectrique sur une couche à base d’un matériau III-V gravé, le procédé comprenant au moins les étapes suivantes :
    • Fournir au moins une couche à base d’un matériau III-V, de préférence III-N, dite couche III-V (100), présentant une face avant (101),
    • Graver au moins une partie de la couche III-V (100) à partir de la face avant (101) de sorte à exposer une surface de la couche III-V dite surface gravée (103),
    • Exposer au moins la surface gravée (103) à un traitement plasma de O2, de N2 ou d’un mélange de O2et de N2, cette étape étant réalisée à une température Ttraitementavec Ttraitement<100°C,
    • Déposer une couche à base d’un matériau diélectrique, dite couche diélectrique (200), au moins sur la surface gravée.
  2. Procédé selon la revendication précédente dans lequel l’étape de gravure et l’étape de traitement plasma sont effectuées dans un même réacteur.
  3. Procédé selon l’une quelconque des revendications précédentes dans lequel Ttraitement<80°C.
  4. Procédé selon l’une quelconque des revendications précédentes dans lequel Ttraitement>40°C.
  5. Procédé selon l’une quelconque des revendications précédentes dans lequel l’étape de traitement plasma a une durée ttraitement, avec ttraitement<5min et de préférence ttraitement<2min.
  6. Procédé selon l’une quelconque des revendications précédentes comprenant en outre, avant l’étape de dépôt de la couche diélectrique, une étape de nettoyage humide.
  7. Procédé selon l’une quelconque des revendications précédentes dans lequel l’étape de dépôt de la couche diélectrique est effectuée sous vide.
  8. Procédé selon l’une quelconque des revendications précédentes dans lequel l’étape de gravure comprend une gravure par plasma chloré.
  9. Procédé selon l’une quelconque des revendications précédentes dans lequel l’étape de gravure comprend au moins un cycle de gravure de type ALE.
  10. Procédé selon l’une quelconque des revendications précédentes dans lequel l’étape de traitement plasma est réalisée sous une tension de polarisation Vbiasnulle.
  11. Procédé selon l’une quelconque des revendications précédentes dans lequel la gravure est effectuée de sorte à graver une épaisseur de matériau III-V supérieure à 100 nm (10-9mètres), de préférence supérieure à 500 nm, de préférence supérieure à 1 µm (10-6mètres), de préférence supérieure à 3 µm.
  12. Procédé selon l’une quelconque des revendications précédentes dans lequel l’étape de traitement plasma est réalisée en injectant un flux de O2, de N2ou d’un mélange de O2et de N2, présentant un débit massique Dfluxcompris entre 50sccm et 1000sccm, de préférence entre 100sccm et 500sccm.
  13. Procédé selon l’une quelconque des revendications précédentes dans lequel l’étape de traitement plasma est réalisée en injectant un flux de O2, de N2ou d’un mélange de O2et de N2généré par une source de puissance Psourcecomprise entre 100W et 4000W, de préférence entre 300W et 1000W.
  14. Procédé selon l’une quelconque des revendications précédentes dans lequel le matériau III-V est l’un parmi le GaN et l’AlGaN.
  15. Procédé selon l’une quelconque des revendications précédentes dans lequel le matériau diélectrique est l’un parmi l’AlN, l’Al2O3et l’HfO2.
  16. Procédé de réalisation d’un dispositif microélectronique comprenant la formation d’une couche à base d’un matériau diélectrique (200) sur une couche (100) à base d’un matériau III-V gravé en mettant en œuvre le procédé selon l’une quelconque des revendications précédentes, le dispositif microélectronique étant pris parmi un transistor et une LED.
  17. Procédé de réalisation d’un dispositif microélectronique selon la revendication précédente dans lequel :
    • le dispositif microélectronique est un transistor,
    • la gravure de la couche III-V (100) est effectuée de sorte à réaliser une tranchée dans le matériau III-V gravé,
    • le dépôt de la couche à base d’un matériau diélectrique (200) est effectué sur au moins sur une partie de la surface gravée de la tranchée de sorte à former un diélectrique de grille,
    le procédé comprenant, après la formation de la couche diélectrique (200), l’étape suivante :
    • remplir la tranchée pour définir au moins une grille du transistor.
FR2204258A 2022-05-05 2022-05-05 Procédé de formation d’une couche à base d’un matériau diélectrique sur une couche à base d’un matériau III-V gravé Pending FR3135346A1 (fr)

Priority Applications (2)

Application Number Priority Date Filing Date Title
FR2204258A FR3135346A1 (fr) 2022-05-05 2022-05-05 Procédé de formation d’une couche à base d’un matériau diélectrique sur une couche à base d’un matériau III-V gravé
PCT/EP2023/061856 WO2023213971A1 (fr) 2022-05-05 2023-05-04 Procédé de formation d'une couche à base d'un matériau diélectrique sur une couche à base d'un matériau iii-v gravé

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
FR2204258A FR3135346A1 (fr) 2022-05-05 2022-05-05 Procédé de formation d’une couche à base d’un matériau diélectrique sur une couche à base d’un matériau III-V gravé
FR2204258 2022-05-05

Publications (1)

Publication Number Publication Date
FR3135346A1 true FR3135346A1 (fr) 2023-11-10

Family

ID=82482639

Family Applications (1)

Application Number Title Priority Date Filing Date
FR2204258A Pending FR3135346A1 (fr) 2022-05-05 2022-05-05 Procédé de formation d’une couche à base d’un matériau diélectrique sur une couche à base d’un matériau III-V gravé

Country Status (2)

Country Link
FR (1) FR3135346A1 (fr)
WO (1) WO2023213971A1 (fr)

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040192043A1 (en) * 2002-11-22 2004-09-30 Oki Electric Industry Co., Ltd. Surface treatment method for a compound semiconductor layer and method of fabrication of a semiconductor device
US20190280112A1 (en) * 2018-03-06 2019-09-12 Kabushiki Kaisha Toshiba Semiconductor device, method for manufacturing the same, power circuit, and computer

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040192043A1 (en) * 2002-11-22 2004-09-30 Oki Electric Industry Co., Ltd. Surface treatment method for a compound semiconductor layer and method of fabrication of a semiconductor device
US20190280112A1 (en) * 2018-03-06 2019-09-12 Kabushiki Kaisha Toshiba Semiconductor device, method for manufacturing the same, power circuit, and computer

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
JIN Z ET AL: "Passivation of InP-based HBTs", APPLIED SURFACE SCIENCE, ELSEVIER, AMSTERDAM , NL, vol. 252, no. 21, 31 August 2006 (2006-08-31), pages 7664 - 7670, XP024892735, ISSN: 0169-4332, [retrieved on 20060831], DOI: 10.1016/J.APSUSC.2006.03.050 *
LÜKENS G ET AL: "Limitations of threshold voltage engineering of AlGaN/GaN heterostructures by dielectric interface charge density and manipulation by oxygen plasma surface treatments", JOURNAL OF APPLIED PHYSICS, AMERICAN INSTITUTE OF PHYSICS, 2 HUNTINGTON QUADRANGLE, MELVILLE, NY 11747, vol. 119, no. 20, 28 May 2016 (2016-05-28), XP012207938, ISSN: 0021-8979, [retrieved on 19010101], DOI: 10.1063/1.4952382 *

Also Published As

Publication number Publication date
WO2023213971A1 (fr) 2023-11-09

Similar Documents

Publication Publication Date Title
EP1290721B1 (fr) Procede de preparation d&#39;une couche de nitrure de gallium
EP3144973B1 (fr) Procédé de formation des espaceurs d&#39;une grille d&#39;un transistor
FR3023973A1 (fr) Procede de formation des espaceurs d&#39;une grille d&#39;un transistor
FR3013503A1 (fr) Procede de gravure selective d’un masque dispose sur un substrat silicie
EP0887843A1 (fr) Procédé d&#39;obtention d&#39;un transistor à grille en silicium-germanium
FR3000602A1 (fr) Procede de gravure d&#39;un materiau dielectrique poreux
EP3506336B1 (fr) Procédé de gravure d&#39;une couche diélectrique tridimensionnelle
EP3174092B1 (fr) Procédé de formation des espaceurs d&#39;une grille d&#39;un transistor
EP3261124B1 (fr) Procédé de formation des espaceurs d&#39;une grille d&#39;un transistor
EP3107118B1 (fr) Procédé de formation des espaceurs d&#39;une grille d&#39;un transistor
EP3671814B1 (fr) Procédé de gravure d&#39;une couche diélectrique
EP3792958A1 (fr) Procédé de gravure d&#39;une couche à base d&#39;un matériau iii-v
FR3135346A1 (fr) Procédé de formation d’une couche à base d’un matériau diélectrique sur une couche à base d’un matériau III-V gravé
EP3671815B1 (fr) Procédé de gravure d&#39;une couche diélectrique tridimensionnelle
EP4053884B1 (fr) Procédé de gravure d&#39;une couche diélectrique tridimensionnelle
EP4053883B1 (fr) Procédé de gravure d&#39;une couche diélectrique tridimensionnelle
FR2805924A1 (fr) Procede de gravure d&#39;une couche de silicium polycristallin et son application a la realisation d&#39;un emetteur auto- aligne avec la base extrinseque d&#39;un transistor bipolaire simple ou double polysilicium
EP4341984A1 (fr) Procédé de préparation d&#39;un composant microélectronique comprenant une couche à base d&#39;un matériau iii-v
EP4111490A1 (fr) Procédé de réalisation d&#39;une couche à base de nitrure d&#39;aluminium (aln) sur une structure à base de silicium ou de matériaux iii-v
EP3107124B1 (fr) Procédé de formation des espaceurs d&#39;une grille d&#39;un transistor
FR3112422A1 (fr) Procédé de réalisation d’une couche diélectrique sur une structure en matériaux III-V
EP3764390A1 (fr) Procédé de formation d&#39;espaceurs d&#39;un transistor
EP4084045A1 (fr) Procédé de formation des espaceurs d&#39;une grille d&#39;un transistor
FR3098978A1 (fr) procédé de fabrication de transistors

Legal Events

Date Code Title Description
PLFP Fee payment

Year of fee payment: 2

PLSC Publication of the preliminary search report

Effective date: 20231110