EP4246364A1 - Designhilfsvorrichtung, designhilfsverfahren und designhilfsprogramm - Google Patents

Designhilfsvorrichtung, designhilfsverfahren und designhilfsprogramm Download PDF

Info

Publication number
EP4246364A1
EP4246364A1 EP21903181.2A EP21903181A EP4246364A1 EP 4246364 A1 EP4246364 A1 EP 4246364A1 EP 21903181 A EP21903181 A EP 21903181A EP 4246364 A1 EP4246364 A1 EP 4246364A1
Authority
EP
European Patent Office
Prior art keywords
design parameter
parameter group
design
product
acquisition function
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
EP21903181.2A
Other languages
English (en)
French (fr)
Other versions
EP4246364A4 (de
Inventor
Kyohei Hanaoka
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Resonac Corp
Original Assignee
Resonac Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Resonac Corp filed Critical Resonac Corp
Publication of EP4246364A1 publication Critical patent/EP4246364A1/de
Publication of EP4246364A4 publication Critical patent/EP4246364A4/de
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06QINFORMATION AND COMMUNICATION TECHNOLOGY [ICT] SPECIALLY ADAPTED FOR ADMINISTRATIVE, COMMERCIAL, FINANCIAL, MANAGERIAL OR SUPERVISORY PURPOSES; SYSTEMS OR METHODS SPECIALLY ADAPTED FOR ADMINISTRATIVE, COMMERCIAL, FINANCIAL, MANAGERIAL OR SUPERVISORY PURPOSES, NOT OTHERWISE PROVIDED FOR
    • G06Q50/00Information and communication technology [ICT] specially adapted for implementation of business processes of specific business sectors, e.g. utilities or tourism
    • G06Q50/04Manufacturing
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • G06F30/27Design optimisation, verification or simulation using machine learning, e.g. artificial intelligence, neural networks, support vector machines [SVM] or training a model
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/10Geometric CAD
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06QINFORMATION AND COMMUNICATION TECHNOLOGY [ICT] SPECIALLY ADAPTED FOR ADMINISTRATIVE, COMMERCIAL, FINANCIAL, MANAGERIAL OR SUPERVISORY PURPOSES; SYSTEMS OR METHODS SPECIALLY ADAPTED FOR ADMINISTRATIVE, COMMERCIAL, FINANCIAL, MANAGERIAL OR SUPERVISORY PURPOSES, NOT OTHERWISE PROVIDED FOR
    • G06Q10/00Administration; Management
    • G06Q10/04Forecasting or optimisation specially adapted for administrative or management purposes, e.g. linear programming or "cutting stock problem"
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06QINFORMATION AND COMMUNICATION TECHNOLOGY [ICT] SPECIALLY ADAPTED FOR ADMINISTRATIVE, COMMERCIAL, FINANCIAL, MANAGERIAL OR SUPERVISORY PURPOSES; SYSTEMS OR METHODS SPECIALLY ADAPTED FOR ADMINISTRATIVE, COMMERCIAL, FINANCIAL, MANAGERIAL OR SUPERVISORY PURPOSES, NOT OTHERWISE PROVIDED FOR
    • G06Q10/00Administration; Management
    • G06Q10/06Resources, workflows, human or project management; Enterprise or organisation planning; Enterprise or organisation modelling
    • G06Q10/063Operations research, analysis or management
    • G06Q10/0631Resource planning, allocation, distributing or scheduling for enterprises or organisations
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2111/00Details relating to CAD techniques
    • G06F2111/06Multi-objective optimisation, e.g. Pareto optimisation using simulated annealing [SA], ant colony algorithms or genetic algorithms [GA]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2111/00Details relating to CAD techniques
    • G06F2111/08Probabilistic or stochastic CAD

Definitions

  • One aspect of the present disclosure relates to a design assistance device, a design assistance method, and a design assistance program.
  • a model for estimating the characteristic of a material is constructed by machine learning using learning data including a pair of a compound ratio of a raw material and a characteristic relevant to an experimented or produced material, and the characteristic is predicted for a compound ratio of an unexperimented raw material.
  • parameters such as the characteristic of the material and the compound ratio of the raw material can be efficiently optimized, and a development efficiency is improved.
  • Bayesian optimization it is known that Bayesian optimization is effective, and a design device outputting a design value by using the Bayesian optimization is known.
  • Patent Literature 1 Japanese Unexamined Patent Publication No. 2020-52737
  • the present invention has been made in consideration of the problems described above, and an object thereof is to make the optimization of the characteristic of a product configuring an object variable and a design variable in a production process of a product, an in-process product, a half-finished product, a component, or a trial product available with a low load by fewer experiments.
  • a design assistance device is a design assistance device obtaining a plurality of design parameters satisfying a target value set for each of a plurality of characteristic items indicating a characteristic of a product, an in-process product, a half-finished product, a component, or a trial product produced on the basis of a design parameter group including the plurality of design parameters, in order to apply to a method for optimizing a design parameter by repeating determination of the design parameter and production of the product, the in-process product, the half-finished product, the component, or the trial product based on the determined design parameter, in design of the product, the in-process product, the half-finished product, the component, or the trial product, the device including: a data acquisition unit acquiring a plurality of performance data pieces including the design parameter group and an observation value of each of the plurality of characteristic items, for the produced product, in-process product, half-finished product, component, or trial product; a model construction unit constructing a prediction model for predicting the observation value of the characteristic item
  • a design assistance method is a design assistance method in a design assistance device obtaining a plurality of design parameters satisfying a target value set for each of a plurality of characteristic items indicating a characteristic of a product, an in-process product, a half-finished product, a component, or a trial product produced on the basis of a design parameter group including the plurality of design parameters, in order to apply to a method for optimizing a design parameter by repeating determination of the design parameter and production of the product, the in-process product, the half-finished product, the component, or the trial product based on the determined design parameter, in design of the product, the in-process product, the half-finished product, the component, or the trial product, the method including: a data acquisition step of acquiring a plurality of performance data pieces including the design parameter group and an observation value of each of the plurality of characteristic items, for the produced product, in-process product, half-finished product, component, or trial product; a model construction step of constructing a prediction model for the produced product, in-process product
  • a design assistance program is a design assistance program for causing a computer to function as a design assistance device obtaining a plurality of design parameters satisfying a target value set for each of a plurality of characteristic items indicating a characteristic of a product, an in-process product, a half-finished product, a component, or a trial product produced on the basis of a design parameter group including the plurality of design parameters, in order to apply to a method for optimizing a design parameter by repeating determination of the design parameter and production of the product, the in-process product, the half-finished product, the component, or the trial product based on the determined design parameter, in design of the product, the in-process product, the half-finished product, the component, or the trial product, the program for allowing the computer to attain: a data acquisition function of acquiring a plurality of performance data pieces including the design parameter group and an observation value of each of the plurality of characteristic items, for the produced product, in-process product, half-finished product, component, or trial product;
  • the prediction model for predicting the observation value of the characteristic item is constructed on the basis of the performance data. Since such a prediction model predicts the observation value as the probability distribution or the approximate or alternative index thereof, the achievement probability with respect to the target value of the characteristic item can be calculated in accordance with the given design parameter group.
  • the target-oriented acquisition function having the design parameter group as input and the index value relevant to satisfying the target values of all of the characteristic items as output is constructed. Since the target-oriented acquisition function includes the target achievement probability term including the total achievement probability relevant to the achievement of the target values of all of the characteristic items, the total achievement probability is reflected in the index value output from the target-oriented acquisition function. Accordingly, by the optimization using the index value output from the target-oriented acquisition function as an object variable, it is possible to obtain the design parameter group capable of achieving a target relevant to the characteristic item.
  • the design parameter group acquisition unit may acquire at least one design parameter group for optimizing the output of the target-oriented acquisition function.
  • the design parameter group acquisition unit may acquire a plurality of design parameter groups by a predetermined algorithm.
  • the total achievement probability may be an infinite product of an achievement probability with respect to the target value of each of the characteristic items, and the achievement probability with respect to the target value of each of the characteristic items may be based on the probability distribution of the observation value obtained by inputting the design parameter group to the prediction model of each of the characteristic items.
  • the prediction model is configured to output the probability distribution of the observation value, it is possible to obtain the achievement probability of the target value of each of the characteristic items according to the design parameter group. Then, since the total achievement probability calculated by the infinite product of the achievement probability of the target value of each of the characteristic items is included in the target achievement probability term of the target-oriented acquisition function, the total achievement probability is suitably reflected in the index value from the target-oriented acquisition function.
  • the target achievement probability term may include the total achievement probability or a logarithm of the total achievement probability.
  • the target achievement probability term includes the total achievement probability or the logarithm of the total achievement probability
  • the total achievement probability is suitably reflected in the index value from the target-oriented acquisition function.
  • the acquisition function construction unit may construct an acquisition function having the design parameter group as input and the index value of the design parameter group relevant to the improvement of the characteristic indicated in the characteristic item as output, for each of the characteristic items, and the target-oriented acquisition function may further include a term of a weighted sum of the acquisition function of each of the characteristic items.
  • the improvement of the characteristic for each of the characteristic items according to the design parameter group is suitably reflected in the index value from the target-oriented acquisition function.
  • the target-oriented acquisition function may include a sum of the term of the weighted sum of the acquisition function of each of the characteristic items and the target achievement probability term.
  • the degree of improvement of the characteristic for each of the characteristic items and the magnitude of the total achievement probability relevant to the achievement of the target values of all of the characteristic items, according to the design parameter group, are suitably reflected in the index value from the target-oriented acquisition function.
  • the target-oriented acquisition function may include a product of the term of the weighted sum of the acquisition function of each of the characteristic items and the target achievement probability term.
  • the degree of improvement of the characteristic for each of the characteristic items and the magnitude of the total achievement probability relevant to the achievement of the target values of all of the characteristic items, according to the design parameter group, are suitably reflected in the index value from the target-oriented acquisition function.
  • the acquisition function construction unit may construct the acquisition function of each of the characteristic items by any one of lower confidence bound (LCB), expected improvement (EI), and probability of improvement (PI).
  • LLB lower confidence bound
  • EI expected improvement
  • PI probability of improvement
  • the acquisition function suitable for the evaluation of the improvement of the characteristic indicated in each of the characteristic items is constructed.
  • the acquisition function construction unit may construct the acquisition function including a cost value relevant to a cost including at least any one of time and a cost according to the production of the product, the in-process product, the half-finished product, the component, or the trial product, generated in accordance with the design parameter group, the acquisition function for outputting the index value indicating that a degree of suitability of the design parameter group decreases as the cost value increases.
  • the cost according to the production of the product is considered. Accordingly, it is possible to reduce the cost relevant to the production of the product, an experiment, and the like.
  • the prediction model may be a regression model or a classification model having the design parameter group as input and the probability distribution of the observation value as output, and the model construction unit may construct the prediction model by machine learning using the performance data.
  • the prediction model is constructed as a predetermined regression model or classification model, the prediction model capable of acquiring the probability distribution or the approximate or alternative index thereof of the observation value of the characteristic item is obtained.
  • the prediction model may be a machine learning model for predicting the probability distribution or the approximate or alternative index thereof of the observation value, by using any one of a posterior distribution of a prediction value based on a Bayesian theory, a distribution of a prediction value of a predictor configuring an ensemble, a theoretical formula of a prediction interval and a confidence interval of a regression model, a Monte Carlo dropout, and a distribution of a prediction of a plurality of predictors constructed in different conditions.
  • the prediction model capable of predicting the observation value of the characteristic item based on the design parameter group as the probability distribution or the approximate or alternative index thereof is constructed.
  • the optimization of the characteristic of the product or the like configuring the object variable and the design variable in the production process of the product, the in-process product, the half-finished product, the component, or the trial product is available with a low load by fewer experiments.
  • FIG. 1 is a diagram illustrating the outline of a material design process, which is an example of a design process of a product, an in-process product, a half-finished product, a component, or a trial product to which a design assistance device according to an embodiment is applied.
  • a design assistance device 10 can be applied to a design process of any product or the like including a plurality of characteristic items indicating the characteristic of the product or the like and a target value of each of the characteristic items.
  • the design assistance device 10 can be applied to a method for optimizing a design parameter and an object variable of the product or the like by repeating the determination of the design parameter and the production of the product, the in-process product, the half-finished product, the component, or the trial product based on the determined design parameter.
  • the design assistance device 10 for example, can be applied to the design of a product such as a vehicle and a chemical, the optimization of a molecular structure of the chemical, and the like, in addition to the development and the design of the material.
  • design assistance processing of the design assistance device 10 will be described with an example of the material design as an example of the design of the product or the like.
  • the design assistance processing of the design assistance device 10 is applied to material production and an experiment in a plant, a laboratory A, and the like. That is, the material is produced in accordance with a set design parameter group x, in the plant, the laboratory A, and the like, and an observation value y of a plurality of characteristic items indicating the characteristic of the material is acquired on the basis of the produced material.
  • the material production and the experiment in the plant and the laboratory A may be a simulation.
  • the design assistance device 10 provides the design parameter group x for executing the next simulation.
  • the design assistance device 10 optimizes the plurality of characteristic items and the design parameter, on the basis of performance data including the design parameter group x and the observation value y of the plurality of characteristic items of the material produced on the basis of the design parameter group x. Specifically, the design assistance device 10 outputs the design parameter group x for the next production and experiment, with a possibility that a more suitable characteristic is obtained, on the basis of the design parameter group x and the observation value y relevant to the produced material.
  • the design assistance device 10 of this embodiment is applied to achieve a plurality of target characteristics by tuning a plurality of design variables, in the design of a material product.
  • the design assistance device 10 sets the design parameter group such as a compound amount of each of the polymers and additives to the design variable, sets the observation value such as an elastic modulus and a coefficient of thermal expansion, which are the characteristic item, to the object variable, to be used for the tuning of the design parameter group for achieving the target value of the plurality of characteristic items.
  • FIG. 2 is a block diagram illustrating an example of a functional configuration of the design assistance device according to embodiment.
  • the design assistance device 10 is a device obtaining a plurality of design parameters satisfying the target value set for each of the plurality of characteristic items indicating the characteristic of the material, in the design of the material produced on the basis of the design parameter group including the plurality of design parameters.
  • the design assistance device 10 may include function units configured in a processor 101, a design parameter storage unit 21, and an observation value storage unit 22. Each of the function units will be described below.
  • FIG. 3 is a diagram illustrating an example of a hardware configuration of a computer 100 configuring the design assistance device 10 according to the embodiment. Note that, the computer 100 may configure the design assistance device 10.
  • the computer 100 includes the processor 101, a main storage device 102, an auxiliary storage device 103, and a communication control device 104, as a hardware constituent.
  • the computer 100 configuring the design assistance device 10 may further include an input device 105 such as a keyboard, a touch panel, or a mouse, which is an input device, and an output device 106 such as a display.
  • the processor 101 is an arithmetic device executing an operating system and an application program. Examples of the processor include a central processing unit (CPU) and a graphics processing unit (GPU), and the type of processor 101 is not limited thereto.
  • the processor 101 may be a combination of a sensor and a dedicated circuit.
  • the dedicated circuit may be a programmable circuit such as a field-programmable gate array (FPGA), or may be other types of circuits.
  • the main storage device 102 is a device storing a program for attaining the design assistance device 10 or the like, an arithmetic result output from the processor 101, and the like.
  • the main storage device 102 for example, includes at least one of a read only memory (ROM) and a random access memory (RAM).
  • the auxiliary storage device 103 is a device capable of storing more massive amount of data than the main storage device 102.
  • the auxiliary storage device 103 for example, includes a non-volatile storage medium such as a hard disk and a flash memory.
  • the auxiliary storage device 103 stores a design assistance program P1 for allowing the computer 100 to function as the design assistance device 10 or the like, and various data pieces.
  • the communication control device 104 is a device executing data communication with respect to other computers via a communication network.
  • the communication control device 104 for example, includes a network card or a wireless communication module.
  • Each functional element of the design assistance device 10 is attained by reading the corresponding program P1 on the processor 101 or the main storage device 102 to allow the processor 101 to execute the program.
  • the program P1 includes a code for attaining each functional element of the corresponding server.
  • the processor 101 operates the communication control device 104, in accordance with the program P1, to execute data read and write in the main storage device 102 or the auxiliary storage device 103. According to such processing, each functional element of the corresponding server is attained.
  • the program P1 may be provided after being fixedly recorded in a tangible recording medium such as a CD-ROM, a DVD-ROM, and a semiconductor memory. Alternatively, at least one of such programs may be provided as a data signal superimposed on a carrier wave via a communication network.
  • a tangible recording medium such as a CD-ROM, a DVD-ROM, and a semiconductor memory.
  • at least one of such programs may be provided as a data signal superimposed on a carrier wave via a communication network.
  • the design assistance device 10 includes a data acquisition unit 11, a model construction unit 12, an acquisition function construction unit 13, a design parameter group acquisition unit 14, and an output unit 15.
  • the design parameter storage unit 21 and the observation value storage unit 22 may be configured in the design assistance device 10, or may be configured as other devices accessible from the design assistance device 10.
  • the data acquisition unit 11 acquires a plurality of performance data pieces relevant to the produced material.
  • the performance data includes a pair of the design parameter group and the observation value of each of the plurality of characteristic items.
  • the design parameter storage unit 21 is a storage means storing the design parameter group in the performance data, and for example, may be configured in the main storage device 102, the auxiliary storage device 103, and the like.
  • the observation value storage unit 22 is a storage means storing the observation value in the performance data.
  • FIG. 4 is a diagram illustrating an example of the design parameter group stored in the design parameter storage unit 21.
  • the design parameter group x may include a compound amount of a raw material A, a compound amount of a raw material B, and a design parameter d, or may configure vector data with a dimension number according to the number of design parameters.
  • the design parameter may be non-vector data such as a molecular structure and an image, and the like.
  • the design parameter may be data indicating options of the plurality of molecules.
  • FIG. 5 is a diagram illustrating an example of the observation value y stored in the observation value storage unit 22.
  • the characteristic item m may include a glass transition temperature, a bonding adhesive force, and a characteristic item M.
  • a target value y m(target) is set in each of the characteristic items.
  • a pair of the design parameter group xt and the observation value y m,t configure the performance data.
  • the design parameter group x T is a parameter group in which the observation value of each of the characteristic items satisfies each of the target values y m(target) , or a parameter group in which the observation value of each of the characteristic items is closer to each of the target values y m(target) .
  • the model construction unit 12 constructs a prediction model, on the basis of the performance data.
  • the prediction model is a model for predicting the observation value y m of the characteristic item m as a probability distribution or an approximate or alternative index thereof, on the basis of the design parameter group x.
  • a model configuring the prediction model may be a model capable of predicting the observation value y m as the probability distribution or the approximate or alternative index thereof, but the type thereof is not limited.
  • the prediction model for predicting the observation value y m as the alternative index of the probability distribution for example, predicts the probability distribution of the observation value by using a distribution of a prediction value of a predictor configuring an ensemble (a random forest), a distribution obtained by a Monte Carlo dropout (a neural network), a distribution of the prediction of a plurality of predictors constructed in different conditions (any machine learning method), and the like as the alternative index.
  • the prediction model may be a regression model having the design parameter x as input and the probability distribution of the observation value y m as output.
  • the prediction model for example, may include any one of a Gaussian process regression, a random forest, and a neural network.
  • the model construction unit 12 may construct the prediction model by a known machine learning method using the performance data.
  • the model construction unit 12 may construct the prediction model by a machine learning method for updating the parameter of the prediction model by applying the performance data to the prediction model.
  • the prediction model may be a machine learning model for predicting the probability distribution or the approximate or alternative index thereof of the observation value, by using any one of a posterior distribution of a prediction value based on a Bayesian theory, a distribution of a prediction value of a predictor configuring an ensemble, a theoretical formula of a prediction interval and a confidence interval of a regression model, a Monte Carlo dropout, and a distribution of the prediction of a plurality of predictors constructed in different conditions.
  • the prediction of the probability distribution of the observation value, or alternative index thereof can be obtained by a model-specific method.
  • the probability distribution or the approximate or alternative index thereof of the observation value can be obtained on the basis of the posterior distribution of the prediction value in the case of a Gaussian process regression and a Bayesian neural network, can be obtained on the basis of the distribution of the prediction of the predictor configuring the ensemble in the case of a random forest, can be obtained on the basis of the prediction interval and the confidence interval in the case of a linear regression, and can be obtained on the basis of the Monte Carlo dropout in the case of a neural network.
  • a calculation method of the distribution of the observation value with respect to each of the machine learning models, or the alternative index thereof is not limited to the method described above.
  • any model may be expanded to the model capable of predicting the probability distribution of the observation value, or the alternative index thereof.
  • the model include a model using the distribution of the prediction value of each model, which is obtained by constructing a plurality of data sets by a bootstrap method or the like and constructing the prediction model with respect to each of the plurality of data sets, as the alternative index of the probability distribution of the observation value.
  • a method for expanding the machine learning model to the model capable of predicting the probability distribution of the observation value, or the alternative index thereof is not limited to the method described above.
  • the prediction model may be constructed by bagging ensemble learning such as a linear regression, a PLS regression, a Gaussian process regression, and a random forest, boosting ensemble learning such as gradient boosting, a support-vector machine, a neural network, and the like.
  • the probability distribution of the observation value is predicted by inputting the design parameter group x in the performance data configuring an explanatory variable of training data, the observation value y configuring the object variable, and the design parameter x to be predicted to the model.
  • model construction unit 12 may tune the hyperparameter of the prediction model by a known hyperparameter tuning method. That is, the model construction unit 12 may update the hyperparameter of the prediction model constructed by the Gaussian process regression with maximum likelihood estimation using a vector representing the design parameter group x, which is the explanatory variable in the performance data, and the observation value y, which is the object variable.
  • the prediction model may be constructed by a classification model.
  • the model construction unit 12 is capable of constructing the prediction model by a known machine learning method in which the evaluation of the probability distribution using the performance data can be performed.
  • model construction unit 12 constructs the prediction model with a predetermined regression model or classification model, it is possible to acquire the probability distribution of the observation value of the characteristic item, on the basis of any design parameter group x.
  • the prediction model may be a single task model for predicting the observation value of one characteristic item as the probability distribution or the approximate or alternative index thereof, or a multitask model for predicting the observation value of the plurality of characteristic items as the probability distribution or the approximate or alternative index thereof.
  • the prediction model is constructed by the multitask model or the single task model suitably configured in accordance with the properties of the characteristic item, it is possible to improve a prediction accuracy of the observation value by the prediction model.
  • the acquisition function construction unit 13 constructs a target-oriented acquisition function, which is a single acquisition function having the design parameter group as input and an index value of the design parameter group relevant to the improvement of the characteristics indicated in all of the characteristic items as output.
  • the target-oriented acquisition function includes at least a target achievement probability term.
  • the target achievement probability term includes the total achievement probability, which is a probability calculated on the basis of the prediction model by using the design parameter group as a variable.
  • the total achievement probability is a probability that the target values of all of the characteristic items are achieved.
  • the acquisition function construction unit 13 constructs a target-oriented acquisition function A'(x) as represented in Formula (1) described below.
  • a ′ x g P x
  • g(P(x)) is the target achievement probability term. That is, the target-oriented acquisition function A'(x) includes at least the target achievement probability term g(P(x)).
  • the target achievement probability term includes a total achievement probability P(x).
  • P(x) a total achievement probability P(x).
  • the total achievement probability P(x) may be defined as with Formula (2) described below.
  • the target achievement probability term g(P(x)) includes the total achievement probability P(x).
  • the target achievement probability term g(P(x)) may include the total achievement probability P(x) as represented in Formula (3), or may include the logarithm of the total achievement probability P(x) as represented in Formula (4).
  • g P x P x
  • g P x log P v
  • the target achievement probability term may be a term in which the total achievement probability P(x) or the logarithm of the total achievement probability P(x) is further multiplied by a coefficient, or may include a term to which another element is further added.
  • the prediction model is configured to output the probability distribution of the observation value, it is possible to obtain the achievement probability Pm(x) of the target value of each of the characteristic items m according to the design parameter group. Then, since the total achievement probability calculated by the infinite product of the achievement probability Pm(x) of the target value of each of the characteristic items m is included in the target achievement probability term of the target-oriented acquisition function, the total achievement probability is suitably reflected in the index value from the target-oriented acquisition function.
  • the acquisition function construction unit 13 may construct the target-oriented acquisition function including a term of the weighted sum of the acquisition function Am(x) of each of the characteristic items m, in addition to the target achievement probability term.
  • the term of the weighted sum of the acquisition function Am(x), for example, is represented by Formula (5) described below. ⁇ W m Am x
  • w m is a weight with respect to each of the characteristic items m, and may be arbitrarily set in advance.
  • Am(x) and P(x) include two types of functions of a function for which maximization is suitable and a function for which minimization is suitable
  • one of two types of functions may be multiplied by -1 to unify Am(x) and P(x) to the function for which the maximization is suitable or the function for which the minimization is suitable.
  • the acquisition function construction unit 13 may construct the target-oriented acquisition function A'(x) including the sum of the term of the weighted sum of the acquisition function of each of the characteristic items and the target achievement probability term.
  • a ′ x ⁇ W m Am x + g P x
  • the acquisition function construction unit 13 may construct the target-oriented acquisition function A'(x) including the product of the term of the weighted sum of the acquisition function of each of the characteristic items and the target achievement probability term.
  • a ′ x ⁇ W m Am x ⁇ g P x
  • the acquisition function construction unit 13 may construct the acquisition function including the design parameter group as the input variable and the index value of the design parameter group relevant to the improvement of the characteristic indicated in the characteristic item as output, for each of the characteristic items m.
  • the acquisition function construction unit 13 constructs the acquisition function Am(x) for each of the characteristic items m, on the basis of the prediction model.
  • the acquisition function Am(x) is a function including the design parameter group x as the input variable and the index value of the design parameter group relevant to the improvement of the characteristic indicated in each of the characteristic items m as output.
  • the acquisition function is a function for outputting the index value indicating the degree of suitability as a solution of the design parameter group as the explanatory variable (including being close to the optimal solution or being suitable for searching for the optimal solution), in order to improve the observation value of the characteristic item predicted by the prediction model.
  • the acquisition function construction unit 13 may construct the acquisition function by a known function such as lower confidence bound (LCB).
  • LCB lower confidence bound
  • LCB is used in the case of minimizing the output of the function, and a suitable design parameter is obtained by minimizing the value of LCB.
  • the acquisition function construction unit 13 defines and constructs the acquisition function Am(x) as with Formula (9) described below.
  • Am x m x ⁇ a ⁇ x
  • the formula of the acquisition function described above is a formula representing the lower limit of the confidence interval in the case of assuming that the observation value predicted by the prediction model follows a normal distribution
  • m(x) in the formula described above is the average of the predictions
  • ⁇ (x) is the variance of the predictions
  • a is any parameter.
  • m(x) and ⁇ (x) are obtained by inputting the design parameter group x in the performance data configuring the explanatory variable of the training data, the observation value y configuring the object variable, and the design parameter group x to be predicted to a theoretical formula of a posterior distribution of the model of the Gaussian process regression.
  • the acquisition function construction unit 13 may configure the acquisition function Am(x) by a known function such as expected improvement (EI) and probability of improvement (PI).
  • EI expected improvement
  • PI probability of improvement
  • the acquisition function construction unit 13 may construct the acquisition function including a cost function cost(x) in which a cost (time, a cost, and the like) required for the material production and the experiment based on the design parameter group x is defined, for each of the characteristic items.
  • the acquisition function construction unit 13 constructs the acquisition function for outputting the index value indicating that the degree of suitability of the design parameter group x decreases as a cost value calculated by the cost function increases.
  • the acquisition function construction unit 13 constructs the acquisition function for outputting a smaller index value as the cost value calculated by the cost function increases.
  • the acquisition function construction unit 13 may construct an acquisition function Am(x)' as with Formula (10) described below.
  • Am x ′ Am x ⁇ cost x
  • the acquisition function construction unit 13 constructs the acquisition function for outputting a larger index value as the cost value calculated by the cost function increases.
  • the acquisition function construction unit 13 may construct the acquisition function Am(x)' as with Formula (11) described below.
  • Am x ′ Am x + cost x
  • the acquisition function including the cost function is not limited to the example described above, and may include a term for multiplying the index value by the cost function or the cost value, or dividing the index value by the cost function or the cost value.
  • the design parameter group acquisition unit 14 acquires at least one design parameter group by the optimization of the target-oriented acquisition function. Specifically, as an example, the design parameter group acquisition unit 14 may acquire at least one design parameter group for optimizing the output of the target-oriented acquisition function. Specifically, the design parameter group acquisition unit 14 implements optimization including the index value output from the target-oriented acquisition function A'(x) constructed by the acquisition function construction unit 13 as the object variable to acquire the design parameter group x as the optimal solution.
  • the design parameter group acquisition unit 14 may acquire a plurality of design parameter groups by a predetermined algorithm. Specifically, the design parameter group acquisition unit 14 may acquire the plurality of design parameter groups by applying a batch Bayesian optimization method to the target-oriented acquisition function.
  • the batch Bayesian optimization method for example, may be a method such as local penalization, but the method is not limited.
  • the output unit 15 outputs the acquired design parameter group as the design parameter group for the material production for N times subsequent to the (T-1)-th material production.
  • the design parameter group for the material production for a plurality of times may be available to simultaneous experiment and material production.
  • An output mode is not limited, and the output unit 15, for example, outputs the design parameter group candidate by displaying the design parameter group candidate on a predetermined display device or by storing the design parameter group candidate in a predetermined storage means.
  • FIG. 6 is a flowchart illustrating an optimization process of the characteristic item and the design parameter group in the material design.
  • the design parameter group is acquired.
  • the design parameter group to be acquired may be for the initial material production (experiment), may be a design parameter group set arbitrarily, or may be a design parameter group set on the basis of the already performed experiment or the like.
  • step S2 the material production is performed.
  • step S3 the observation value of the characteristic item of the produced material is acquired.
  • the pair of the design parameter group as a production condition in step S2 and the observation value of each of the characteristic items acquired in step S3 configure the performance data.
  • step S4 whether a predetermined end condition is satisfied is determined.
  • the predetermined end condition may be a condition for optimizing the design parameter group and the observation value of the characteristic item, and may be arbitrarily set.
  • the end condition for optimization for example, may be reaching a predetermined number of times of the production (the experiment) and the acquisition of the observation value, reaching the target value of the observation value, the convergence of the optimization, and the like.
  • the optimization process is ended.
  • the process proceeds to step S5.
  • step S5 the design assistance processing of the design assistance device 10 is performed.
  • the design assistance processing is processing of outputting the design parameter group for the next material production. Then, the process returns to step S1 again.
  • step S5 the design parameter group output in step S5 is acquired.
  • FIG. 7 is a flowchart illustrating an example of the contents of a design assistance method in the design assistance device 10 according to the embodiment, and illustrates the processing of step S5 in FIG. 6 .
  • the design assistance method is executed by reading the design assistance program P1 in the processor 101, and executing the program to attain each of the function units 11 to 15.
  • step S11 the data acquisition unit 11 acquires the plurality of performance data pieces relevant to the produced material.
  • the performance data includes the pair of the design parameter group and the observation value of each of the characteristic items.
  • step S12 the model construction unit 12 constructs the prediction model, on the basis of the performance data.
  • the acquisition function construction unit 13 constructs the target-oriented acquisition function having the design parameter group as input and the index value of the design parameter group relevant to the improvement of the characteristics indicated in all of the characteristic items as output.
  • the target-oriented acquisition function includes at least the target achievement probability term.
  • step S14 the design parameter group acquisition unit 14 acquires the design parameter group by performing single objective optimization with respect to the output of the target-oriented acquisition function. Specifically, the design parameter group acquisition unit 14 implements the optimization including the index value output from the target-oriented acquisition function A'(x) constructed by the acquisition function construction unit 13 as the object variable to acquire the design parameter group x as the optimal solution.
  • step S15 the output unit 15 outputs the design parameter group candidate selected in step S14 as the design parameter group for the next material production (step S1).
  • FIG. 8 is a diagram illustrating the configuration of the design assistance program.
  • the design assistance program P1 includes a main module m10 comprehensively controlling the design assistance processing in the design assistance device 10, a data acquisition module m11, a model construction module m12, an acquisition function construction module m13, a design parameter group acquisition module m14, and an output module m15. Then, each function for the data acquisition unit 11, the model construction unit 12, the acquisition function construction unit 13, the design parameter group acquisition unit 14, and the output unit 15 is attained by each of the modules m11 to m15.
  • the design assistance program P1 may be in a mode where the program is transmitted via a transmission medium such as a communication line, or may be in a mode where the program is stored in a recording medium M1, as illustrated in FIG. 8 .
  • the prediction model for predicting the observation value of the characteristic item is constructed on the basis of the performance data. Since such a prediction model predicts the observation value as the probability distribution or the approximate or alternative index thereof, it is possible to calculate the achievement probability with respect to the target value of the characteristic item, in accordance with the given design parameter group.
  • the target-oriented acquisition function having the design parameter group as input and the index value relevant to satisfying the target values of all of the characteristic items as output is constructed. Since the target-oriented acquisition function includes the target achievement probability term including the total achievement probability relevant to the achievement of the target values of all of the characteristic items, the total achievement probability is reflected in the index value output from the target-oriented acquisition function. Accordingly, by the optimization using the index value output from the target-oriented acquisition function as the object variable, it is possible to obtain the design parameter group capable of achieving a target relevant to the characteristic item.
  • 10 design assistance device
  • 11 data acquisition unit
  • 12 model construction unit
  • 13 acquisition function construction unit
  • 14 design parameter group acquisition unit
  • 15 output unit
  • 21 design parameter storage unit
  • 22 observation value storage unit
  • 100: computer 101: processor
  • 102 main storage device
  • 103 auxiliary storage device
  • 104 communication control device
  • 105 input device
  • 106 output device
  • M1 recording medium
  • m10 main module
  • m11 data acquisition module
  • m12 model construction module
  • m13 acquisition function construction module
  • m14 design parameter group acquisition module
  • m15 output module
  • P1 design assistance program.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Business, Economics & Management (AREA)
  • Theoretical Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Human Resources & Organizations (AREA)
  • Evolutionary Computation (AREA)
  • Economics (AREA)
  • Strategic Management (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Tourism & Hospitality (AREA)
  • General Business, Economics & Management (AREA)
  • Entrepreneurship & Innovation (AREA)
  • Marketing (AREA)
  • Artificial Intelligence (AREA)
  • Medical Informatics (AREA)
  • Software Systems (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Game Theory and Decision Science (AREA)
  • Operations Research (AREA)
  • Development Economics (AREA)
  • Quality & Reliability (AREA)
  • Computational Mathematics (AREA)
  • Pure & Applied Mathematics (AREA)
  • Mathematical Optimization (AREA)
  • Mathematical Analysis (AREA)
  • Primary Health Care (AREA)
  • Manufacturing & Machinery (AREA)
  • Health & Medical Sciences (AREA)
  • General Health & Medical Sciences (AREA)
  • Educational Administration (AREA)
  • Management, Administration, Business Operations System, And Electronic Commerce (AREA)
EP21903181.2A 2020-12-10 2021-11-24 Designhilfsvorrichtung, designhilfsverfahren und designhilfsprogramm Pending EP4246364A4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2020205057A JP2022092308A (ja) 2020-12-10 2020-12-10 設計支援装置、設計支援方法及び設計支援プログラム
PCT/JP2021/042994 WO2022124077A1 (ja) 2020-12-10 2021-11-24 設計支援装置、設計支援方法及び設計支援プログラム

Publications (2)

Publication Number Publication Date
EP4246364A1 true EP4246364A1 (de) 2023-09-20
EP4246364A4 EP4246364A4 (de) 2024-05-15

Family

ID=81974440

Family Applications (1)

Application Number Title Priority Date Filing Date
EP21903181.2A Pending EP4246364A4 (de) 2020-12-10 2021-11-24 Designhilfsvorrichtung, designhilfsverfahren und designhilfsprogramm

Country Status (6)

Country Link
US (1) US20240028796A1 (de)
EP (1) EP4246364A4 (de)
JP (1) JP2022092308A (de)
KR (1) KR20230112663A (de)
CN (1) CN116569172A (de)
WO (1) WO2022124077A1 (de)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022092297A (ja) * 2020-12-10 2022-06-22 昭和電工マテリアルズ株式会社 設計支援装置、設計支援方法及び設計支援プログラム
JP2022092308A (ja) * 2020-12-10 2022-06-22 昭和電工マテリアルズ株式会社 設計支援装置、設計支援方法及び設計支援プログラム
JP2024018309A (ja) * 2022-07-29 2024-02-08 株式会社レゾナック 設計支援装置、設計支援方法及び設計支援プログラム

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU2020101453A4 (en) * 2020-07-23 2020-08-27 China Communications Construction Co., Ltd. An Intelligent Optimization Method of Durable Concrete Mix Proportion Based on Data mining
US20240028796A1 (en) * 2020-12-10 2024-01-25 Resonac Corporation Design assistance device, design assistance method, and design assistance program

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5997950B2 (ja) * 2012-06-27 2016-09-28 株式会社日立製作所 設計支援装置
JP2016045536A (ja) * 2014-08-20 2016-04-04 株式会社日立製作所 設計支援装置
JP6544005B2 (ja) * 2015-04-08 2019-07-17 横浜ゴム株式会社 構造体の近似モデル作成方法、構造体の近似モデル作成装置、およびプログラム
JP6918681B2 (ja) * 2017-11-01 2021-08-11 株式会社日立製作所 設計支援装置及び設計支援方法
JP7018004B2 (ja) 2018-09-27 2022-02-09 株式会社神戸製鋼所 製品設計装置および該方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU2020101453A4 (en) * 2020-07-23 2020-08-27 China Communications Construction Co., Ltd. An Intelligent Optimization Method of Durable Concrete Mix Proportion Based on Data mining
US20240028796A1 (en) * 2020-12-10 2024-01-25 Resonac Corporation Design assistance device, design assistance method, and design assistance program

Non-Patent Citations (5)

* Cited by examiner, † Cited by third party
Title
ABHIJITH M. GOPAKUMAR ET AL: "Multi-objective Optimization for Materials Discovery via Adaptive Design", SCIENTIFIC REPORTS, vol. 8, no. 1, 27 February 2018 (2018-02-27), XP055735052, DOI: 10.1038/s41598-018-21936-3 *
AKSHAY IYER ET AL: "Data-Centric Mixed-Variable Bayesian Optimization For Materials Design", ARXIV.ORG, CORNELL UNIVERSITY LIBRARY, 201 OLIN LIBRARY CORNELL UNIVERSITY ITHACA, NY 14853, 4 July 2019 (2019-07-04), XP081438269 *
BYUN J -E: "Efficient probabilistic multi-objective optimization of complex systems using matrix-based Bayesian network", RELIABILITY ENGINEERING AND SYSTEM SAFETY 20200801 ELSEVIER LTD GBR, vol. 200, 1 August 2020 (2020-08-01), XP002811279, DOI: 10.1016/J.RESS.2020.106899 *
See also references of WO2022124077A1 *
SOLOMOU ALEXANDROS ET AL: "Multi-objective Bayesian materials discovery: Application on the discovery of precipitation strengthened NiTi shape memory alloys through micromechanical modeling", MATERIALS & DESIGN, ELSEVIER, AMSTERDAM, NL, vol. 160, 10 October 2018 (2018-10-10), pages 810 - 827, XP085558168, ISSN: 0264-1275, DOI: 10.1016/J.MATDES.2018.10.014 *

Also Published As

Publication number Publication date
EP4246364A4 (de) 2024-05-15
JP2022092308A (ja) 2022-06-22
CN116569172A (zh) 2023-08-08
US20240028796A1 (en) 2024-01-25
WO2022124077A1 (ja) 2022-06-16
KR20230112663A (ko) 2023-07-27

Similar Documents

Publication Publication Date Title
EP4246364A1 (de) Designhilfsvorrichtung, designhilfsverfahren und designhilfsprogramm
EP4246363A1 (de) Entwurfsunterstützungsvorrichtung, entwurfsunterstützungsverfahren und entwurfsunterstützungsprogramm
Češka et al. PRISM-PSY: precise GPU-accelerated parameter synthesis for stochastic systems
Schneider et al. Learning stochastic closures using ensemble Kalman inversion
Singh et al. A sequential sampling strategy for adaptive classification of computationally expensive data
US11656787B2 (en) Calculation system, information processing device, and optimum solution search process method
US20240037430A1 (en) Information processing system
EP2515260A1 (de) Informationsverarbeitungsvorrichtung, informationsverarbeitungsverfahren und speichermedium
EP4318337A1 (de) Designhilfsvorrichtung, designhilfsverfahren und designhilfsprogramm
Collins et al. Bayesian projection pursuit regression
Assou et al. A reduced model using random forest: application on car crash optimization
Chu et al. Application of Latin hypercube sampling based kriging surrogate models in reliability assessment
Furer et al. Smoothing spline regression estimation based on real and artificial data
WO2024024957A1 (ja) 設計支援装置、設計支援方法及び設計支援プログラム
Blanchet-Scalliet et al. Four algorithms to construct a sparse kriging kernel for dimensionality reduction
WO2023080179A1 (ja) 設計支援装置、設計支援方法及び設計支援プログラム
Johnston et al. Comparison of machine learning methods emulating process driven crop models
US20130325786A1 (en) Sparse higher-order markov random field
JP2024011665A (ja) 設計支援装置、設計支援方法及び設計支援プログラム
Zavalishchin et al. Construction of Confidence Sets for Markov Chain Model
US11494247B2 (en) Model generation apparatus, model generation method, and non-transitory storage medium
US20240047018A1 (en) Information processing system, information processing method, and storage medium
Quaranta et al. From Component Reduced Models to Reduced Modelling of Multi-Component Systems
Faes et al. First Excursion Probability Bounds under Imprecise Stochastic Loading
He et al. Incremental Neural Controlled Differential Equations for Modeling of Path-dependent Materials

Legal Events

Date Code Title Description
STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: THE INTERNATIONAL PUBLICATION HAS BEEN MADE

PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: REQUEST FOR EXAMINATION WAS MADE

17P Request for examination filed

Effective date: 20230614

AK Designated contracting states

Kind code of ref document: A1

Designated state(s): AL AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HR HU IE IS IT LI LT LU LV MC MK MT NL NO PL PT RO RS SE SI SK SM TR

DAV Request for validation of the european patent (deleted)
DAX Request for extension of the european patent (deleted)
RAP3 Party data changed (applicant data changed or rights of an application transferred)

Owner name: RESONAC CORPORATION

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: EXAMINATION IS IN PROGRESS

A4 Supplementary search report drawn up and despatched

Effective date: 20240416

RIC1 Information provided on ipc code assigned before grant

Ipc: G06Q 10/0631 20230101ALN20240409BHEP

Ipc: G06F 111/08 20200101ALN20240409BHEP

Ipc: G06F 111/06 20200101ALN20240409BHEP

Ipc: G06Q 50/04 20120101ALI20240409BHEP

Ipc: G06Q 10/04 20120101ALI20240409BHEP

Ipc: G06F 30/10 20200101ALI20240409BHEP

Ipc: G06F 30/27 20200101AFI20240409BHEP

17Q First examination report despatched

Effective date: 20240426