WO2022124077A1 - 設計支援装置、設計支援方法及び設計支援プログラム - Google Patents

設計支援装置、設計支援方法及び設計支援プログラム Download PDF

Info

Publication number
WO2022124077A1
WO2022124077A1 PCT/JP2021/042994 JP2021042994W WO2022124077A1 WO 2022124077 A1 WO2022124077 A1 WO 2022124077A1 JP 2021042994 W JP2021042994 W JP 2021042994W WO 2022124077 A1 WO2022124077 A1 WO 2022124077A1
Authority
WO
WIPO (PCT)
Prior art keywords
design
parameter group
design parameter
acquisition function
target
Prior art date
Application number
PCT/JP2021/042994
Other languages
English (en)
French (fr)
Inventor
恭平 花岡
Original Assignee
昭和電工マテリアルズ株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 昭和電工マテリアルズ株式会社 filed Critical 昭和電工マテリアルズ株式会社
Priority to KR1020237020286A priority Critical patent/KR20230112663A/ko
Priority to CN202180082652.2A priority patent/CN116569172A/zh
Priority to EP21903181.2A priority patent/EP4246364A4/en
Priority to US18/256,452 priority patent/US20240028796A1/en
Publication of WO2022124077A1 publication Critical patent/WO2022124077A1/ja

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • G06F30/27Design optimisation, verification or simulation using machine learning, e.g. artificial intelligence, neural networks, support vector machines [SVM] or training a model
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06QINFORMATION AND COMMUNICATION TECHNOLOGY [ICT] SPECIALLY ADAPTED FOR ADMINISTRATIVE, COMMERCIAL, FINANCIAL, MANAGERIAL OR SUPERVISORY PURPOSES; SYSTEMS OR METHODS SPECIALLY ADAPTED FOR ADMINISTRATIVE, COMMERCIAL, FINANCIAL, MANAGERIAL OR SUPERVISORY PURPOSES, NOT OTHERWISE PROVIDED FOR
    • G06Q50/00Information and communication technology [ICT] specially adapted for implementation of business processes of specific business sectors, e.g. utilities or tourism
    • G06Q50/04Manufacturing
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/10Geometric CAD
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06QINFORMATION AND COMMUNICATION TECHNOLOGY [ICT] SPECIALLY ADAPTED FOR ADMINISTRATIVE, COMMERCIAL, FINANCIAL, MANAGERIAL OR SUPERVISORY PURPOSES; SYSTEMS OR METHODS SPECIALLY ADAPTED FOR ADMINISTRATIVE, COMMERCIAL, FINANCIAL, MANAGERIAL OR SUPERVISORY PURPOSES, NOT OTHERWISE PROVIDED FOR
    • G06Q10/00Administration; Management
    • G06Q10/04Forecasting or optimisation specially adapted for administrative or management purposes, e.g. linear programming or "cutting stock problem"
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06QINFORMATION AND COMMUNICATION TECHNOLOGY [ICT] SPECIALLY ADAPTED FOR ADMINISTRATIVE, COMMERCIAL, FINANCIAL, MANAGERIAL OR SUPERVISORY PURPOSES; SYSTEMS OR METHODS SPECIALLY ADAPTED FOR ADMINISTRATIVE, COMMERCIAL, FINANCIAL, MANAGERIAL OR SUPERVISORY PURPOSES, NOT OTHERWISE PROVIDED FOR
    • G06Q10/00Administration; Management
    • G06Q10/06Resources, workflows, human or project management; Enterprise or organisation planning; Enterprise or organisation modelling
    • G06Q10/063Operations research, analysis or management
    • G06Q10/0631Resource planning, allocation, distributing or scheduling for enterprises or organisations
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2111/00Details relating to CAD techniques
    • G06F2111/06Multi-objective optimisation, e.g. Pareto optimisation using simulated annealing [SA], ant colony algorithms or genetic algorithms [GA]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2111/00Details relating to CAD techniques
    • G06F2111/08Probabilistic or stochastic CAD

Definitions

  • One aspect of this disclosure relates to design support devices, design support methods and design support programs.
  • the present invention has been made in view of the above problems, and optimizes the characteristics and design variables of the products constituting the objective variables in the manufacturing process of products, work-in-process, semi-finished products, parts or prototypes.
  • the purpose is to enable a low load with a smaller number of experiments.
  • the design support device is determined to determine the design parameters in the design of a product, a work-in-progress, a semi-finished product, a part or a prototype manufactured based on a design parameter group consisting of a plurality of design parameters.
  • Products, work-in-process, semi-finished products, parts or prototypes to be applied to the method of optimizing design parameters by repeating the production of products, work-in-process, semi-finished products, parts or prototypes based on the design parameters.
  • a design support device that obtains multiple design parameters that satisfy the target values set for each of the multiple characteristic items that indicate characteristics, and is related to manufactured products, in-process products, semi-finished products, parts, or prototypes.
  • a data acquisition unit that acquires multiple actual data consisting of the observation values of the design parameter group and multiple characteristic items, and predicts the observation values of the characteristic items as a probability distribution or its approximation or an alternative index based on the design parameter group.
  • a model construction unit that builds the prediction model based on actual data, and a single acquisition function that inputs the design parameter group and outputs the index value of the design parameter group related to the improvement of the characteristics shown in all the characteristic items.
  • It is an acquisition function construction unit that constructs a certain target-oriented acquisition function.
  • the target-oriented acquisition function is the probability that the target values of all characteristic items are achieved, and is calculated using the design parameter group as a variable based on the prediction model.
  • An acquisition function construction unit that includes at least a target achievement probability term that includes the overall achievement probability, a design parameter group acquisition unit that acquires at least one design parameter group by optimizing the target-oriented acquisition function, and a design parameter group. It includes an output unit that outputs the design parameter group acquired by the acquisition unit.
  • the design support method is determined to determine the design parameters in the design of a product, a work-in-progress, a semi-finished product, a part or a prototype manufactured based on a design parameter group consisting of a plurality of design parameters.
  • Products, work-in-process, semi-finished products, parts or prototypes to be applied to the method of optimizing design parameters by repeating the production of products, work-in-process, semi-finished products, parts or prototypes based on the design parameters.
  • a design support method in a design support device that obtains multiple design parameters that satisfy the target values set for each of the multiple characteristic items that indicate the characteristics, such as manufactured products, work-in-progress products, semi-finished products, parts, or
  • a data acquisition step for acquiring a plurality of actual data consisting of a design parameter group and observation values of each of a plurality of characteristic items for a prototype, and a probability distribution or an approximation thereof of the observation values of the characteristic items based on the design parameter group.
  • a single model construction step that builds a prediction model to be predicted as an alternative index based on actual data, and a single output that inputs the design parameter group and outputs the index value of the design parameter group related to the improvement of the characteristics shown in all characteristic items.
  • the target-oriented acquisition function is the probability that the target values of all characteristic items are achieved, and the design parameter group is set based on the prediction model.
  • An acquisition function construction step that includes at least a target achievement probability term that includes an overall achievement probability that is a probability calculated as a variable, and a design parameter group acquisition step that acquires at least one design parameter group by optimizing the target-oriented acquisition function. , And an output step to output the design parameter group acquired in the design parameter group acquisition step.
  • the design support program determines design parameters in the design of a product, work-in-progress, semi-finished product, part or prototype of a computer manufactured based on a design parameter group consisting of a plurality of design parameters.
  • a design parameter group consisting of a plurality of design parameters.
  • a data acquisition function that acquires multiple actual data consisting of design parameter groups and observation values of each of multiple characteristic items for products, work-in-progress, semi-finished products, parts, or prototypes, and characteristic items based on the design parameter group.
  • a model construction function that builds a prediction model that predicts the observed value of the above as a probability distribution or its approximation or an alternative index based on actual data, and a design parameter related to the improvement of the characteristics shown in all the characteristic items by inputting the design parameter group.
  • It is an acquisition function construction unit that constructs a target-oriented acquisition function that is a single acquisition function that outputs the index value of the group, and the target-oriented acquisition function is the probability that the target values of all characteristic items will be achieved.
  • At least one design parameter by optimizing the acquisition function construction function and the target-oriented acquisition function, which includes at least the target achievement probability term including the overall achievement probability, which is the probability calculated using the design parameter group as a variable based on the prediction model.
  • a design parameter group acquisition function for acquiring a group and an output function for outputting a design parameter group acquired by the design parameter group acquisition function are realized.
  • a prediction model that predicts the observed values of characteristic items based on actual data is constructed. Since this prediction model predicts the observed value as a probability distribution or an approximation thereof or an alternative index, it is possible to calculate the achievement probability for the target value of the characteristic item according to the given design parameter group.
  • a goal-oriented acquisition function is constructed in which the design parameter group is input and the index value related to the satisfaction of the target value of all the characteristic items is output. Since this goal-oriented acquisition function includes a target achievement probability term including the overall achievement probability regarding the achievement of the target value of all characteristic items, the index value output from the target-oriented acquisition function reflects the overall achievement probability. .. Therefore, by optimizing the index value output from the target-oriented acquisition function as the objective variable, it is possible to obtain a design parameter group in which the target can be achieved for the characteristic item.
  • the design parameter group acquisition unit may acquire at least one design parameter group that optimizes the output of the target-oriented acquisition function.
  • the design parameter group acquisition unit may acquire a plurality of design parameter groups by a predetermined algorithm.
  • the overall achievement probability is the total power of the achievement probability for the target value of each characteristic item, and the achievement probability for the target value of each characteristic item predicts the design parameter group for each characteristic item. It may be based on the probability distribution of the observed values obtained by inputting to the model.
  • the prediction model is configured to output the probability distribution of the observed values, it is possible to obtain the achievement probability of the target value of each characteristic item according to the design parameter group. Then, since the total achievement probability calculated by the total power of the achievement probability of the target value of each characteristic item is included in the target achievement probability term of the target-oriented acquisition function, the overall achievement probability is included in the index value from the target-oriented acquisition function. It will be reflected properly.
  • the target achievement probability term may consist of the total achievement probability or the logarithm of the total achievement probability.
  • the goal achievement probability term consists of the total achievement probability or the logarithm of the total achievement probability
  • the total achievement probability is appropriately reflected in the index value from the goal-oriented acquisition function.
  • the acquisition function construction unit constructs an acquisition function for each characteristic item, which inputs the design parameter group and outputs the index value of the design parameter group related to the improvement of the characteristic shown in the characteristic item.
  • the target-oriented acquisition function may further include a term of the weighted sum of the acquisition functions of each characteristic item.
  • the improvement of the characteristics of each characteristic item according to the design parameter group can be improved from the target-oriented acquisition function. Appropriately reflected in the index value.
  • the goal-oriented acquisition function may include the sum of the weighted sum term and the target achievement probability term of the acquisition function of each characteristic item.
  • the degree of improvement of the characteristics for each characteristic item and the magnitude of the overall achievement probability for achieving the target values of all the characteristic items according to the design parameter group are indicators from the target-oriented acquisition function. Appropriately reflected in the value.
  • the goal-oriented acquisition function may include the product of the weighted sum term and the target achievement probability term of the acquisition function of each characteristic item.
  • the degree of improvement of the characteristics for each characteristic item and the magnitude of the overall achievement probability for achieving the target values of all the characteristic items according to the design parameter group are indicators from the target-oriented acquisition function. Appropriately reflected in the value.
  • the acquisition function construction unit constructs an acquisition function for each characteristic item by any one of LCB (Lower Confidence Bound), EI (Expected Confidence), and PI (Probability of Improvement). You may do it.
  • LCB Lower Confidence Bound
  • EI Exected Confidence
  • PI Probability of Improvement
  • an acquisition function suitable for evaluating the improvement of the characteristics shown in each characteristic item is constructed.
  • the acquisition function construction unit is at least one of the time and cost related to the production of the product, work in process, semi-finished product, part or prototype generated according to the design parameter group. It is also possible to construct an acquisition function that includes a cost value related to the cost including and outputs an index value indicating that the larger the cost value is, the more suitable the design parameter group is reduced.
  • the cost of manufacturing the product is taken into consideration when acquiring the design parameter group. Therefore, it is possible to reduce the cost related to the production of the product and the experiment.
  • the prediction model is a regression model or classification model that inputs a design parameter group and outputs a probability distribution of observed values
  • the model construction unit uses machine learning using actual data. May be used to build a prediction model.
  • the prediction model is constructed as a predetermined regression model or classification model, a prediction model capable of obtaining a probability distribution of observed values of characteristic items or an approximation thereof or an alternative index can be obtained.
  • the prediction model is the posterior distribution of the prediction values based on Bayesian theory, the distribution of the prediction values of the predictors constituting the ensemble, the theoretical formulas of the prediction interval and the confidence interval of the regression model, and Monte Carlo Drop. It may be a machine learning model that predicts a probability distribution of observed values or an approximation thereof or an alternative index using any one of out and the prediction distributions of a plurality of predictors constructed under different conditions. ..
  • a prediction model that can be predicted as a probability distribution of observed values of characteristic items based on a design parameter group or an approximation thereof or an alternative index is constructed.
  • the optimization of the characteristics and design variables of the products constituting the objective variables in the manufacturing process of products, work-in-process, semi-finished products, parts or prototypes can be performed with less load by using a smaller number of experiments. Make it possible.
  • FIG. 1 is a diagram showing an outline of a material design process which is an example of a product, work-in-process, semi-finished product, part, or prototype design process to which the design support device according to the embodiment is applied.
  • products, work in process, semi-finished products, parts or prototypes will be referred to as “products, etc.”
  • the design support device 10 of the present embodiment can be applied to a process of designing any product or the like having a plurality of characteristic items indicating the characteristics of the product or the like and a target value of each characteristic item.
  • the design support device 10 is a method for optimizing design parameters and objective variables of a product or the like by repeating the determination of design parameters and the production of products, work-in-process, semi-finished products, parts or prototypes based on the determined design parameters. Can be applied to. Specifically, the design support device 10 can be applied not only to the development and design of materials, but also to, for example, the design of products such as automobiles and chemicals, and the optimization of the molecular structure of chemicals. In this embodiment, as described above, the design support process by the design support device 10 will be described by the example of the material design as an example of the design of the product or the like.
  • the design support process by the design support device 10 is applied to the production and experiment of materials in the plant, laboratory A and the like. That is, a material is produced in a plant, a laboratory A, or the like by the set design parameter group x, and observation values y of a plurality of characteristic items indicating the characteristics of the material are acquired based on the produced material.
  • the material preparation and experiment in the plant and the laboratory A may be a simulation.
  • the design support device 10 provides a design parameter group x for executing the next simulation.
  • the design support device 10 optimizes a plurality of characteristic items and design parameters based on actual data consisting of observation values y of a plurality of characteristic items of the material produced based on the design parameter group x and the design parameter group x. conduct. Specifically, the design support device 10 may obtain more suitable properties for the next fabrication and experiment based on the design parameter group x and the observed value y for the manufactured material. Output the group x.
  • the design support device 10 of the present embodiment is applied for the purpose of tuning a plurality of design variables and achieving a plurality of target characteristics in the design of a material product.
  • a design parameter group such as a blending amount of each polymer and the additive as a design variable and has characteristics. It is used for tuning a group of design parameters that achieves the target values of multiple characteristic items, with the observed values of elastic modulus and coefficient of thermal expansion, which are items, as objective variables.
  • FIG. 2 is a block diagram showing an example of the functional configuration of the design support device according to the embodiment.
  • the design support device 10 has a plurality of target values set for each of the plurality of characteristic items indicating the characteristics of the material in the design of the material manufactured based on the design parameter group consisting of the plurality of design parameters. It is a device that obtains design parameters.
  • the design support device 10 may include a functional unit, a design parameter storage unit 21, and an observation value storage unit 22 configured in the processor 101. Each functional part will be described later.
  • FIG. 3 is a diagram showing an example of the hardware configuration of the computer 100 constituting the design support device 10 according to the embodiment.
  • the computer 100 may configure the design support device 10.
  • the computer 100 includes a processor 101, a main storage device 102, an auxiliary storage device 103, and a communication control device 104 as hardware components.
  • the computer 100 constituting the design support device 10 may further include an input device 105 such as a keyboard, a touch panel, and a mouse, which are input devices, and an output device 106 such as a display.
  • the processor 101 is an arithmetic unit that executes an operating system and an application program. Examples of the processor include a CPU (Central Processing Unit) and a GPU (Graphics Processing Unit), but the type of the processor 101 is not limited to these.
  • the processor 101 may be a combination of a sensor and a dedicated circuit.
  • the dedicated circuit may be a programmable circuit such as FPGA (Field-Programmable Gate Array), or may be another type of circuit.
  • the main storage device 102 is a device that stores a program for realizing the design support device 10 and the like, a calculation result output from the processor 101, and the like.
  • the main storage device 102 is composed of, for example, at least one of a ROM (Read Only Memory) and a RAM (Random Access Memory).
  • the auxiliary storage device 103 is a device capable of storing a larger amount of data than the main storage device 102 in general.
  • the auxiliary storage device 103 is composed of a non-volatile storage medium such as a hard disk or a flash memory.
  • the auxiliary storage device 103 stores the design support program P1 for making the computer 100 function as the design support device 10 and the like, and various data.
  • the communication control device 104 is a device that executes data communication with another computer via a communication network.
  • the communication control device 104 is composed of, for example, a network card or a wireless communication module.
  • Each functional element of the design support device 10 is realized by loading the corresponding program P1 on the processor 101 or the main storage device 102 and causing the processor 101 to execute the program.
  • the program P1 contains a code for realizing each functional element of the corresponding server.
  • the processor 101 operates the communication control device 104 according to the program P1 to read and write data in the main storage device 102 or the auxiliary storage device 103. By such processing, each functional element of the corresponding server is realized.
  • the program P1 may be provided after being fixedly recorded on a tangible recording medium such as a CD-ROM, a DVD-ROM, or a semiconductor memory. Alternatively, at least one of these programs may be provided via a communication network as a data signal superimposed on a carrier wave.
  • the design support device 10 includes a data acquisition unit 11, a model construction unit 12, an acquisition function construction unit 13, a design parameter group acquisition unit 14, and an output unit 15.
  • the design parameter storage unit 21 and the observation value storage unit 22 may be configured in the design support device 10 or as other devices accessible from the design support device 10. ..
  • the data acquisition unit 11 acquires a plurality of actual data regarding the manufactured material.
  • the actual data consists of a pair of design parameter groups and observation values of each of a plurality of characteristic items.
  • the design parameter storage unit 21 is a storage means for storing the design parameter group in the actual data, and may be configured in, for example, a main storage device 102, an auxiliary storage device 103, or the like.
  • the observation value storage unit 22 is a storage means for storing the observation values in the actual data.
  • FIG. 4 is a diagram showing an example of a design parameter group stored in the design parameter storage unit 21.
  • the design parameter group x may include the blending amount of the raw material A, the blending amount of the raw material B, and the design parameter d, and can form vector data having a number of dimensions according to the number of design parameters.
  • the design parameters may be, for example, non-vector data such as a molecular structure and an image, in addition to those exemplified. Further, when dealing with the problem of selecting the optimum molecule from the types of a plurality of molecules, the design parameter may be data indicating an option among the plurality of molecules.
  • FIG. 5 is a diagram showing an example of the observed value y stored in the observed value storage unit 22.
  • the characteristic item m may include, for example, the glass transition temperature, the adhesive force, and the characteristic item M.
  • a target value ym (target) is set for each characteristic item.
  • the pair of the design parameter group x t and the observed values ym , t constitutes the actual data.
  • the design parameter group x T is a parameter group in which the observed value of each characteristic item satisfies each target value ym (target) , or the observed value of each characteristic item is based on each target value ym (target). It is a group of parameters that come close to each other.
  • the model building unit 12 builds a prediction model based on actual data.
  • the prediction model is a model that predicts the observed value ym of the characteristic item m as a probability distribution or an approximation thereof or an alternative index based on the design parameter group x.
  • the model constituting the prediction model may be any model as long as it can be predicted using the observed value ym as a probability distribution or an approximation thereof or an alternative index, and the type is not limited.
  • the prediction model that predicts the observed value ym as an alternative index of the probability distribution is, for example, the distribution of the predicted values of the predictors constituting the ensemble (random forest), the distribution obtained by the Monte Carlo dropout (neural network), and under different conditions. Predict the probability distribution of observed values using the distribution of predictions of multiple predictors (arbitrary machine learning method) as an alternative index.
  • the prediction model may be a regression model in which the design parameter x is input and the probability distribution of the observed value ym is output.
  • the prediction model may be composed of any one of regression models such as Gaussian process regression, random forest and neural network.
  • the model building unit 12 may build a prediction model by a well-known machine learning method using actual data.
  • the model building unit 12 may build a prediction model by a machine learning method that applies actual data to the prediction model and updates the parameters of the prediction model.
  • prediction models under different conditions, such as the posterior distribution of predicted values based on Bayesian theory, the distribution of predicted values of predictors constituting the ensemble, the theoretical formulas of the prediction interval and confidence interval of the regression model, and the Monte Carlo dropout. It may be a machine learning model that predicts a probability distribution of observed values or an approximation thereof or an alternative index using any one of the prediction distributions of the individually constructed predictors. The probability distribution of the observed values, or the prediction of its alternative index, can be obtained by a model-specific method.
  • the probability distribution of the observed values or its approximation or alternative index is based on the posterior distribution of the predicted values in the case of Gaussian process regression and Basilian neural networks, and in the case of random forests, based on the distribution of the predictions of the predictors that make up the ensemble.
  • linear regression it can be obtained based on the prediction interval and confidence interval, and in the case of neural network, it can be obtained based on the Monte Carlo dropout.
  • the method of calculating the distribution of observed values for each machine learning model or its alternative index is not limited to the above method.
  • any model may be extended to a model that can predict the probability distribution of observed values or its alternative index.
  • a model that uses the distribution of predicted values of each model as an alternative index to the probability distribution of observed values which is obtained by constructing a plurality of data sets by the bootstrap method and constructing a prediction model for each.
  • an example is given.
  • the method of extending the machine learning model to a model capable of predicting the probability distribution of observed values or its alternative index is not limited to the above method.
  • the prediction model may be constructed by linear regression, PLS regression, Gaussian process regression, bagging ensemble learning such as random forest, boosting ensemble learning such as gradient boosting, support vector machine, neural network, or the like.
  • the design parameter group x in the actual data constituting the explanatory variables of the teacher data, the observation value y constituting the objective variable, and the design parameter x to be predicted are input to the model.
  • the probability distribution of the observed values is predicted.
  • the model construction unit 12 may tune the hyperparameters of the prediction model by a well-known hyperparameter tuning method. That is, the model building unit 12 is a hyper of the prediction model constructed by Gaussian process regression by maximum likelihood estimation using the vector representing the design parameter group x which is the explanatory variable in the actual data and the observation value y which is the objective variable. You may update the parameters.
  • the prediction model may be constructed by a classification model.
  • the model construction unit 12 can construct the prediction model by a machine learning method capable of evaluating a well-known probability distribution using actual data.
  • the prediction model is a single-task model that predicts the observed value of one characteristic item as a probability distribution or its approximation or an alternative index, or predicts the observed value of a plurality of characteristic items as a probability distribution or its approximation or an alternative index. It may be a multitasking model. In this way, by constructing a prediction model using a multitasking model or a singletasking model appropriately configured according to the nature of the characteristic item, the accuracy of prediction of the observed value by the prediction model can be improved.
  • the acquisition function construction unit 13 constructs a target-oriented acquisition function which is a single acquisition function that inputs a design parameter group and outputs an index value of the design parameter group related to the improvement of the characteristics shown in all the characteristic items.
  • the goal-oriented acquisition function includes at least a goal achievement probability term.
  • the target achievement probability term includes the overall achievement probability, which is the probability calculated with the design parameter group as a variable based on the prediction model.
  • the overall achievement probability is the probability that the target values of all the characteristic items will be achieved.
  • the acquisition function construction unit 13 constructs the goal-oriented acquisition function A'(x) as shown in the following equation (1).
  • A'(x) g (P (x)) ...
  • g (P (x)) is a target achievement probability term. That is, the goal-oriented acquisition function A'(x) includes at least the goal achievement probability term g (P (x)).
  • the target achievement probability term includes the overall achievement probability P (x).
  • the overall achievement probability P (x) may be defined by the following equation (2), assuming that the target achievement events of each characteristic item are independent of each other.
  • the prediction model can predict the probability distribution of the observed values of the characteristic items based on the design parameter group x
  • the achievement probability Pm (x) of each characteristic item is the design parameter group x using the prediction model of each characteristic item. It can be expressed as a function that is used as an input variable.
  • the overall achievement probability P (x) may be expressed as a function using the design parameter group x based on the prediction model of all the characteristic items as an input variable without calculating the achievement probability of each characteristic item. ..
  • the target achievement probability term g (P (x)) is configured to include the overall achievement probability P (x).
  • the target achievement probability term g (P (x)) may consist of the overall achievement probability P (x) as shown in the equation (3), or the whole as shown in the equation (4). It may consist of the logarithm of the achievement probability P (x).
  • g (P (x)) P (x) ... (3)
  • g (P (x)) log (P (x)) ... (4)
  • the target achievement probability term may be a term obtained by multiplying the logarithm of the total achievement probability P (x) or the total achievement probability P (x) by a coefficient, or for adding other elements. May include terms.
  • the prediction model is configured to output the probability distribution of the observed values
  • the achievement probability Pm (x) of the target value of each characteristic item m according to the design parameter group is obtained. be able to.
  • the total achievement probability calculated by the total power of the achievement probability Pm (x) of the target value of each characteristic item m is included in the target achievement probability term of the target-oriented acquisition function, the index value from the target-oriented acquisition function. The overall achievement probability is properly reflected in.
  • the acquisition function construction unit 13 may construct a goal-oriented acquisition function including a term of the weighted sum of the acquisition function Am (x) of each characteristic item m in addition to the target achievement probability term.
  • the term of the weighted sum of the acquisition function Am (x) is expressed by, for example, the following equation (5). ⁇ w m Am (x) ⁇ ⁇ ⁇ (5)
  • w m is a weight for each characteristic item m and may be arbitrarily set in advance.
  • Am (x) and P (x) include two types, a function suitable for maximization and a function suitable for minimization, one of the two types is multiplied by -1.
  • Am (x) and P (x) may be unified into a maximizing preferred function or a minimizing preferred function.
  • the acquisition function construction unit 13 constructs a goal-oriented acquisition function A'(x) including the sum of the weighted sum term of the acquisition function of each characteristic item and the target achievement probability term as in the equation (6). May be good.
  • A'(x) ⁇ w m Am (x) + g (P (x)) ... (6)
  • the acquisition function construction unit 13 constructs a target-oriented acquisition function A'(x) including the product of the weighted sum term of the acquisition function of each characteristic item and the target achievement probability term, as in the equation (6). You may.
  • A'(x) ⁇ w m Am (x) ⁇ g (P (x)) ⁇ ⁇ ⁇ (7)
  • the acquisition function construction unit 13 uses the design parameter group as an input variable for the construction of the target-oriented acquisition function A'(x) as exemplified in the equations (6) and (7), and the characteristics shown in the characteristic items.
  • An acquisition function that outputs the index value of the design parameter group related to the improvement of the above may be constructed for each characteristic item m.
  • the acquisition function construction unit 13 constructs the acquisition function Am (x) for each characteristic item m based on the prediction model.
  • the acquisition function Am (x) is a function that uses the design parameter group x as an input variable and outputs the index value of the design parameter group related to the improvement of the characteristic shown in each characteristic item m.
  • the acquisition function is suitable for solving the design parameter group as an explanatory variable (close to the optimum solution or for searching for the optimum solution) in order to improve the observed value of the characteristic item predicted by the prediction model. It is a function that outputs an index value indicating (including that).
  • the acquisition function construction unit 13 may construct an acquisition function by, for example, a well-known function such as LCB (Lower Connection Bound).
  • LCB Lower Connection Bound
  • the LCB is used to minimize the output of the function, and by minimizing the value of the LCB, suitable design parameters can be obtained.
  • the acquisition function construction unit 13 defines and constructs the acquisition function Am (x) as in the following equation (9).
  • Am (x) m (x) -a ⁇ (x) ... (9)
  • the equation of the acquisition function is an equation representing the lower limit of the confidence interval when it is assumed that the observed values predicted by the prediction model follow a normal distribution, and m (x) in the above equation is the average of predictions, ⁇ (x). Is the variance of the prediction, and a is an arbitrary parameter.
  • the design parameter group x in the actual data that constitutes the explanatory variables of the teacher data and the observed values that constitute the objective variable are added to the theoretical formula of the posterior distribution of the Gaussian process regression model.
  • the acquisition function construction unit 13 may configure the acquisition function Am (x) by well-known functions such as EI (Expected Impression) and PI (Probability of Improvement).
  • the acquisition function construction unit 13 constructs an acquisition function for each characteristic item, including the cost function cost (x) that defines the cost (time, cost, etc.) required for manufacturing and experimenting the material by the design parameter group x. May be good.
  • the acquisition function construction unit 13 constructs an acquisition function that outputs an index value indicating that the appropriate degree of the design parameter group x is reduced as the cost value calculated by the cost function is larger.
  • the acquisition function construction unit 13 when constructing an acquisition function in which it is preferable to maximize the output, the acquisition function construction unit 13 outputs a smaller index value as the cost value calculated by the cost function increases. Build an acquisition function.
  • the acquisition function construction unit 13 may construct the acquisition function Am (x)'as in the following equation (10).
  • Am (x)' Am (x) -cost (x) ... (10)
  • the acquisition function construction unit 13 outputs an acquisition function such that the larger the cost value calculated by the cost function, the larger the index value.
  • the acquisition function construction unit 13 may construct the acquisition function Am (x)'as in the following equation (11).
  • Am (x)' Am (x) + cost (x) ... (11)
  • the acquisition function including the cost function is not limited to the above example, and may include a term for multiplying the cost function or the cost value by the index value or dividing the index value by the cost function or the cost value. ..
  • the cost related to the production of the material is taken into consideration in the production and the experiment of the material. And it is possible to reduce the cost related to experiments and the like.
  • the design parameter group acquisition unit 14 acquires at least one design parameter group by optimizing the goal-oriented acquisition function. Specifically, as an example, the design parameter group acquisition unit 14 may acquire at least one design parameter group that optimizes the output of the target-oriented acquisition function. Specifically, the design parameter group acquisition unit 14 performs optimization using the index value output from the target-oriented acquisition function A'(x) constructed by the acquisition function construction unit 13 as the objective variable, and optimizes the solution.
  • the design parameter group x is acquired as.
  • the design parameter group acquisition unit 14 may acquire a plurality of design parameter groups by a predetermined algorithm. Specifically, the design parameter group acquisition unit 14 may acquire a plurality of design parameter groups by applying the batch bays optimization method to the goal-oriented acquisition function.
  • the batch bays optimization method may be, for example, a method such as Local Penalization, but the method is not limited.
  • the output unit 15 can be used as a design parameter group for N times of material production from the next time onward (T-1). Output the acquired design parameter group.
  • Design parameters for multiple batches of material fabrication may be used for simultaneous experiments and material fabrication.
  • the output unit 15 outputs a design parameter group candidate by displaying it on a predetermined display device or storing it in a predetermined storage means, for example.
  • FIG. 6 is a flowchart showing the process of optimizing characteristic items and design parameter groups in material design.
  • step S1 the design parameter group is acquired.
  • the design parameter group acquired here is for initial material fabrication (experiment), may be an arbitrarily set design parameter group, or is set based on an experiment or the like that has already been performed. It may be a set of design parameters.
  • step S3 Material production is performed in step S2.
  • step S3 the observed value of the characteristic item of the produced material is acquired.
  • the pair of the design parameter group as the production condition in step S2 and the observed value of each characteristic item acquired in step S3 constitutes the actual data.
  • step S4 it is determined whether or not the predetermined end condition is satisfied.
  • the predetermined end condition is a condition for optimizing the observed values of the design parameter group and the characteristic item, and may be arbitrarily set.
  • the end condition for optimization may be, for example, the arrival of a predetermined number of preparations (experiments) and acquisition of observed values, the arrival of an observed value at a target value, and the convergence of optimization. If it is determined that the predetermined termination conditions are satisfied, the optimization process is terminated. If it is not determined that the predetermined termination condition is satisfied, the process proceeds to step S5.
  • step S5 the design support process by the design support device 10 is performed.
  • the design support process is a process of outputting a group of design parameters for manufacturing the next material. Then, the process returns to step S1 again.
  • step S5 the design parameter group output in step S5 is acquired in step S1.
  • FIG. 7 is a flowchart showing an example of the contents of the design support method in the design support device 10 according to the embodiment, and shows the process of step S5 in FIG.
  • the design support method is executed by loading the design support program P1 into the processor 101 and executing the program to realize the functional units 11 to 15.
  • step S11 the data acquisition unit 11 acquires a plurality of actual data regarding the manufactured material.
  • Actual data consists of pairs of design parameter groups and observed values of characteristic items.
  • step S12 the model building unit 12 builds a prediction model based on the actual data.
  • step S13 the acquisition function construction unit 13 constructs a target-oriented acquisition function that inputs the design parameter group and outputs the index value of the design parameter group related to the improvement of the characteristics shown in all the characteristic items.
  • the goal-oriented acquisition function includes at least a goal achievement probability term.
  • step S14 the design parameter group acquisition unit 14 acquires the design parameter group by optimizing the output of the target-oriented acquisition function for a single purpose. Specifically, the design parameter group acquisition unit 14 performs optimization using the index value output from the target-oriented acquisition function A'(x) constructed by the acquisition function construction unit 13 as the objective variable, and optimizes the solution.
  • the design parameter group x is acquired as.
  • step S15 the output unit 15 outputs the design parameter group candidate selected in step S14 as a design parameter group for the next material production (step S1).
  • FIG. 8 is a diagram showing the structure of the design support program.
  • the design support program P1 includes a main module m10 that comprehensively controls design support processing in the design support device 10, a data acquisition module m11, a model construction module m12, an acquisition function construction module m13, a design parameter group acquisition module m14, and an output module m15. Is configured with. Then, each module m11 to m15 realizes each function for the data acquisition unit 11, the model construction unit 12, the acquisition function construction unit 13, the design parameter group acquisition unit 14, and the output unit 15.
  • the design support program P1 may be transmitted via a transmission medium such as a communication line, or may be stored in the recording medium M1 as shown in FIG.
  • a prediction model for predicting the observed values of the characteristic items is constructed based on the actual data. Since this prediction model predicts the observed value as a probability distribution or an approximation thereof or an alternative index, it is possible to calculate the achievement probability for the target value of the characteristic item according to the given design parameter group.
  • a goal-oriented acquisition function is constructed in which the design parameter group is input and the index value related to the satisfaction of the target value of all the characteristic items is output. Since this goal-oriented acquisition function includes a target achievement probability term including the overall achievement probability regarding the achievement of the target value of all characteristic items, the index value output from the target-oriented acquisition function reflects the overall achievement probability. .. Therefore, by optimizing the index value output from the target-oriented acquisition function as the objective variable, it is possible to obtain a design parameter group in which the target can be achieved for the characteristic item.
  • 10 Design support device, 11 ... Data acquisition unit, 12 ... Model construction unit, 13 ... Acquisition function construction unit, 14 ... Design parameter group acquisition unit, 15 ... Output unit, 21 ... Design parameter storage unit, 22 ... Observation value storage Unit, 100 ... computer, 101 ... processor, 102 ... main storage device, 103 ... auxiliary storage device, 104 ... communication control device, 105 ... input device, 106 ... output device, M1 ... recording medium, m10 ... main module, m11 ... Data acquisition module, m12 ... model construction module, m13 ... acquisition function construction module, m14 ... design parameter group acquisition module, m15 ... output module, P1 ... design support program.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Business, Economics & Management (AREA)
  • Theoretical Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Human Resources & Organizations (AREA)
  • Evolutionary Computation (AREA)
  • Economics (AREA)
  • Strategic Management (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Tourism & Hospitality (AREA)
  • General Business, Economics & Management (AREA)
  • Entrepreneurship & Innovation (AREA)
  • Marketing (AREA)
  • Artificial Intelligence (AREA)
  • Medical Informatics (AREA)
  • Software Systems (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Game Theory and Decision Science (AREA)
  • Operations Research (AREA)
  • Development Economics (AREA)
  • Quality & Reliability (AREA)
  • Computational Mathematics (AREA)
  • Pure & Applied Mathematics (AREA)
  • Mathematical Optimization (AREA)
  • Mathematical Analysis (AREA)
  • Primary Health Care (AREA)
  • Manufacturing & Machinery (AREA)
  • Health & Medical Sciences (AREA)
  • General Health & Medical Sciences (AREA)
  • Educational Administration (AREA)
  • Management, Administration, Business Operations System, And Electronic Commerce (AREA)

Abstract

一実施形態に係る設計支援装置は、設計パラメータ群と特性項目の観測値とからなる実績データを取得するデータ取得部と、設計パラメータ群に基づいて特性項目の観測値を確率分布等として予測する予測モデルを構築するモデル構築部と、各予測モデルに基づいて設計パラメータ群を変数として算出され全ての特性項目の目標値が達成される全体達成確率を含む目標達成確率項を含み、設計パラメータ群を入力とし全ての特性項目の特性の向上に関する指標値を出力とする単一の目標指向獲得関数を構築する獲得関数構築部と、目標指向獲得関数の最適化により少なくとも一つの設計パラメータ群を取得する設計パラメータ群取得部と、設計パラメータ群候補を出力する出力部と、を備える。

Description

設計支援装置、設計支援方法及び設計支援プログラム
 本開示の一側面は、設計支援装置、設計支援方法及び設計支援プログラムに関する。
 機械学習を活用した製品設計が研究されている。製品設計の一分野として、例えば、機能性材料の設計においては、例えば、実験及び作製済みの材料に関する原材料配合比と特性とのペアからなる学習データとを用いた機械学習により材料の特性を推定するモデルを構築し、未実験の原材料配合比に対する特性の予測が行われている。このような特性の予測により実験計画を立てることにより、効率的に材料の特性及び原材料配合比等のパラメータを最適化することが可能となり、開発効率の向上が図られている。また、このような最適化の手法として、ベイズ最適化が有効であることが知られており、ベイズ最適化を用いて設計値を出力する設計装置が知られている。
特開2020-52737号公報
 一方、材料等の製品開発においては、複数の目的変数(特性)が与えられた状況で、設計変数に応じて変化する複数の特性を向上させるために、複数の目的変数の最適化が行われる。これを多目的最適化という。目的変数間にトレードオフがある場合において、最適解(パレート解)は複数存在し、一つに定まらない。例えば、各目的変数に対して目標値が設定される場合に、最適なパレート解を得るために、多くのパレート解を求めて、設計目標に近いパレート解を選択するというアプローチを取ることが考えられる。しかしながら、このようなアプローチでは、多くの目的関数の評価が必要であり、その処理負荷が膨大となり現実的ではない。このような問題は、材料設計に限られず、製品設計全般に共通のものである。
 そこで、本発明は、上記問題点に鑑みてなされたものであり、製品、仕掛品、半製品、部品又は試作品の作製プロセスにおいて目的変数を構成する製品の特性及び設計変数の最適化を、より少ない実験回数により低負荷で可能とすることを目的とする。
 本開示の一側面に係る設計支援装置は、複数の設計パラメータからなる設計パラメータ群に基づいて作製される製品、仕掛品、半製品、部品又は試作品の設計において、設計パラメータの決定と決定された設計パラメータに基づく製品、仕掛品、半製品、部品又は試作品の作製との繰り返しにより設計パラメータの最適化を図る手法に適用するために、製品、仕掛品、半製品、部品又は試作品の特性を示す複数の特性項目のそれぞれについて設定された目標値を満たすような、複数の設計パラメータを求める設計支援装置であって、作製済みの製品、仕掛品、半製品、部品又は試作品に関しての、設計パラメータ群と複数の特性項目のそれぞれの観測値とからなる実績データを複数取得するデータ取得部と、設計パラメータ群に基づいて特性項目の観測値を確率分布若しくはその近似又は代替指標として予測する予測モデルを、実績データに基づいて構築するモデル構築部と、設計パラメータ群を入力とし全ての特性項目に示される特性の向上に関する設計パラメータ群の指標値を出力とする単一の獲得関数である目標指向獲得関数を構築する獲得関数構築部であって、目標指向獲得関数は、全ての特性項目の目標値が達成される確率であって予測モデルに基づいて設計パラメータ群を変数として算出される確率である全体達成確率を含む目標達成確率項を少なくとも含む、獲得関数構築部と、目標指向獲得関数の最適化により少なくとも一つの設計パラメータ群を取得する設計パラメータ群取得部と、設計パラメータ群取得部により取得された設計パラメータ群を出力する出力部と、を備える。
 本開示の一側面に係る設計支援方法は、複数の設計パラメータからなる設計パラメータ群に基づいて作製される製品、仕掛品、半製品、部品又は試作品の設計において、設計パラメータの決定と決定された設計パラメータに基づく製品、仕掛品、半製品、部品又は試作品の作製との繰り返しにより設計パラメータの最適化を図る手法に適用するために、製品、仕掛品、半製品、部品又は試作品の特性を示す複数の特性項目のそれぞれについて設定された目標値を満たすような、複数の設計パラメータを求める設計支援装置における設計支援方法であって、作製済みの製品、仕掛品、半製品、部品又は試作品に関しての、設計パラメータ群と複数の特性項目のそれぞれの観測値とからなる実績データを複数取得するデータ取得ステップと、設計パラメータ群に基づいて特性項目の観測値を確率分布若しくはその近似又は代替指標として予測する予測モデルを、実績データに基づいて構築するモデル構築ステップと、設計パラメータ群を入力とし全ての特性項目に示される特性の向上に関する設計パラメータ群の指標値を出力とする単一の獲得関数である目標指向獲得関数を構築する獲得関数構築部であって、目標指向獲得関数は、全ての特性項目の目標値が達成される確率であって予測モデルに基づいて設計パラメータ群を変数として算出される確率である全体達成確率を含む目標達成確率項を少なくとも含む、獲得関数構築ステップと、目標指向獲得関数の最適化により少なくとも一つの設計パラメータ群を取得する設計パラメータ群取得ステップと、設計パラメータ群取得ステップにおいて取得された設計パラメータ群を出力する出力ステップと、を有する。
 本開示の一側面に係る設計支援プログラムは、コンピュータを、複数の設計パラメータからなる設計パラメータ群に基づいて作製される製品、仕掛品、半製品、部品又は試作品の設計において、設計パラメータの決定と決定された設計パラメータに基づく製品、仕掛品、半製品、部品又は試作品の作製との繰り返しにより設計パラメータの最適化を図る手法に適用するために、製品、仕掛品、半製品、部品又は試作品の特性を示す複数の特性項目のそれぞれについて設定された目標値を満たすような、複数の設計パラメータを求める設計支援装置として機能させるための設計支援プログラムであって、コンピュータに、作製済みの製品、仕掛品、半製品、部品又は試作品に関しての、設計パラメータ群と複数の特性項目のそれぞれの観測値とからなる実績データを複数取得するデータ取得機能と、設計パラメータ群に基づいて特性項目の観測値を確率分布若しくはその近似又は代替指標として予測する予測モデルを、実績データに基づいて構築するモデル構築機能と、設計パラメータ群を入力とし全ての特性項目に示される特性の向上に関する設計パラメータ群の指標値を出力とする単一の獲得関数である目標指向獲得関数を構築する獲得関数構築部であって、目標指向獲得関数は、全ての特性項目の目標値が達成される確率であって予測モデルに基づいて設計パラメータ群を変数として算出される確率である全体達成確率を含む目標達成確率項を少なくとも含む、獲得関数構築機能と、目標指向獲得関数の最適化により少なくとも一つの設計パラメータ群を取得する設計パラメータ群取得機能と、設計パラメータ群取得機能により取得された設計パラメータ群を出力する出力機能と、を実現させる。
 このような側面によれば、実績データに基づいて特性項目の観測値を予測する予測モデルが構築される。この予測モデルは、観測値を確率分布若しくはその近似又は代替指標として予測するので、与えられた設計パラメータ群に応じて、特性項目の目標値に対する達成確率を算出できる。また、設計パラメータ群を入力とし全ての特性項目の目標値の充足に関する指標値を出力とする目標指向獲得関数が構築される。この目標指向獲得関数は、全ての特性項目の目標値の達成に関する全体達成確率を含む目標達成確率項を含むので、目標指向獲得関数から出力される指標値には、全体達成確率が反映される。従って、目標指向獲得関数から出力される指標値を目的変数とする最適化により、特性項目に関する目標達成可能な設計パラメータ群を得ることができる。
 他の側面に係る設計支援装置では、設計パラメータ群取得部は、目標指向獲得関数の出力を最適化する少なくとも一つの設計パラメータ群を取得することとしてもよい。
 このような側面によれば、特性項目に関する目標達成に近付くことが可能な設計パラメータ群を得ることができる。
 他の側面に係る設計支援装置では、設計パラメータ群取得部は、複数の設計パラメータ群を所定のアルゴリズムにより取得することとしてもよい。
 このような側面によれば、次の実験に供される複数の設計パラメータ群を容易に得ることができる。
 他の側面に係る設計支援装置では、全体達成確率は、各特性項目の目標値に対する達成確率の総乗であり、各特性項目の目標値に対する達成確率は、設計パラメータ群を各特性項目の予測モデルに入力することにより得られる観測値の確率分布に基づくこととしてもよい。
 このような側面によれば、観測値の確率分布を出力するように予測モデルが構成されるので、設計パラメータ群に応じた各特性項目の目標値の達成確率を得ることができる。そして、各特性項目の目標値の達成確率の総乗により算出される全体達成確率が、目標指向獲得関数の目標達成確率項に含まれるので、目標指向獲得関数からの指標値に全体達成確率が適切に反映される。
 他の側面に係る設計支援装置では、目標達成確率項は、全体達成確率または全体達成確率の対数からなることとしてもよい。
 このような側面によれば、目標達成確率項が、全体達成確率または全体達成確率の対数からなるので、目標指向獲得関数からの指標値に全体達成確率が適切に反映される。
 他の側面に係る設計支援装置では、獲得関数構築部は、設計パラメータ群を入力とし特性項目に示される特性の向上に関する設計パラメータ群の指標値を出力とする獲得関数を特性項目ごとに構築し、目標指向獲得関数は、各特性項目の獲得関数の重み付け和の項をさらに含むこととしてもよい。
 このような側面によれば、各特性項目の獲得関数の重み付け和の項が目標指向獲得関数に含まれるので、設計パラメータ群に応じた特性項目ごとの特性の向上が、目標指向獲得関数からの指標値に適切に反映される。
 他の側面に係る設計支援装置では、目標指向獲得関数は、各特性項目の獲得関数の重み付け和の項と目標達成確率項との和を含むこととしてもよい。
 このような側面によれば、設計パラメータ群に応じた、特性項目ごとの特性の向上の程度及び全ての特性項目の目標値の達成に関する全体達成確率の大きさが、目標指向獲得関数からの指標値に適切に反映される。
 他の側面に係る設計支援装置では、目標指向獲得関数は、各特性項目の獲得関数の重み付け和の項と目標達成確率項との積を含むこととしてもよい。
 このような側面によれば、設計パラメータ群に応じた、特性項目ごとの特性の向上の程度及び全ての特性項目の目標値の達成に関する全体達成確率の大きさが、目標指向獲得関数からの指標値に適切に反映される。
 他の側面に係る設計支援装置では、獲得関数構築部は、LCB(Lower Confidence Bound)、EI(Expected Improvement)及びPI(Probability of Improvement)のうちのいずれかにより、各特性項目の獲得関数を構築することとしてもよい。
 このような側面によれば、各特性項目に示される特性の向上の評価に好適な獲得関数が構築される。
 他の側面に係る設計支援装置では、獲得関数構築部は、設計パラメータ群に応じて発生する、製品、仕掛品、半製品、部品又は試作品の作製に係る時間及び費用のうちの少なくともいずれかを含むコストに関するコスト値を含み、該コスト値が大きいほど、設計パラメータ群の好適の程度が減ぜられたことを示す指標値を出力する獲得関数を構築することとしてもよい。
 このような側面によれば、設計パラメータ群の取得に際して、製品の作製に係るコストが考慮される。従って、製品の作製及び実験等に関するコストの低減が可能となる。
 他の側面に係る設計支援装置では、予測モデルは、設計パラメータ群を入力とし、観測値の確率分布を出力とする回帰モデルまたは分類モデルであり、モデル構築部は、実績データを用いた機械学習により、予測モデルを構築することとしてもよい。
 このような側面によれば、予測モデルが所定の回帰モデルまたは分類モデルとして構築されるので、特性項目の観測値の確率分布若しくはその近似又は代替指標の取得が可能な予測モデル得られる。
 他の側面に係る設計支援装置では、予測モデルは、ベイズ理論に基づく予測値の事後分布、アンサンブルを構成する予測器の予測値の分布、回帰モデルの予測区間及び信頼区間の理論式、モンテカルロドロップアウト、及び、異なる条件で複数個構築した予測器の予測の分布のうちのいずれか一つを用いて観測値の確率分布若しくはその近似又は代替指標を予測する機械学習モデルであることとしてもよい。
 このような側面によれば、設計パラメータ群に基づく特性項目の観測値の確率分布若しくはその近似又は代替指標としての予測が可能な予測モデルが構築される。
 本開示の一側面によれば、製品、仕掛品、半製品、部品又は試作品の作製プロセスにおいて目的変数を構成する製品等の特性及び設計変数の最適化を、より少ない実験回数により低負荷で可能とする。
実施形態に係る設計支援装置が適用される材料設計のプロセスの概要を示す図である。 実施形態に係る設計支援装置の機能構成の一例を示すブロック図である。 実施形態に係る設計支援装置のハードブロック図である。 作製済みの材料に関する設計パラメータ群の例を示す図である。 作製済みの材料に関する観測値の例を示す図である。 材料設計における特性項目及び設計パラメータの最適化のプロセスを示すフローチャートである。 実施形態に係る設計支援装置における設計支援方法の内容の一例を示すフローチャートである。 設計支援プログラムの構成を示す図である。
 以下、添付図面を参照しながら本発明の実施形態を詳細に説明する。なお、図面の説明において同一又は同等の要素には同一の符号を付し、重複する説明を省略する。
 図1は、実施形態に係る設計支援装置が適用される製品、仕掛品、半製品、部品又は試作品の設計のプロセスの一例である材料設計のプロセスの概要を示す図である。なお、以下において、「製品、仕掛品、半製品、部品又は試作品」を「製品等」と記載する。本実施形態の設計支援装置10は、当該製品等の特性を示す複数の特性項目及び各特性項目の目標値を有するあらゆる製品等の設計のプロセスに適用できる。設計支援装置10は、設計パラメータの決定と決定された設計パラメータに基づく製品、仕掛品、半製品、部品又は試作品の作製との繰り返しにより製品等の設計パラメータ及び目的変数の最適化を図る手法に適用されることができる。具体的には、設計支援装置10は、材料の開発・設計の他に、例えば、自動車及び薬品等の製品の設計、薬品の分子構造の最適化等に適用できる。本実施形態では、上述のとおり、製品等の設計の一例としての材料設計の例により、設計支援装置10による設計支援処理を説明する。
 図1に示されるように、設計支援装置10による設計支援処理は、プラント及び実験室A等における材料の作製及び実験に適用される。即ち、設定された設計パラメータ群xにより、プラント及び実験室A等において材料が作製され、作製された材料に基づいて、材料の特性を示す複数の特性項目の観測値yが取得される。なお、プラント及び実験室Aにおける材料作製及び実験は、シミュレーションであってもよい。この場合には、設計支援装置10は、次のシミュレーションの実行のための設計パラメータ群xを提供する。
 設計支援装置10は、設計パラメータ群x及び設計パラメータ群xに基づいて作製された材料の複数の特性項目の観測値yからなる実績データに基づいて、複数の特性項目及び設計パラメータの最適化を行う。具体的には、設計支援装置10は、作製済みの材料に関する設計パラメータ群x及び観測値yに基づいて、次の作製及び実験のための、より好適な特性を得られる可能性がある設計パラメータ群xを出力する。
 例えば、本実施形態の設計支援装置10は、材料製品の設計において、複数の設計変数をチューニングして、複数の目標特性を達成するという目的のために適用される。材料製品の設計の一例として、ある材料を複数のポリマー及び添加剤を混ぜて作製する場合において、設計支援装置10は、各ポリマー及び添加剤の配合量等の設計パラメータ群を設計変数とし、特性項目である弾性率、熱膨張率の観測値を目的変数として、複数の特性項目の目標値を達成するような設計パラメータ群のチューニングに用いられる。
 図2は、実施形態に係る設計支援装置の機能構成の一例を示すブロック図である。設計支援装置10は、複数の設計パラメータからなる設計パラメータ群に基づいて作製される材料の設計において、材料の特性を示す複数の特性項目のそれぞれについて設定された目標値を満たすような、複数の設計パラメータを求める装置である。図2に示すように、設計支援装置10は、プロセッサ101に構成された機能部、設計パラメータ記憶部21及び観測値記憶部22を含み得る。各機能部については後述する。
 図3は、実施形態に係る設計支援装置10を構成するコンピュータ100のハードウェア構成の一例を示す図である。なお、コンピュータ100は、設計支援装置10を構成しうる。
 一例として、コンピュータ100はハードウェア構成要素として、プロセッサ101、主記憶装置102、補助記憶装置103、および通信制御装置104を備える。設計支援装置10を構成するコンピュータ100は、入力デバイスであるキーボード、タッチパネル、マウス等の入力装置105及びディスプレイ等の出力装置106をさらに含むこととしてもよい。
 プロセッサ101は、オペレーティングシステムおよびアプリケーションプログラムを実行する演算装置である。プロセッサの例としてCPU(Central Processing Unit)およびGPU(Graphics Processing Unit)が挙げられるが、プロセッサ101の種類はこれらに限定されない。例えば、プロセッサ101はセンサおよび専用回路の組合せでもよい。専用回路はFPGA(Field-Programmable Gate Array)のようなプログラム可能な回路でもよいし、他の種類の回路でもよい。
 主記憶装置102は、設計支援装置10等を実現するためのプログラム、プロセッサ101から出力された演算結果などを記憶する装置である。主記憶装置102は例えばROM(Read Only Memory)およびRAM(Random Access Memory)のうちの少なくとも一つにより構成される。
 補助記憶装置103は、一般に主記憶装置102よりも大量のデータを記憶することが可能な装置である。補助記憶装置103は例えばハードディスク、フラッシュメモリなどの不揮発性記憶媒体によって構成される。補助記憶装置103は、コンピュータ100を設計支援装置10等として機能させるための設計支援プログラムP1と各種のデータとを記憶する。
 通信制御装置104は、通信ネットワークを介して他のコンピュータとの間でデータ通信を実行する装置である。通信制御装置104は例えばネットワークカードまたは無線通信モジュールにより構成される。
 設計支援装置10の各機能要素は、プロセッサ101または主記憶装置102の上に、対応するプログラムP1を読み込ませてプロセッサ101にそのプログラムを実行させることで実現される。プログラムP1は、対応するサーバの各機能要素を実現するためのコードを含む。プロセッサ101はプログラムP1に従って通信制御装置104を動作させ、主記憶装置102または補助記憶装置103におけるデータの読み出しおよび書き込みを実行する。このような処理により、対応するサーバの各機能要素が実現される。
 プログラムP1は、CD-ROM、DVD-ROM、半導体メモリなどの有形の記録媒体に固定的に記録された上で提供されてもよい。あるいは、これらのプログラムの少なくとも一つは、搬送波に重畳されたデータ信号として通信ネットワークを介して提供されてもよい。
 再び図2を参照して、設計支援装置10は、データ取得部11、モデル構築部12、獲得関数構築部13、設計パラメータ群取得部14及び出力部15を備える。設計パラメータ記憶部21及び観測値記憶部22は、図2に示されるように、設計支援装置10に構成されてもよいし、設計支援装置10からアクセス可能な他の装置として構成されてもよい。
 データ取得部11は、作製済みの材料に関しての実績データを複数取得する。実績データは、設計パラメータ群と複数の特性項目のそれぞれの観測値とのペアからなる。設計パラメータ記憶部21は、実績データにおける設計パラメータ群を記憶している記憶手段であって、例えば主記憶装置102及び補助記憶装置103等に構成されてもよい。観測値記憶部22は、実績データにおける観測値を記憶している記憶手段である。
 図4は、設計パラメータ記憶部21に記憶されている設計パラメータ群の例を示す図である。図4に示されるように、設計パラメータ記憶部21は、1回目(t=1)から(T-1)回目(t=T-1)の材料作製における設計パラメータ群xを記憶している。設計パラメータ群xは、一例として、原材料Aの配合量、原材料Bの配合量及び設計パラメータdを含んでもよく、設計パラメータの数に応じた次元数のベクトルデータを構成しうる。設計パラメータは、例示したものの他、例えば、分子構造及び画像等の非ベクトルデータ等であってもよい。また、複数の分子の種類から最適な分子を選ぶ問題を扱う場合には、設計パラメータは、複数の分子のうちの選択肢を示すデータであってもよい。
 図5は、観測値記憶部22に記憶されている観測値yの例を示す図である。図5に示されるように、観測値記憶部22は、1回目(t=1)から(T-1)回目(t=T-1)の材料作製において作製された材料の特性を示す複数の特性項目(m=1~M)の観測値ym,tを記憶している。特性項目mは、一例として、ガラス転移温度、接着力及び特性項目Mを含んでもよい。また、各特性項目には、目標値ym(target)が設定されている。設計パラメータ群xと観測値ym,tとのペアが実績データを構成する。
 設計支援装置10は、1回目(t=1)から(T-1)回目(t=T-1)の材料作製における実績データに基づいて、T回目の材料作製のための設計パラメータ群xを求める。設計パラメータ群xは、各特性項目の観測値がそれぞれの目標値ym(target)を満足するようなパラメータ群、又は、各特性項目の観測値がそれぞれの目標値ym(target)により近付くようなパラメータ群である。
 モデル構築部12は、実績データに基づいて予測モデルを構築する。予測モデルは、設計パラメータ群xに基づいて、特性項目mの観測値yを確率分布若しくはその近似又は代替指標として予測するモデルである。予測モデルを構成するモデルは、観測値yを確率分布若しくはその近似又は代替指標として予測可能なモデルであればよく、その種類は限定されない。観測値yを確率分布の代替指標として予測する予測モデルは、例えば、アンサンブルを構成する予測器の予測値の分布(ランダムフォレスト)、モンテカルロドロップアウトにより得られる分布(ニューラルネットワーク)、異なる条件で複数個構築した予測器の予測の分布(任意の機械学習手法)等を代替指標として、観測値の確率分布を予測する。
 例えば、予測モデルは、設計パラメータxを入力とし、観測値yの確率分布を出力とする回帰モデルであってもよい。予測モデルが回帰モデルである場合には、予測モデルは、例えば、ガウス過程回帰、ランダムフォレスト及びニューラルネットワークといった回帰モデルのうちのいずれか一つにより構成されてもよい。モデル構築部12は、実績データを用いた周知の機械学習の手法により、予測モデルを構築してもよい。モデル構築部12は、実績データを予測モデルに適用して当該予測モデルのパラメータを更新する機械学習の手法により、予測モデルを構築してもよい。
 また、予測モデルは、ベイズ理論に基づく予測値の事後分布、アンサンブルを構成する予測器の予測値の分布、回帰モデルの予測区間及び信頼区間の理論式、モンテカルロドロップアウト、及び、異なる条件で複数個構築した予測器の予測の分布のうちのいずれか一つを用いて観測値の確率分布若しくはその近似又は代替指標を予測する機械学習モデルであってもよい。観測値の確率分布、又はその代替指標の予測は、モデル固有の手法によって得ることができる。観測値の確率分布若しくはその近似又は代替指標は、ガウス過程回帰及びベイジアンニューラルネットワークであれば予測値の事後分布に基づいて、ランダムフォレストであれば、アンサンブルを構成する予測器の予測の分布に基づいて、線形回帰であれば予測区間及び信頼区間に基づいて、及び、ニューラルネットワークであればモンテカルロドロップアウトに基づいて得ることができる。但し、各機械学習モデルに対する観測値の分布またはその代替指標の算出方法は上記手法に限定されない。
 また、任意のモデルは、観測値の確率分布またはその代替指標を予測できるモデルに拡張されてもよい。例えば、ブートストラップ法等で複数個のデータセットを構築し、それぞれに対して予測モデルを構築することで得られる、各モデルの予測値の分布を、観測値の確率分布の代替指標として用いるモデルが、その例として挙げられる。但し、機械学習モデルを観測値の確率分布またはその代替指標を予測できるモデルに拡張する方法は、上記手法に限定されない。
 また、予測モデルは、線形回帰、PLS回帰、ガウス過程回帰、ランダムフォレストなどのバギングアンサンブル学習、勾配ブースティングなどのブースティングアンサンブル学習、サポートベクターマシーン、及びニューラルネットワーク等により構築されてもよい。
 ガウス過程回帰として構築される予測モデルでは、教師データの説明変数を構成する実績データにおける設計パラメータ群x及び目的変数を構成する観測値y並びに予測対象の設計パラメータxをモデルに入力することにより、観測値の確率分布が予測される。
 また、モデル構築部12は、予測モデルのハイパーパラメータを周知のハイパーパラメータチューニングの手法により、チューニングしてもよい。即ち、モデル構築部12は、実績データにおける説明変数である設計パラメータ群xを表すベクトルと、目的変数である観測値yを用いた最尤推定により、ガウス過程回帰により構築される予測モデルのハイパーパラメータを更新してもよい。
 また、予測モデルは、分類モデルにより構築されてもよい。予測モデルが分類モデルである場合には、モデル構築部12は、実績データを用いた周知の確率分布の評価が可能な機械学習の手法により予測モデルを構築できる。
 このように、モデル構築部12が所定の回帰モデルまたは分類モデルにより予測モデルを構築することにより、任意の設計パラメータ群xに基づいて、特性項目の観測値の確率分布の取得が可能となる。
 また、予測モデルは、一の特性項目の観測値を確率分布若しくはその近似又は代替指標として予測するシングルタスクモデル、または、複数の特性項目の観測値を確率分布若しくはその近似又は代替指標として予測するマルチタスクモデルであってもよい。このように、特性項目の性質に応じて適宜に構成されたマルチタスクモデルまたはシングルタスクモデルにより予測モデルを構築することにより、予測モデルによる観測値の予測の精度を向上できる。
 獲得関数構築部13は、設計パラメータ群を入力とし全ての特性項目に示される特性の向上に関する設計パラメータ群の指標値を出力とする単一の獲得関数である目標指向獲得関数を構築する。目標指向獲得関数は、少なくとも目標達成確率項を含む。目標達成確率項は、予測モデルに基づいて前記設計パラメータ群を変数として算出される確率である全体達成確率を含む。全体達成確率は、全ての特性項目の目標値が達成される確率である。
 具体的には、獲得関数構築部13は、以下の式(1)に示すような目標指向獲得関数A’(x)を構築する。
A’(x)=g(P(x)) ・・・(1)
式(1)において、g(P(x))は、目標達成確率項である。即ち、目標指向獲得関数A’(x)は、少なくとも目標達成確率項g(P(x))を含む。
 目標達成確率項は、全体達成確率P(x)を含む。例えば、全体達成確率P(x)は、各特性項目の目標達成事象が互いに独立であるとすると、以下の式(2)にように定義されてもよい。
P(x)=Π1<=m<=MPm(x) ・・・(2)
即ち、全体達成確率P(x)は、各特性項目m(m=1~M)の達成確率Pm(x)の総乗である。予測モデルが、設計パラメータ群xに基づいて特性項目の観測値の確率分布を予測できるので、各特性項目の達成確率Pm(x)は、各特性項目の予測モデルを用いた設計パラメータ群xを入力変数とする関数として表現できる。また、全体達成確率P(x)は、各特性項目の達成確率の算出を経ないで、全ての特性項目の予測モデルに基づいた設計パラメータ群xを入力変数とする関数として表現されてもよい。
 目標達成確率項g(P(x))は、全体達成確率P(x)を含んで構成される。例えば、目標達成確率項g(P(x))は、式(3)に示されるように全体達成確率P(x)からなることとしてもよいし、式(4)に示されるように、全体達成確率P(x)の対数からなることとしてもよい。
g(P(x))=P(x) ・・・(3)
g(P(x))=log(P(x)) ・・・(4)
また、目標達成確率項は、全体達成確率P(x)または全体達成確率P(x)の対数にさらに係数が乗じられた項であってもよいし、さらに他の要素が加算されるための項を含んでもよい。
 このように本実施形態の一例では、観測値の確率分布を出力するように予測モデルが構成されるので、設計パラメータ群に応じた各特性項目mの目標値の達成確率Pm(x)を得ることができる。そして、各特性項目mの目標値の達成確率Pm(x)の総乗により算出される全体達成確率が、目標指向獲得関数の目標達成確率項に含まれるので、目標指向獲得関数からの指標値に全体達成確率が適切に反映される。
 獲得関数構築部13は、目標達成確率項に加えて、各特性項目mの獲得関数Am(x)の重み付け和の項をさらに含む目標指向獲得関数を構築してもよい。獲得関数Am(x)の重み付け和の項は、例えば、以下の式(5)のように示される。
ΣwAm(x) ・・・(5)
式(5)において、wは、各特性項目mに対する重みであって、予め任意に設定されてもよい。また、Am(x)及びP(x)が、最大化が好適な関数と、最小化が好適な関数との2タイプを含む場合には、2タイプのうちの一方に-1を乗じて、Am(x)及びP(x)が最大化好適関数又は最小化好適関数に統一されてもよい。
 獲得関数構築部13は、式(6)のように、各特性項目の獲得関数の重み付け和の項と前記目標達成確率項との和を含む目標指向獲得関数A’(x)を構築してもよい。
A’(x)=ΣwAm(x)+g(P(x)) ・・・(6)
 また、獲得関数構築部13は、式(6)のように、各特性項目の獲得関数の重み付け和の項と前記目標達成確率項との積を含む目標指向獲得関数A’(x)を構築してもよい。
A’(x)=ΣwAm(x)×g(P(x)) ・・・(7)
 獲得関数構築部13は、式(6)及び式(7)に例示されるような目標指向獲得関数A’(x)の構築のために、設計パラメータ群を入力変数とし特性項目に示される特性の向上に関する設計パラメータ群の指標値を出力とする獲得関数を特性項目mごとに構築してもよい。具体的には、獲得関数構築部13は、予測モデルに基づいて、特性項目mごとの獲得関数Am(x)を構築する。獲得関数Am(x)は、設計パラメータ群xを入力変数とし、各特性項目mに示される特性の向上に関する設計パラメータ群の指標値を出力とする関数である。獲得関数は、予測モデルにより予測される特性項目の観測値を向上させるために、説明変数としての設計パラメータ群の解としての好適の程度(最適解に近いこと、又は、最適解の探索に好適であることを含む)を示す指標値を出力する関数である。
 獲得関数構築部13は、例えば、LCB(Lower Confidence Bound)といった周知の関数により獲得関数を構築してもよい。
 LCBは、関数の出力を最小化する場合に用いられ、LCBの値を最小化することで好適な設計パラメータが得られる。獲得関数をLCBにより構築する場合、獲得関数構築部13は、以下の式(9)のように獲得関数Am(x)を定義及び構築する。
Am(x)=m(x)-aσ(x) ・・・(9)
上記獲得関数の式は、予測モデルにより予測される観測値が正規分布に従うと仮定した場合の信頼区間下限を表す式であって、上記式におけるm(x)は予測の平均、σ(x)は予測の分散、aは任意のパラメータである。
 予測モデルがガウス回帰過程として構築される場合には、ガウス過程回帰のモデルの事後分布の理論式に、教師データの説明変数を構成する実績データにおける設計パラメータ群x及び目的変数を構成する観測値y並びに予測対象の設計パラメータ群xを入力することにより、m(x)及びσ(x)が求められる。
 また、獲得関数構築部13は、EI(Expected Improvement)及びPI(Probability of Improvement)といった周知の関数により獲得関数Am(x)を構成してもよい。
 なお、獲得関数構築部13は、設計パラメータ群xによる材料の作製及び実験にかかるコスト(時間及び費用等)を定義したコスト関数cost(x)を含めた獲得関数を特性項目ごとに構築してもよい。獲得関数構築部13は、コスト関数により算出されるコスト値が大きいほど、設計パラメータ群xの好適の程度が減ぜられたことを示す指標値を出力する獲得関数を構築する。
 具体的には、出力が最大化されることが好適な獲得関数を構築する場合には、獲得関数構築部13は、コスト関数により算出されるコスト値が大きいほど、小さい指標値を出力するような獲得関数を構築する。獲得関数構築部13は、以下の式(10)のような獲得関数Am(x)’を構築してもよい。
Am(x)’=Am(x)-cost(x) ・・・(10)
また、出力が最小化されることが好適な獲得関数を構築する場合には、獲得関数構築部13は、コスト関数により算出されるコスト値が大きいほど、大きい指標値を出力するような獲得関数を構築する。獲得関数構築部13は、以下の式(11)のような獲得関数Am(x)’を構築してもよい。
Am(x)’=Am(x)+cost(x) ・・・(11)
なお、コスト関数を含む獲得関数は、上記の例に限られず、コスト関数またはコスト値を指標値に乗じたり、指標値をコスト関数またはコスト値により除したりする項を含んでもよい。。
 このような、コストが考慮された獲得関数を含む目標指向獲得関数の最適化を図ることにより、材料の作製及び実験において、材料の作製に係るコストが考慮されることとなるので、材料の作製及び実験等に関するコストの低減が可能となる。
 設計パラメータ群取得部14は、目標指向獲得関数の最適化により少なくとも一つの設計パラメータ群を取得する。具体的には、一例として、設計パラメータ群取得部14は、目標指向獲得関数の出力を最適化する少なくとも一つの設計パラメータ群を取得してもよい。具体的には、設計パラメータ群取得部14は、獲得関数構築部13により構築された目標指向獲得関数A’(x)から出力される指標値を目的変数とする最適化を実施し、最適解として設計パラメータ群xを取得する。
 また、一例として、設計パラメータ群取得部14は、複数の設計パラメータ群を所定のアルゴリズムにより取得してもよい。具体的には、設計パラメータ群取得部14は、目標指向獲得関数に対して、バッチベイズ最適化の手法を適用することにより、複数の設計パラメータ群を取得してもよい。バッチベイズ最適化の手法は、例えば、Local Penalization等の手法であってもよいが、その手法は限定されない。
 出力部15は、設計パラメータ群取得部14により取得された設計パラメータ群を出力する。即ち、出力部15は、1回目(t=1)から(T-1)回目(t=T-1)の材料作製における実績データに基づいて得られた設計パラメータ群を、T回目の材料の作製のための設計パラメータ群xとして出力する。
 また、設計パラメータ群取得部14により複数の設計パラメータ群が取得される場合には、出力部15は、(T-1)回目の次回以降のN回分の材料作製のための設計パラメータ群として、取得された設計パラメータ群を出力する。複数回分の材料作製のための設計パラメータ群は、同時の実験及び材料作製に供されてもよい。
 出力の態様は限定されないが、出力部15は、例えば、所定の表示装置に表示させたり所定の記憶手段に記憶させたりすることにより、設計パラメータ群候補を出力する。
 図6は、材料設計における特性項目及び設計パラメータ群の最適化のプロセスを示すフローチャートである。
 ステップS1において、設計パラメータ群が取得される。ここで取得される設計パラメータ群は、初期の材料作製(実験)のためのものであって、任意に設定された設計パラメータ群であってもよいし、既に行われた実験等に基づいて設定された設計パラメータ群であってもよい。
 ステップS2において、材料作製が行われる。ステップS3において、作製された材料の特性項目の観測値が取得される。ステップS2における作製条件としての設計パラメータ群とステップS3において取得された各特性項目の観測値とのペアは、実績データを構成する。
 ステップS4において、所定の終了条件が充足されたか否かが判定される。所定の終了条件は、設計パラメータ群及び特性項目の観測値の最適化のための条件であって任意に設定されてもよい。最適化のための終了条件は、例えば、作製(実験)及び観測値の取得の所定回数への到達、観測値の目標値への到達及び最適化の収束等であってもよい。所定の終了条件が充足されたと判定された場合には、最適化のプロセスが終了される。所定の終了条件が充足されたと判定されなかった場合には、プロセスは、ステップS5に進む。
 ステップS5において、設計支援装置10による設計支援処理が行われる。設計支援処理は、次の材料作製のための設計パラメータ群を出力する処理である。そして、プロセスは、再びステップS1に戻る。
 なお、ステップS1~S5により構成される処理サイクルの1サイクル目において、設計パラメータ群及び特性項目の観測値のペアが初期データとして複数得られる場合には、ステップS1~S4の処理は省略される。初期データが得られない場合には、ステップS1において、例えば実験計画法及びランダムサーチ等の任意の方法で得られた設計パラメータ群が取得される。処理サイクルの2サイクル目以降では、ステップS1において、ステップS5において出力された設計パラメータ群が取得される。
 図7は、実施形態に係る設計支援装置10における設計支援方法の内容の一例を示すフローチャートであって、図6におけるステップS5の処理を示す。設計支援方法は、プロセッサ101に設計支援プログラムP1が読み込まれて、そのプログラムが実行されることにより、各機能部11~15が実現されることにより実行される。
 ステップS11において、データ取得部11は、作製済みの材料に関しての実績データを複数取得する。実績データは、設計パラメータ群と特性項目のそれぞれの観測値とのペアからなる。
 ステップS12において、モデル構築部12は、実績データに基づいて、予測モデルを構築する。
 ステップS13において、獲得関数構築部13は、設計パラメータ群を入力とし全ての特性項目に示される特性の向上に関する設計パラメータ群の指標値を出力とする目標指向獲得関数を構築する。目標指向獲得関数は、少なくとも目標達成確率項を含む。
 ステップS14において、設計パラメータ群取得部14は、目標指向獲得関数の出力を単目的最適化することにより、設計パラメータ群を取得する。具体的には、設計パラメータ群取得部14は、獲得関数構築部13により構築された目標指向獲得関数A’(x)から出力される指標値を目的変数とする最適化を実施し、最適解として設計パラメータ群xを取得する。
 ステップS15において、出力部15は、ステップS14において選択された設計パラメータ群候補を、次の材料作製(ステップS1)のための設計パラメータ群として出力する。
 次に、コンピュータを、本実施形態の設計支援装置10として機能させるための設計支援プログラムについて説明する。図8は、設計支援プログラムの構成を示す図である。
 設計支援プログラムP1は、設計支援装置10における設計支援処理を統括的に制御するメインモジュールm10、データ取得モジュールm11、モデル構築モジュールm12、獲得関数構築モジュールm13、設計パラメータ群取得モジュールm14及び出力モジュールm15を備えて構成される。そして、各モジュールm11~m15により、データ取得部11、モデル構築部12、獲得関数構築部13、設計パラメータ群取得部14及び出力部15のための各機能が実現される。
 なお、設計支援プログラムP1は、通信回線等の伝送媒体を介して伝送される態様であってもよいし、図8に示されるように、記録媒体M1に記憶される態様であってもよい。
 以上説明した本実施形態の設計支援装置10、設計支援方法及び設計支援プログラムP1によれば、実績データに基づいて特性項目の観測値を予測する予測モデルが構築される。この予測モデルは、観測値を確率分布若しくはその近似又は代替指標として予測するので、与えられた設計パラメータ群に応じて、特性項目の目標値に対する達成確率を算出できる。また、設計パラメータ群を入力とし全ての特性項目の目標値の充足に関する指標値を出力とする目標指向獲得関数が構築される。この目標指向獲得関数は、全ての特性項目の目標値の達成に関する全体達成確率を含む目標達成確率項を含むので、目標指向獲得関数から出力される指標値には、全体達成確率が反映される。従って、目標指向獲得関数から出力される指標値を目的変数とする最適化により、特性項目に関する目標達成可能な設計パラメータ群を得ることができる。
 以上、本発明をその実施形態に基づいて詳細に説明した。しかし、本発明は上記実施形態に限定されるものではない。本発明は、その要旨を逸脱しない範囲で様々な変形が可能である。
 10…設計支援装置、11…データ取得部、12…モデル構築部、13…獲得関数構築部、14…設計パラメータ群取得部、15…出力部、21…設計パラメータ記憶部、22…観測値記憶部、100…コンピュータ、101…プロセッサ、102…主記憶装置、103…補助記憶装置、104…通信制御装置、105…入力装置、106…出力装置、M1…記録媒体、m10…メインモジュール、m11…データ取得モジュール、m12…モデル構築モジュール、m13…獲得関数構築モジュール、m14…設計パラメータ群取得モジュール、m15…出力モジュール、P1…設計支援プログラム。

Claims (14)

  1.  複数の設計パラメータからなる設計パラメータ群に基づいて作製される製品、仕掛品、半製品、部品又は試作品の設計において、設計パラメータの決定と決定された設計パラメータに基づく製品、仕掛品、半製品、部品又は試作品の作製との繰り返しにより設計パラメータの最適化を図る手法に適用するために、製品、仕掛品、半製品、部品又は試作品の特性を示す複数の特性項目のそれぞれについて設定された目標値を満たすような、前記複数の設計パラメータを求める設計支援装置であって、
     作製済みの前記製品、前記仕掛品、前記半製品、前記部品又は前記試作品に関しての、前記設計パラメータ群と前記複数の特性項目のそれぞれの観測値とからなる実績データを複数取得するデータ取得部と、
     前記設計パラメータ群に基づいて前記特性項目の観測値を確率分布若しくはその近似又は代替指標として予測する予測モデルを、前記実績データに基づいて構築するモデル構築部と、
     前記設計パラメータ群を入力とし全ての前記特性項目に示される特性の向上に関する前記設計パラメータ群の指標値を出力とする単一の獲得関数である目標指向獲得関数を構築する獲得関数構築部であって、前記目標指向獲得関数は、全ての特性項目の前記目標値が達成される確率であって前記予測モデルに基づいて前記設計パラメータ群を変数として算出される確率である全体達成確率を含む目標達成確率項を少なくとも含む、獲得関数構築部と、
     前記目標指向獲得関数の最適化により少なくとも一つの設計パラメータ群を取得する設計パラメータ群取得部と、
     前記設計パラメータ群取得部により取得された前記設計パラメータ群を出力する出力部と、
     を備える設計支援装置。
  2.  前記設計パラメータ群取得部は、前記目標指向獲得関数の出力を最適化する少なくとも一つの設計パラメータ群を取得する、
     請求項1に記載の設計支援装置。
  3.  前記設計パラメータ群取得部は、複数の前記設計パラメータ群を所定のアルゴリズムにより取得する、
     請求項1に記載の設計支援装置。
  4.  前記全体達成確率は、各特性項目の前記目標値に対する達成確率の総乗であり、
     各特性項目の前記目標値に対する達成確率は、前記設計パラメータ群を各特性項目の前記予測モデルに入力することにより得られる前記観測値の確率分布に基づく、
     請求項1~3のいずれか一項に記載の設計支援装置。
  5.  前記目標達成確率項は、前記全体達成確率または前記全体達成確率の対数からなる、
     請求項4に記載の設計支援装置。
  6.  前記獲得関数構築部は、前記設計パラメータ群を入力とし前記特性項目に示される特性の向上に関する前記設計パラメータ群の指標値を出力とする獲得関数を前記特性項目ごとに構築し、
     前記目標指向獲得関数は、各特性項目の獲得関数の重み付け和の項をさらに含む、
     請求項1~5のいずれか一項に記載の設計支援装置。
  7.  前記目標指向獲得関数は、各特性項目の獲得関数の重み付け和の項と前記目標達成確率項との和を含む、
     請求項6に記載の設計支援装置。
  8.  前記目標指向獲得関数は、各特性項目の獲得関数の重み付け和の項と前記目標達成確率項との積を含む、
     請求項6に記載の設計支援装置。
  9.  前記獲得関数構築部は、LCB(Lower Confidence Bound)、EI(Expected Improvement)及びPI(Probability of Improvement)のうちのいずれかにより、各特性項目の前記獲得関数を構築する、
     請求項6~8のいずれか一項に記載の設計支援装置。
  10.  前記獲得関数構築部は、前記設計パラメータ群に応じて発生する、前記製品、前記仕掛品、前記半製品、前記部品又は前記試作品の作製に係る時間及び費用のうちの少なくともいずれかを含むコストに関するコスト値を含み、該コスト値が大きいほど、前記設計パラメータ群の好適の程度が減ぜられたことを示す前記指標値を出力する前記獲得関数を構築する、
     請求項6~9のいずれか一項に記載の設計支援装置。
  11.  前記予測モデルは、前記設計パラメータ群を入力とし、前記観測値の確率分布を出力とする回帰モデルまたは分類モデルであり、
     前記モデル構築部は、前記実績データを用いた機械学習により、前記予測モデルを構築する、
     請求項1~9のいずれか一項に記載の設計支援装置。
  12.  前記予測モデルは、ベイズ理論に基づく予測値の事後分布、アンサンブルを構成する予測器の予測値の分布、回帰モデルの予測区間及び信頼区間の理論式、モンテカルロドロップアウト、及び、異なる条件で複数個構築した予測器の予測の分布のうちのいずれか一つを用いて観測値の確率分布若しくはその近似又は代替指標を予測する機械学習モデルである、
     請求項11に記載の設計支援装置。
  13.  複数の設計パラメータからなる設計パラメータ群に基づいて作製される製品、仕掛品、半製品、部品又は試作品の設計において、設計パラメータの決定と決定された設計パラメータに基づく製品、仕掛品、半製品、部品又は試作品の作製との繰り返しにより設計パラメータの最適化を図る手法に適用するために、製品、仕掛品、半製品、部品又は試作品の特性を示す複数の特性項目のそれぞれについて設定された目標値を満たすような、前記複数の設計パラメータを求める設計支援装置における設計支援方法であって、
     作製済みの前記製品、前記仕掛品、前記半製品、前記部品又は前記試作品に関しての、前記設計パラメータ群と前記複数の特性項目のそれぞれの観測値とからなる実績データを複数取得するデータ取得ステップと、
     前記設計パラメータ群に基づいて前記特性項目の観測値を確率分布若しくはその近似又は代替指標として予測する予測モデルを、前記実績データに基づいて構築するモデル構築ステップと、
     前記設計パラメータ群を入力とし全ての前記特性項目に示される特性の向上に関する前記設計パラメータ群の指標値を出力とする単一の獲得関数である目標指向獲得関数を構築する獲得関数構築部であって、前記目標指向獲得関数は、全ての特性項目の前記目標値が達成される確率であって前記予測モデルに基づいて前記設計パラメータ群を変数として算出される確率である全体達成確率を含む目標達成確率項を少なくとも含む、獲得関数構築ステップと、
     前記目標指向獲得関数の最適化により少なくとも一つの設計パラメータ群を取得する設計パラメータ群取得ステップと、
     前記設計パラメータ群取得ステップにおいて取得された前記設計パラメータ群を出力する出力ステップと、
     を有する設計支援方法。
  14.  コンピュータを、複数の設計パラメータからなる設計パラメータ群に基づいて作製される製品、仕掛品、半製品、部品又は試作品の設計において、設計パラメータの決定と決定された設計パラメータに基づく製品、仕掛品、半製品、部品又は試作品の作製との繰り返しにより設計パラメータの最適化を図る手法に適用するために、製品、仕掛品、半製品、部品又は試作品の特性を示す複数の特性項目のそれぞれについて設定された目標値を満たすような、前記複数の設計パラメータを求める設計支援装置として機能させるための設計支援プログラムであって、
     前記コンピュータに、
     作製済みの前記製品、前記仕掛品、前記半製品、前記部品又は前記試作品に関しての、前記設計パラメータ群と前記複数の特性項目のそれぞれの観測値とからなる実績データを複数取得するデータ取得機能と、
     前記設計パラメータ群に基づいて前記特性項目の観測値を確率分布若しくはその近似又は代替指標として予測する予測モデルを、前記実績データに基づいて構築するモデル構築機能と、
     前記設計パラメータ群を入力とし全ての前記特性項目に示される特性の向上に関する前記設計パラメータ群の指標値を出力とする単一の獲得関数である目標指向獲得関数を構築する獲得関数構築部であって、前記目標指向獲得関数は、全ての特性項目の前記目標値が達成される確率であって前記予測モデルに基づいて前記設計パラメータ群を変数として算出される確率である全体達成確率を含む目標達成確率項を少なくとも含む、獲得関数構築機能と、
     前記目標指向獲得関数の最適化により少なくとも一つの設計パラメータ群を取得する設計パラメータ群取得機能と、
     前記設計パラメータ群取得機能により取得された前記設計パラメータ群を出力する出力機能と、
     を実現させる設計支援プログラム。
     
     
PCT/JP2021/042994 2020-12-10 2021-11-24 設計支援装置、設計支援方法及び設計支援プログラム WO2022124077A1 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
KR1020237020286A KR20230112663A (ko) 2020-12-10 2021-11-24 설계 지원 장치, 설계 지원 방법 및 설계 지원 프로그램
CN202180082652.2A CN116569172A (zh) 2020-12-10 2021-11-24 设计支援装置、设计支援方法及设计支援程序
EP21903181.2A EP4246364A4 (en) 2020-12-10 2021-11-24 DESIGN ASSISTANCE DEVICE, DESIGN ASSISTANCE METHOD AND DESIGN ASSISTANCE PROGRAM
US18/256,452 US20240028796A1 (en) 2020-12-10 2021-11-24 Design assistance device, design assistance method, and design assistance program

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2020205057A JP2022092308A (ja) 2020-12-10 2020-12-10 設計支援装置、設計支援方法及び設計支援プログラム
JP2020-205057 2020-12-10

Publications (1)

Publication Number Publication Date
WO2022124077A1 true WO2022124077A1 (ja) 2022-06-16

Family

ID=81974440

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2021/042994 WO2022124077A1 (ja) 2020-12-10 2021-11-24 設計支援装置、設計支援方法及び設計支援プログラム

Country Status (6)

Country Link
US (1) US20240028796A1 (ja)
EP (1) EP4246364A4 (ja)
JP (1) JP2022092308A (ja)
KR (1) KR20230112663A (ja)
CN (1) CN116569172A (ja)
WO (1) WO2022124077A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2024024957A1 (ja) * 2022-07-29 2024-02-01 株式会社レゾナック 設計支援装置、設計支援方法及び設計支援プログラム

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022092297A (ja) * 2020-12-10 2022-06-22 昭和電工マテリアルズ株式会社 設計支援装置、設計支援方法及び設計支援プログラム
JP2022092308A (ja) * 2020-12-10 2022-06-22 昭和電工マテリアルズ株式会社 設計支援装置、設計支援方法及び設計支援プログラム

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014006825A (ja) * 2012-06-27 2014-01-16 Hitachi Ltd 設計支援装置
JP2016045536A (ja) * 2014-08-20 2016-04-04 株式会社日立製作所 設計支援装置
JP2016200902A (ja) * 2015-04-08 2016-12-01 横浜ゴム株式会社 構造体の近似モデル作成方法、構造体の近似モデル作成装置、およびプログラム
WO2019088185A1 (ja) * 2017-11-01 2019-05-09 株式会社日立製作所 設計支援装置及び設計支援方法
JP2020052737A (ja) 2018-09-27 2020-04-02 株式会社神戸製鋼所 製品設計装置および該方法

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU2020101453A4 (en) * 2020-07-23 2020-08-27 China Communications Construction Co., Ltd. An Intelligent Optimization Method of Durable Concrete Mix Proportion Based on Data mining
JP2022092308A (ja) * 2020-12-10 2022-06-22 昭和電工マテリアルズ株式会社 設計支援装置、設計支援方法及び設計支援プログラム

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014006825A (ja) * 2012-06-27 2014-01-16 Hitachi Ltd 設計支援装置
JP2016045536A (ja) * 2014-08-20 2016-04-04 株式会社日立製作所 設計支援装置
JP2016200902A (ja) * 2015-04-08 2016-12-01 横浜ゴム株式会社 構造体の近似モデル作成方法、構造体の近似モデル作成装置、およびプログラム
WO2019088185A1 (ja) * 2017-11-01 2019-05-09 株式会社日立製作所 設計支援装置及び設計支援方法
JP2020052737A (ja) 2018-09-27 2020-04-02 株式会社神戸製鋼所 製品設計装置および該方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of EP4246364A4

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2024024957A1 (ja) * 2022-07-29 2024-02-01 株式会社レゾナック 設計支援装置、設計支援方法及び設計支援プログラム

Also Published As

Publication number Publication date
EP4246364A4 (en) 2024-05-15
JP2022092308A (ja) 2022-06-22
CN116569172A (zh) 2023-08-08
EP4246364A1 (en) 2023-09-20
US20240028796A1 (en) 2024-01-25
KR20230112663A (ko) 2023-07-27

Similar Documents

Publication Publication Date Title
WO2022124077A1 (ja) 設計支援装置、設計支援方法及び設計支援プログラム
WO2022124075A1 (ja) 設計支援装置、設計支援方法及び設計支援プログラム
Erickson et al. Comparison of Gaussian process modeling software
Guimarães et al. An innovative adaptive sparse response surface method for structural reliability analysis
Hadavandi et al. A novel Boosted-neural network ensemble for modeling multi-target regression problems
Češka et al. PRISM-PSY: precise GPU-accelerated parameter synthesis for stochastic systems
Bon et al. Accelerating sequential Monte Carlo with surrogate likelihoods
Kárný Recursive estimation of high-order Markov chains: approximation by finite mixtures
WO2022230736A1 (ja) 設計支援装置、設計支援方法及び設計支援プログラム
JPWO2018088277A1 (ja) 予測モデル生成システム、方法およびプログラム
Yu et al. Latent variable model predictive control for trajectory tracking in batch processes: internal model control interpretation and design methodology
Chu et al. Application of Latin hypercube sampling based kriging surrogate models in reliability assessment
WO2024024957A1 (ja) 設計支援装置、設計支援方法及び設計支援プログラム
WO2023080179A1 (ja) 設計支援装置、設計支援方法及び設計支援プログラム
Furer et al. Smoothing spline regression estimation based on real and artificial data
JP2024011665A (ja) 設計支援装置、設計支援方法及び設計支援プログラム
Ferrari et al. Response improvement in complex experiments by co-information composite likelihood optimization
Javed et al. Projection-based model order reduction for biochemical systems
Bagheri et al. Surrogate-assisted optimization for augmentation of finite element techniques
JP7480844B2 (ja) 需要予測装置、需要予測方法、及び需要予測プログラム
Kartal Metamodeling complex systems using linear and nonlinear regression methods
JPWO2018088276A1 (ja) 予測モデル生成システム、方法およびプログラム
Pyle et al. Surrogate modelling for efficient discovery of emergent population dynamics
US20240047018A1 (en) Information processing system, information processing method, and storage medium
Fleming et al. A Bayesian data modelling framework for chemical processes using adaptive sequential design with Gaussian process regression

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 21903181

Country of ref document: EP

Kind code of ref document: A1

WWE Wipo information: entry into national phase

Ref document number: 202180082652.2

Country of ref document: CN

Ref document number: 18256452

Country of ref document: US

ENP Entry into the national phase

Ref document number: 20237020286

Country of ref document: KR

Kind code of ref document: A

ENP Entry into the national phase

Ref document number: 2021903181

Country of ref document: EP

Effective date: 20230614

NENP Non-entry into the national phase

Ref country code: DE