EP3495889A1 - Method for controlling a manufacturing apparatus and associated apparatuses - Google Patents

Method for controlling a manufacturing apparatus and associated apparatuses Download PDF

Info

Publication number
EP3495889A1
EP3495889A1 EP17205900.8A EP17205900A EP3495889A1 EP 3495889 A1 EP3495889 A1 EP 3495889A1 EP 17205900 A EP17205900 A EP 17205900A EP 3495889 A1 EP3495889 A1 EP 3495889A1
Authority
EP
European Patent Office
Prior art keywords
corrections
layer
substrate
determining
layers
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
EP17205900.8A
Other languages
German (de)
English (en)
French (fr)
Inventor
Roy Werkman
Bijoy RAJASEKHARAN
Lydia Marianna Vergaij-Huizer
Jochem Sebastiaan Wildenberg
Ronald VAN ITTERSUM
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Priority to EP17205900.8A priority Critical patent/EP3495889A1/en
Priority to KR1020207016322A priority patent/KR102492186B1/ko
Priority to PCT/EP2018/080708 priority patent/WO2019110238A1/en
Priority to US16/762,982 priority patent/US11669017B2/en
Priority to TW107143184A priority patent/TW201935138A/zh
Publication of EP3495889A1 publication Critical patent/EP3495889A1/en
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70525Controlling normal operating mode, e.g. matching different apparatus, remote control or prediction of failure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70458Mix-and-match, i.e. multiple exposures of the same area using a similar type of exposure apparatus, e.g. multiple exposures using a UV apparatus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns

Definitions

  • the present invention relates to methods and apparatus for applying patterns to a substrate in a lithographic process.
  • a lithographic apparatus is a machine that applies a desired pattern onto a substrate, usually onto a target portion of the substrate.
  • a lithographic apparatus can be used, for example, in the manufacture of integrated circuits (ICs).
  • a patterning device which is alternatively referred to as a mask or a reticle, may be used to generate a circuit pattern to be formed on an individual layer of the IC.
  • This pattern can be transferred onto a target portion (e.g. comprising part of, one, or several dies) on a substrate (e.g. a silicon wafer). Transfer of the pattern is typically via imaging onto a layer of radiation-sensitive material (resist) provided on the substrate.
  • resist radiation-sensitive material
  • a single substrate will contain a network of adjacent target portions that are successively patterned.
  • lithographic apparatus include so-called steppers, in which each target portion is irradiated by exposing an entire pattern onto the target portion at one time, and so-called scanners, in which each target portion is irradiated by scanning the pattern through a radiation beam in a given direction (the "scanning"-direction) while synchronously scanning the substrate parallel or anti-parallel to this direction. It is also possible to transfer the pattern from the patterning device to the substrate by imprinting the pattern onto the substrate.
  • parameters of the patterned substrate are measured.
  • Parameters may include, for example, the overlay error between successive layers formed in or on the patterned substrate and critical linewidth (CD) of developed photosensitive resist. This measurement may be performed on a product substrate and/or on a dedicated metrology target.
  • CD critical linewidth
  • a fast and non-invasive form of specialized inspection tool is a scatterometer in which a beam of radiation is directed onto a target on the surface of the substrate and properties of the scattered or reflected beam are measured. Two main types of scatterometer are known.
  • Spectroscopic scatterometers direct a broadband radiation beam onto the substrate and measure the spectrum (intensity as a function of wavelength) of the radiation scattered into a particular narrow angular range.
  • Angularly resolved scatterometers use a monochromatic radiation beam and measure the intensity of the scattered radiation as a function of angle.
  • Examples of known scatterometers include angle-resolved scatterometers of the type described in US2006033921A1 and US2010201963A1 .
  • the targets used by such scatterometers are relatively large, e.g., 40 ⁇ m by 40 ⁇ m, gratings and the measurement beam generates a spot that is smaller than the grating (i.e., the grating is underfilled).
  • diffraction based overlay can be measured using such apparatus, as described in published patent application US2006066855A1 . Diffraction-based overlay metrology using dark-field imaging of the diffraction orders enables overlay measurements on smaller targets.
  • Examples of dark field imaging metrology can be found in international patent applications WO 2009/078708 and WO 2009/106279 which documents are hereby incorporated by reference in their entirety. Further developments of the technique have been described in published patent publications US20110027704A , US20110043791A , US2011102753A1 , US20120044470A , US20120123581A , US20130258310A , US20130271740A and WO2013178422A1 . These targets can be smaller than the illumination spot and may be surrounded by product structures on a wafer. Multiple gratings can be measured in one image, using a composite grating target. The contents of all these applications are also incorporated herein by reference.
  • process control methods are used to monitor and control the process. Such process control techniques are typically performed to obtain corrections for control of the lithographic process. It would be desirable to improve such process control methods.
  • a method for determining a plurality of corrections for control of at least one manufacturing apparatus used in a manufacturing process for providing product structures to a substrate in a plurality of layers comprising determining the plurality of corrections comprising a correction for each layer, based on an actuation potential of the applicable manufacturing apparatus used in the formation of each layer.
  • a processing device for determining corrections for control of at least one manufacturing apparatus configured to provide product structures to a substrate in a plurality of layers in a manufacturing process, the processing device being configured to perform the method of the first aspect.
  • a computer program comprising program instructions operable to perform the method of the first aspect when run on a suitable apparatus.
  • a manufacturing apparatus configured to provide product structures to a substrate in a manufacturing process, said manufacturing apparatus comprising the processing device of the second aspect.
  • Figure 1 at 200 shows a lithographic apparatus LA as part of an industrial production facility implementing a high-volume, lithographic manufacturing process.
  • the manufacturing process is adapted for the manufacture of for semiconductor products (integrated circuits) on substrates such as semiconductor wafers.
  • substrates such as semiconductor wafers.
  • semiconductor products integrated circuits
  • substrates such as semiconductor wafers.
  • the skilled person will appreciate that a wide variety of products can be manufactured by processing different types of substrates in variants of this process.
  • the production of semiconductor products is used purely as an example which has great commercial significance today.
  • a measurement station MEA is shown at 202 and an exposure station EXP is shown at 204.
  • a control unit LACU is shown at 206.
  • each substrate visits the measurement station and the exposure station to have a pattern applied.
  • a projection system is used to transfer a product pattern from a patterning device MA onto the substrate using conditioned radiation and a projection system. This is done by forming an image of the pattern in a layer of radiation-sensitive resist material.
  • the term "projection system” used herein should be broadly interpreted as encompassing any type of projection system, including refractive, reflective, catadioptric, magnetic, electromagnetic and electrostatic optical systems, or any combination thereof, as appropriate for the exposure radiation being used, or for other factors such as the use of an immersion liquid or the use of a vacuum.
  • the patterning MA device may be a mask or reticle, which imparts a pattern to a radiation beam transmitted or reflected by the patterning device.
  • Well-known modes of operation include a stepping mode and a scanning mode.
  • the projection system may cooperate with support and positioning systems for the substrate and the patterning device in a variety of ways to apply a desired pattern to many target portions across a substrate.
  • Programmable patterning devices may be used instead of reticles having a fixed pattern.
  • the radiation for example may include electromagnetic radiation in the deep ultraviolet (DUV) or extreme ultraviolet (EUV) wavebands.
  • DUV deep ultraviolet
  • EUV extreme ultraviolet
  • the present disclosure is also applicable to other types of lithographic process, for example imprint lithography and direct writing lithography, for example by electron beam.
  • control unit LACU which controls all the movements and measurements of various actuators and sensors to receive substrates W and reticles MA and to implement the patterning operations.
  • LACU also includes signal processing and data processing capacity to implement desired calculations relevant to the operation of the apparatus.
  • control unit LACU will be realized as a system of many sub-units, each handling the real-time data acquisition, processing and control of a subsystem or component within the apparatus.
  • the substrate is processed in at the measurement station MEA so that various preparatory steps may be carried out.
  • the preparatory steps may include mapping the surface height of the substrate using a level sensor and measuring the position of alignment marks on the substrate using an alignment sensor.
  • the alignment marks are arranged nominally in a regular grid pattern. However, due to inaccuracies in creating the marks and also due to deformations of the substrate that occur throughout its processing, the marks deviate from the ideal grid. Consequently, in addition to measuring position and orientation of the substrate, the alignment sensor in practice must measure in detail the positions of many marks across the substrate area, if the apparatus is to print product features at the correct locations with very high accuracy.
  • the apparatus may be of a so-called dual stage type which has two substrate tables, each with a positioning system controlled by the control unit LACU. While one substrate on one substrate table is being exposed at the exposure station EXP, another substrate can be loaded onto the other substrate table at the measurement station MEA so that various preparatory steps may be carried out.
  • the measurement of alignment marks is therefore very time-consuming and the provision of two substrate tables enables a substantial increase in the throughput of the apparatus.
  • the position sensor IF is not capable of measuring the position of the substrate table while it is at the measurement station as well as at the exposure station, a second position sensor may be provided to enable the positions of the substrate table to be tracked at both stations.
  • Lithographic apparatus LA may for example is of a so-called dual stage type which has two substrate tables and two stations - an exposure station and a measurement station-between which the substrate tables can be exchanged.
  • apparatus 200 forms part of a "litho cell” or “litho cluster” that contains also a coating apparatus 208 for applying photosensitive resist and other coatings to substrates W for patterning by the apparatus 200.
  • a baking apparatus 210 and developing apparatus 212 are provided for developing the exposed pattern into a physical resist pattern.
  • substrate handling systems take care of supporting the substrates and transferring them from one piece of apparatus to the next.
  • These apparatuses which are often collectively referred to as the track, are under the control of a track control unit which is itself controlled by a supervisory control system SCS, which also controls the lithographic apparatus via lithographic apparatus control unit LACU.
  • supervisory control system SCS receives recipe information R which provides in great detail a definition of the steps to be performed to create each patterned substrate.
  • patterned substrates 220 are transferred to other processing apparatuses such as are illustrated at 222, 224, 226.
  • apparatus 222 in this embodiment is an etching station, and apparatus 224 performs a post-etch annealing step. Further physical and/or chemical processing steps are applied in further apparatuses, 226, etc.. Numerous types of operation can be required to make a real device, such as deposition of material, modification of surface material characteristics (oxidation, doping, ion implantation etc.), chemical-mechanical polishing (CMP), and so forth.
  • CMP chemical-mechanical polishing
  • the apparatus 226 may, in practice, represent a series of different processing steps performed in one or more apparatuses. As another example, apparatus and processing steps may be provided for the implementation of self-aligned multiple patterning, to produce multiple smaller features based on a precursor pattern laid down by the lithographic apparatus.
  • substrates 230 arriving at the litho cluster may be newly prepared substrates, or they may be substrates that have been processed previously in this cluster or in another apparatus entirely.
  • substrates 232 on leaving apparatus 226 may be returned for a subsequent patterning operation in the same litho cluster, they may be destined for patterning operations in a different cluster, or they may be finished products to be sent for dicing and packaging.
  • Each layer of the product structure requires a different set of process steps, and the apparatuses 226 used at each layer may be completely different in type. Further, even where the processing steps to be applied by the apparatus 226 are nominally the same, in a large facility, there may be several supposedly identical machines working in parallel to perform the step 226 on different substrates. Small differences in set-up or faults between these machines can mean that they influence different substrates in different ways. Even steps that are relatively common to each layer, such as etching (apparatus 222) may be implemented by several etching apparatuses that are nominally identical but working in parallel to maximize throughput. In practice, moreover, different layers require different etch processes, for example chemical etches, plasma etches, according to the details of the material to be etched, and special requirements such as, for example, anisotropic etching.
  • the previous and/or subsequent processes may be performed in other lithography apparatuses, as just mentioned, and may even be performed in different types of lithography apparatus.
  • some layers in the device manufacturing process which are very demanding in parameters such as resolution and overlay may be performed in a more advanced lithography tool than other layers that are less demanding. Therefore some layers may be exposed in an immersion type lithography tool, while others are exposed in a 'dry' tool. Some layers may be exposed in a tool working at DUV wavelengths, while others are exposed using EUV wavelength radiation.
  • a manufacturing facility in which litho cell LC is located also includes metrology system which receives some or all of the substrates W that have been processed in the litho cell. Metrology results are provided directly or indirectly to the supervisory control system SCS. If errors are detected, adjustments may be made to exposures of subsequent substrates, especially if the metrology can be done soon and fast enough that other substrates of the same batch are still to be exposed.
  • already exposed substrates may be stripped and reworked to improve yield, or discarded, thereby avoiding performing further processing on substrates that are known to be faulty. In a case where only some target portions of a substrate are faulty, further exposures can be performed only on those target portions which are good.
  • a metrology apparatus 240 which is provided for making measurements of parameters of the products at desired stages in the manufacturing process.
  • a common example of a metrology station in a modern lithographic production facility is a scatterometer, for example a dark-field scatterometer, an angle-resolved scatterometer or a spectroscopic scatterometer, and it may be applied to measure properties of the developed substrates at 220 prior to etching in the apparatus 222.
  • a scatterometer for example a dark-field scatterometer, an angle-resolved scatterometer or a spectroscopic scatterometer, and it may be applied to measure properties of the developed substrates at 220 prior to etching in the apparatus 222.
  • important performance parameters such as overlay or critical dimension (CD) do not meet specified accuracy requirements in the developed resist.
  • CD critical dimension
  • the metrology results 242 from the apparatus 240 can be used to maintain accurate performance of the patterning operations in the litho cluster, by supervisory control system SCS and/or control unit LACU 206 making small adjustments over time, thereby minimizing the risk of products being made out-of-specification, and requiring re-work.
  • metrology apparatus 240 and/or other metrology apparatuses can be applied to measure properties of the processed substrates 232, 234, and incoming substrates 230.
  • the metrology apparatus can be used on the processed substrate to determine important parameters such as overlay or CD.
  • a metrology apparatus suitable for use in embodiments of the invention is shown in Figure 2(a) .
  • a target T and diffracted rays of measurement radiation used to illuminate the target are illustrated in more detail in Figure 2(b) .
  • the metrology apparatus illustrated is of a type known as a dark field metrology apparatus.
  • the metrology apparatus may be a stand-alone device or incorporated in either the lithographic apparatus LA, e.g., at the measurement station, or the lithographic cell LC.
  • An optical axis, which has several branches throughout the apparatus, is represented by a dotted line O.
  • light emitted by source 11 is directed onto substrate W via a beam splitter 15 by an optical system comprising lenses 12, 14 and objective lens 16.
  • lenses 12, 14 and objective lens 16 are arranged in a double sequence of a 4F arrangement.
  • a different lens arrangement can be used, provided that it still provides a substrate image onto a detector, and simultaneously allows for access of an intermediate pupil-plane for spatial-frequency filtering. Therefore, the angular range at which the radiation is incident on the substrate can be selected by defining a spatial intensity distribution in a plane that presents the spatial spectrum of the substrate plane, here referred to as a (conjugate) pupil plane.
  • aperture plate 13 of suitable form between lenses 12 and 14, in a plane which is a back-projected image of the objective lens pupil plane.
  • aperture plate 13 has different forms, labeled 13N and 13S, allowing different illumination modes to be selected.
  • the illumination system in the present examples forms an off-axis illumination mode.
  • aperture plate 13N provides off-axis from a direction designated, for the sake of description only, as 'north'.
  • aperture plate 13S is used to provide similar illumination, but from an opposite direction, labeled 'south'.
  • Other modes of illumination are possible by using different apertures.
  • the rest of the pupil plane is desirably dark as any unnecessary light outside the desired illumination mode will interfere with the desired measurement signals.
  • target T is placed with substrate W normal to the optical axis O of objective lens 16.
  • the substrate W may be supported by a support (not shown).
  • a ray of measurement radiation I impinging on target T from an angle off the axis O gives rise to a zeroth order ray (solid line 0) and two first order rays (dot-chain line +1 and double dot-chain line -1). It should be remembered that with an overfilled small target, these rays are just one of many parallel rays covering the area of the substrate including metrology target T and other features.
  • the aperture in plate 13 has a finite width (necessary to admit a useful quantity of light, the incident rays I will in fact occupy a range of angles, and the diffracted rays 0 and +1/-1 will be spread out somewhat. According to the point spread function of a small target, each order +1 and -1 will be further spread over a range of angles, not a single ideal ray as shown. Note that the grating pitches of the targets and the illumination angles can be designed or adjusted so that the first order rays entering the objective lens are closely aligned with the central optical axis. The rays illustrated in Figure 2(a) and 2(b) are shown somewhat off axis, purely to enable them to be more easily distinguished in the diagram.
  • both the first and second illumination modes are illustrated, by designating diametrically opposite apertures labeled as north (N) and south (S).
  • N north
  • S south
  • the incident ray I of measurement radiation is from the north side of the optical axis, that is when the first illumination mode is applied using aperture plate 13N
  • the +1 diffracted rays which are labeled +1(N)
  • the second illumination mode is applied using aperture plate 13S
  • the -1 diffracted rays (labeled -1(S)) are the ones which enter the lens 16.
  • a second beam splitter 17 divides the diffracted beams into two measurement branches.
  • optical system 18 forms a diffraction spectrum (pupil plane image) of the target on first sensor 19 (e.g. a CCD or CMOS sensor) using the zeroth and first order diffractive beams.
  • first sensor 19 e.g. a CCD or CMOS sensor
  • the pupil plane image captured by sensor 19 can be used for many measurement purposes such as reconstruction used in methods described herein.
  • the pupil plane image can also be used for focusing the metrology apparatus and/or normalizing intensity measurements of the first order beam.
  • optical system 20, 22 forms an image of the target T on sensor 23 (e.g. a CCD or CMOS sensor).
  • an aperture stop 21 is provided in a plane that is conjugate to the pupil-plane. Aperture stop 21 functions to block the zeroth order diffracted beam so that the image of the target formed on sensor 23 is formed only from the -1 or +1 first order beam.
  • the images captured by sensors 19 and 23 are output to processor PU which processes the image, the function of which will depend on the particular type of measurements being performed. Note that the term 'image' is used here in a broad sense. An image of the grating lines as such will not be formed, if only one of the -1 and +1 orders is present.
  • aperture plate 13 and field stop 21 are purely examples.
  • on-axis illumination of the targets is used and an aperture stop with an off-axis aperture is used to pass substantially only one first order of diffracted light to the sensor.
  • 2 nd , 3 rd and higher order beams can be used in measurements, instead of or in addition to the first order beams.
  • the target T may comprise a number of gratings, which may have differently biased overlay offsets in order to facilitate measurement of overlay between the layers in which the different parts of the composite gratings are formed.
  • the gratings may also differ in their orientation, so as to diffract incoming radiation in X and Y directions.
  • a target may comprise two X-direction gratings with biased overlay offsets +d and -d, and Y-direction gratings with biased overlay offsets +d and -d. Separate images of these gratings can be identified in the image captured by sensor 23.
  • the intensities of those individual images can be measured, e.g., by averaging or summing selected pixel intensity values within the identified areas. Intensities and/or other properties of the images can be compared with one another. These results can be combined to measure different parameters of the lithographic process
  • Various techniques may be used to improve the accuracy of reproduction of patterns onto a substrate. Accurate reproduction of patterns onto a substrate is not the only concern in the production of ICs. Another concern is the yield, which generally measures how many functional devices a device manufacturer or a device manufacturing process can produce per substrate. Various approaches can be employed to enhance the yield. One such approach attempts to make the production of devices (e.g., imaging a portion of a design layout onto a substrate using a lithographic apparatus such as a scanner) more tolerant to perturbations of at least one of the processing parameters during processing a substrate, e.g., during imaging of a portion of a design layout onto a substrate using a lithographic apparatus.
  • the concept of a criticality metric such as a process window is a useful tool for this approach.
  • the production of devices may include other steps such as substrate measurements before, after or during imaging, loading or unloading of the substrate, loading or unloading of a patterning device, positioning of a die underneath the projection optics before exposure, stepping from one die to another, etc.
  • various patterns on a patterning device may have a process window (i.e., a space of processing parameters under which a pattern will be produced within specification). Examples of pattern specifications that relate to a potential systematic defect include checks for necking, line pull back, line thinning, CD, edge placement, overlapping, resist top loss, resist undercut and/or bridging.
  • the process window of all or some (usually patterns within a particular area) of the patterns on a patterning device may be obtained by merging (e.g., overlapping) process windows of each individual pattern to determine an overlapping process window (OPW).
  • the process window of these patterns is thus called an overlapping process window.
  • the boundary of the OPW may contain boundaries of process windows of some of the individual patterns. In another words, these individual patterns limit the OPW.
  • These individual patterns can be referred to as "hot spots” or “process window limiting patterns (PWLPs),” which are used interchangeably herein.
  • PWLPs process window limiting patterns
  • the imaging becomes more tolerant to perturbations when values of the processing parameters are closer to the OPW if the values of the processing parameters are outside the OPW, or when the values of the processing parameters are farther away from the boundary of the OPW if the values of the processing parameters are inside the OPW.
  • Figure 3 shows exemplary sources of processing parameters 350.
  • One source may be data 310 of the processing apparatus, such as parameters of the source, projection optics, substrate stage, etc. of a lithography apparatus, of a track, etc.
  • Another source may be data 320 from various substrate metrology tools, such as a substrate height map, a focus map, a critical dimension uniformity (CDU) map, etc.
  • Data 320 may be obtained before the applicable substrate was subject to a step (e.g., development) that prevents reworking of the substrate.
  • Another source may be data 330 from one or more patterning device metrology tools, patterning device CDU map, patterning device (e.g., mask) film stack parameter variation, etc.
  • Yet another source may be data 340 from an operator of the processing apparatus.
  • Figure 4 schematically illustrates the concept of an OPW.
  • an area, or grid element/pixel, 500 on the patterning device is assumed to have only two individual patterns 510 and 520.
  • the area may include many more patterns.
  • the process windows for the individual patterns 510 and 520 are 511 and 512, respectively.
  • the processing parameters are assumed to only include focus (horizontal axis) and dose (vertical axis).
  • the processing parameters may however include any suitable parameters.
  • the OPW 550 of the area can be obtained by finding the overlap between process windows 511 and 512.
  • the OPW 550 is represented as the hatched area in Figure 4 .
  • the OPW 550 can have an irregular shape.
  • a "fitted OPW” (e.g., ellipse 560) may be used instead.
  • the "fitted OPW” can be, for example, the largest hyperellipsoid (e.g., ellipse in 2-dimensional processing parameter space as in this example, ellipsoid 3-dimensional processing parameter space, etc.) that fits inside the OPW.
  • Using the "fitted OPW” tends to reduce the computational cost but does not take advantage of the full size of the OPW.
  • the values of the processing parameters may be selected such that they stay away from the boundary of the OPW or the fitted OPW, in order to decrease the chance that the processing parameters shift outside the OPW and thereby cause defects and decrease the yield.
  • One approach of selecting the values of the processing parameters includes, before actual imaging, (1) optimizing the lithographic apparatus (e.g., optimizing the source and projection optics) and optimizing the design layout, (2) determining the OPW or fitted OPW (e.g., by simulation), and (3) determining a point in the space of the processing parameters (i.e., determining the values of the processing parameters) that is as far away as possible from the boundary of the OPW or fitted OPW (this point may be called the "center" of the OPW or fitted OPW).
  • point 555 is the point in the processing parameter space that is as far away as possible from the boundary of the OPW 550 and point 565 is the point in the processing parameter space that is as far away as possible from the boundary of the fitted OPW 560.
  • Point 555 and point 565 may be referred to as the nominal condition.
  • the processing parameters shift away from point 555 or point 565, towards the boundary of the OPW or even to the outside the boundary of the OPW, it would be beneficial to have the capability of realizing such a shift and to make appropriate corrections to put the processing parameters back into the OPW and away from its boundary, desirably without interrupting the imaging or other processing.
  • the processing parameters may have a perturbation that causes them to deviate from the point that is as far away as possible from the boundary of the OPW or fitted OPW.
  • the focus may change due to topography of a substrate to be exposed, drift in the substrate stage, deformation of the projection optics, etc.; the dose may change to due drift in the source intensity, dwell time, etc.
  • the perturbation may be large enough to cause processing parameters to be outside the OPW, and thus may lead to defects.
  • Various techniques may be used to identify a processing parameter that is perturbed and to correct that processing parameter. For example, if the focus is perturbed, e.g., because an area of the substrate that is slightly raised from the rest of the substrate is being exposed, the substrate stage may be moved or tilted to compensate for the perturbation.
  • Control of the lithographic process are typically based on measurements fed back or fed forward and then modelled using, for example interfield (across-substrate fingerprint) or intrafield (across-field fingerprint) models.
  • interfield as cross-substrate fingerprint
  • intrafield ascross-field fingerprint
  • there may be separate functional areas such as memory areas, logic areas, contact areas etc.
  • Each different functional area, or different functional area type may have a different process window, each with a different processes window center.
  • different functional area types may have different heights, and therefore different best focus settings.
  • different functional area types may have different structure complexities and therefore different focus tolerances (focus process windows) around each best focus.
  • each of these different functional areas will typically be formed using the same focus (or dose or position etc.) setting due to control grid resolution limitations.
  • CD matching quality in this context describes the degree of matching of critical dimension (CD) of similar structures formed in different layers on a substrate.
  • EPE in this context describes the combined error resultant from overlay and CD matching quality (and possibly other errors such as local and/or global CD uniformity).
  • the position or size of a first structure in a first (i.e., earlier applied) layer is measured and a correction is determined for implementation by the lithographic apparatus (scanner), the correction improving relative placement and/or size of a second (e.g., similar) second structure, with respect to the first structure, in a subsequently applied layer.
  • a correction is determined for implementation by the lithographic apparatus (scanner), the correction improving relative placement and/or size of a second (e.g., similar) second structure, with respect to the first structure, in a subsequently applied layer.
  • actuation potential of the scanner may be limited and therefore it may not be possible to implement the determined corrections.
  • actuation of a focus correction across-slit is limited as the "slit cannot be bent", i.e., the focus cannot be directly varied non-linearly across the slit by a non-linear variation of distance between reticle and substrate stage.
  • the corrections determined for each layer will typically be different and therefore the degree to which the scanner can actuate each correction for each layer will also differ accordingly.
  • different scanners may be used for different layers of the same stack and it is possible that the different scanners have different actuation capabilities compared to the scanner(s) used for the other layers.
  • corrections which take into account the correction potential of the applicable lithographic apparatus (e.g., scanner) at each layer so as to maximize the probability that the final device will function.
  • corrections may be based on metrology of previously completed stacks (e.g., using through-stack metrology techniques).
  • layer alignment e.g., CD matching quality, overlay or EPE
  • EPE EPE
  • the optimization may include optimizing placement of the two layers for best layer alignment, taking into account the correction potential of the lithographic apparatus (or respective lithographic apparatuses if different apparatuses are used to expose different layers).
  • Such an optimization may result in a placement correction for the lower layer instead of, or in addition to, a placement correction for the upper layer, so as to maximize the probability that the final device will function.
  • Such an approach can be applied to stacks of more than two layers, optimizing the placement of structures within these layers.
  • the optimization may take into account one or more criticality metrics (e.g., an allowed variation range or process window) for each layer and/or for specific layer pairs. For example, it may be critical that a via layer, comprising contact holes, is properly aligned with a metal layer, comprising lines and spaces (i.e., the process window for placement of the via layer with respect to the metal layer is small). Such layers may be referred to as critical layers. As such, the optimization may be weighted in favor of ensuring good alignment of these two layers, potentially at the cost of one or more other, less critical layers having larger process windows, whether they be located lower or higher in the stack.
  • criticality metrics e.g., an allowed variation range or process window
  • the correction may be determined by the lithographic apparatus or using a separate (e.g., offline) processing device, based on offline and/or inline measurements of processed substrates.
  • the correction potential limitations will be known from prior knowledge of the machine actuation and/or observation.
  • the determined corrections may comprise any type of position correction relating to formation of structures in two or more layers, and which may be subject to correction potential limitations in one or more of the layers.
  • Such corrections may relate to one or more of: machine matching, reticle errors (e.g, reticle heating, reticle writing errors, reticle shape errors), lens heating, process corrections, focus and/or dose control and positional corrections of reticle and/or substrate stage.
  • the corrections can then be fed back to the relevant lithographic apparatus(es) (or other apparatuses) for implementation in processing of further substrates.
  • Figure 5 shows an illustrative example of CD matching through three layers n-2, n-1, n formed by one or more lithographic apparatuses, and where the structures S in each layer are designed to have matched CD (i.e., good CD matching quality later-to-layer.
  • Figure 5(a) shows a nominal example where no CD matching quality correction is made between layers, where a CD matching quality correction aims to match the CD of corresponding structures in different layers.
  • CD of the structures S in each of the layers is not uniform, with CD of the structures S varying significantly from layer to layer.
  • Figure 5(b) shows a more typical arrangement where a CD matching quality correction is made for placement of the top layer, with respect to the lower layer(s), as it is formed.
  • the CD matching quality correction determined for layer n-1 when it was exposed onto layer n-2 was adequately actuated by the scanner.
  • CD matching quality between the structures S in layers n-2 and n-1 is good (i.e., the CD of these structures in well matched).
  • the scanner has not been able to satisfactorily actuate the determined CD matching quality correction for layer n.
  • the CD of the structure S in layer n is not well matched with respect to the structures S in the preceding layers n-2, n-1. It is important to note that, in this example, the lack of CD matching quality is not a result of the determined corrections being incorrect, but rather that the scanner actuation capability was such that the scanner could not fully implement the requested correction.
  • Figure 5(c) shows the result of implementing a correction strategy as proposed herein.
  • a CD matching quality correction in each layer n-2, n-1, n is calculated based on the actuation capability of the scanner or scanners used, in addition to measurements of previously manufactured/exposed structures. In this example, it is CD matching quality which is critical, rather than CD per se. Consequently, corrections are determined which optimize CD matching quality, but which are actuable by the (respective) scanner for each layer.
  • the correction has resulted in a CD matching quality correction being applied in all three layers, where the lower (earlier applied) layers are corrected with respect to the later (future applied) layers and vice versa.
  • Figure 6 shows a second example, in which the relevant matching parameter is overlay, and the structures S in each layer are designed to be aligned without any positional offset.
  • Figure 6(a) shows a nominal example where no overlay correction is applied in forming structure S in layer n.
  • Figure 6(b) shows an example where a conventional overlay correction is applied in forming structure S in layer n.
  • the conventional overlay correction attempts to align the structure S in layer n to the structure S already formed in layer n-1 (and therefore also layer n-2).
  • the determined correction has not been implemented with sufficient accuracy and the structures are not well aligned.
  • this alignment offset (i.e., overlay) is sufficiently large to not be within specification (e.g., outside of the corresponding process window for that layer). As such it may be assumed that the resultant device will be defective. As with the Figure 5 example, the correction determined for layer n-1 was sufficiently actuated and overlay between layers n-2 and n-1 is within specification.
  • layer n is more critical than layer n-1.
  • alignment of layer n to layer n-1 is more critical, i.e., has a smaller process window, than alignment of layer n-2 to layer n-1.
  • the example shown in Figure 6(b) is unacceptable in that it can be assumed that the positional offset (overlay error) between layers n and n-1 in Figure 6(b) will result in the device being defective.
  • Figure 6(c) shows the result of implementing a correction strategy as proposed herein.
  • the correction in each layer n-2, n-1, n is calculated based on the actuation capability of the scanner or scanners used (as in the Figure 5 example) and, additionally, a relevant criticality metric (process window) for each layer.
  • the correction will also be based on measurements of previously manufactured/exposed structures to determine the error to be corrected.
  • edge placement is optimized (i.e., edge placement error is minimized) when determining the correction, optionally also applying a weighting to correspond with associated criticality metrics for each layer (or specific layers).
  • Figure 7 shows a further example where the structures in each layer are designed to have uniform CD and be aligned (have zero positional offset/overlay). Also, in this example, matching of edge placement between structures S in layers n and n-1 is more critical than in layers n-1 and n-2.
  • Figure 7(a) shows the result of a conventional EPE correction strategy, where the scanner was able to effectively actuate the correction for layer n-1, but not for the subsequent layer n. As such, the structure S in layer n shows a significant edge placement error (overlay offset and CD mismatch) with respect to the structures S in the layers n-1, n-2. Because of the relevant criticality metric (process window), this device can be assumed defective.
  • At least one layer may be applied by a different scanner to than that used to form the other layers, e.g., because that layer requires greater resolution then the other layers and/or to provide redundancy/flexibility in device manufacture.
  • the machines should be matched, i.e., a correction should be determined for the two machines such that they mimic each other's fingerprints, thereby enabling either machine to be used for consecutive critical layers without introducing matching errors (errors resultant from differences in the machines' fingerprints).
  • Such machine matching corrections are one of the corrections for which the concepts described herein are applicable.
  • methods of controlling a lithographic apparatus comprise determining corrections based on the actuation potential of the lithographic apparatus for each layer. This means that correction of a particular layer is not only corrected to achieve optimal alignment with the previous layer (as is typical), but also to future (upper) layers, resulting in an overall better alignment of all features of the device.
  • Such a method may comprise determining corrections which the applicable manufacturing apparatus is able to actuate satisfactorily. Determining whether a manufacturing apparatus is able to actuate a correction satisfactorily may be determined in a number of different ways and according to a number of different metrics.
  • the determination may consider the result of the placement, overlay or CD matching quality (e.g., by modeling the result taking into account the actuation potential, or otherwise) and determine whether the result will be within specification. Alternatively or in addition, the determination may favor corrections which the applicable manufacturing apparatus is better able to actuate.
  • the determined corrections may also be used for any process and by any integrated circuit (IC) manufacturing apparatus in an IC manufacturing process, e.g., an etch apparatus, which has an effect on the position and/or a dimension of the structures formed within a layer.
  • IC integrated circuit
  • UV radiation e.g., having a wavelength of or about 365, 355, 248, 193, 157 or 126 nm
  • EUV radiation e.g., having a wavelength in the range of 5-20 nm
  • particle beams such as ion beams or electron beams.
  • lens may refer to any one or combination of various types of optical components, including refractive, reflective, magnetic, electromagnetic and electrostatic optical components.

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
EP17205900.8A 2017-12-07 2017-12-07 Method for controlling a manufacturing apparatus and associated apparatuses Withdrawn EP3495889A1 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
EP17205900.8A EP3495889A1 (en) 2017-12-07 2017-12-07 Method for controlling a manufacturing apparatus and associated apparatuses
KR1020207016322A KR102492186B1 (ko) 2017-12-07 2018-11-09 제조 장치 및 연관된 장치를 제어하는 방법
PCT/EP2018/080708 WO2019110238A1 (en) 2017-12-07 2018-11-09 Method for controlling a manufacturing apparatus and associated apparatuses
US16/762,982 US11669017B2 (en) 2017-12-07 2018-11-09 Method for controlling a manufacturing apparatus and associated apparatuses
TW107143184A TW201935138A (zh) 2017-12-07 2018-12-03 用於控制製造設備的方法及相關設備

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
EP17205900.8A EP3495889A1 (en) 2017-12-07 2017-12-07 Method for controlling a manufacturing apparatus and associated apparatuses

Publications (1)

Publication Number Publication Date
EP3495889A1 true EP3495889A1 (en) 2019-06-12

Family

ID=60627549

Family Applications (1)

Application Number Title Priority Date Filing Date
EP17205900.8A Withdrawn EP3495889A1 (en) 2017-12-07 2017-12-07 Method for controlling a manufacturing apparatus and associated apparatuses

Country Status (5)

Country Link
US (1) US11669017B2 (ko)
EP (1) EP3495889A1 (ko)
KR (1) KR102492186B1 (ko)
TW (1) TW201935138A (ko)
WO (1) WO2019110238A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021032376A1 (en) * 2019-08-20 2021-02-25 Asml Netherlands B.V. Method for controlling a semiconductor manufacturing process

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3796087A1 (en) * 2019-09-20 2021-03-24 ASML Netherlands B.V. Determining lithographic matching performance
KR20220042470A (ko) * 2019-09-12 2022-04-05 에이에스엠엘 네델란즈 비.브이. 리소그래피 매칭 성능의 결정
EP4104018B1 (en) * 2020-02-12 2023-10-25 ASML Netherlands B.V. Computer-implemented method for controlling a manufacturing process
KR20210131798A (ko) * 2020-04-24 2021-11-03 삼성전자주식회사 Euv 노광 장치, 및 그 노광 장치를 이용한 오버레이 보정 방법과 반도체 소자 제조방법
CN114911139A (zh) * 2021-02-09 2022-08-16 普思半导体股份有限公司 微影图案叠对校正方法及系统与光罩图案产生方法

Citations (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020111038A1 (en) * 2001-01-26 2002-08-15 Shunichi Matsumoto Method and system for processing a semi-conductor device
US20060033921A1 (en) 2004-08-16 2006-02-16 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US20080294280A1 (en) * 2006-01-30 2008-11-27 Nikon Corporation Processing condition determining method and apparatus, display method and apparatus, processing apparatus, measurement apparatus and exposure apparatus, substrate processing system, and program and information recording medium
WO2009078708A1 (en) 2007-12-17 2009-06-25 Asml Netherlands B.V. Diffraction based overlay metrology tool and method
US20090168034A1 (en) * 2007-12-28 2009-07-02 Jens Staecker Methods and Apparatus of Manufacturing a Semiconductor Device
WO2009106279A1 (en) 2008-02-29 2009-09-03 Asml Netherlands B.V. Metrology method and apparatus, lithographic apparatus, and device manufacturing method
US20100073656A1 (en) * 2008-09-25 2010-03-25 Canon Kabushiki Kaisha Alignment unit and exposure apparatus
US20100201963A1 (en) 2009-02-11 2010-08-12 Asml Netherlands B.V. Inspection Apparatus, Lithographic Apparatus, Lithographic Processing Cell and Inspection Method
US20110027704A1 (en) 2009-07-31 2011-02-03 Asml Netherlands B.V. Methods and Scatterometers, Lithographic Systems, and Lithographic Processing Cells
US20110043791A1 (en) 2009-08-24 2011-02-24 Asml Netherlands B.V. Metrology Method and Apparatus, Lithographic Apparatus, Device Manufacturing Method and Substrate
US20110102753A1 (en) 2008-04-21 2011-05-05 Asml Netherlands B.V. Apparatus and Method of Measuring a Property of a Substrate
US20120044470A1 (en) 2010-08-18 2012-02-23 Asml Netherlands B.V. Substrate for Use in Metrology, Metrology Method and Device Manufacturing Method
US20120123581A1 (en) 2010-11-12 2012-05-17 Asml Netherlands B.V. Metrology Method and Inspection Apparatus, Lithographic System and Device Manufacturing Method
US20120244461A1 (en) * 2011-03-25 2012-09-27 Toshiba America Electronic Components, Inc. Overlay control method and a semiconductor manufacturing method and apparatus employing the same
US20130258310A1 (en) 2012-03-27 2013-10-03 Asml Netherlands B.V. Metrology Method and Apparatus, Lithographic System and Device Manufacturing Method
US20130271740A1 (en) 2012-04-16 2013-10-17 Asml Netherlands B.V. Lithographic Apparatus, Substrate and Device Manufacturing Method
WO2013178422A1 (en) 2012-05-29 2013-12-05 Asml Netherlands B.V. Metrology method and apparatus, substrate, lithographic system and device manufacturing method
US20150015870A1 (en) * 2013-07-12 2015-01-15 Taiwan Semiconductor Manufacturing Co., Ltd. Overlay Abnormality Gating by Z Data

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006011177A (ja) 2004-06-28 2006-01-12 Nissan Motor Co Ltd 反射表皮材
GB0612805D0 (en) * 2006-06-28 2006-08-09 Xact Pcb Ltd Registration system and method
US8867018B2 (en) * 2009-02-10 2014-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for improved overlay correction
NL2004234A (en) * 2009-02-26 2010-08-30 Asml Netherlands Bv Lithographic apparatus and device manufacturing method.
NL2005997A (en) * 2010-02-19 2011-08-22 Asml Netherlands Bv Lithographic apparatus and device manufacturing method.
KR101882892B1 (ko) * 2013-12-05 2018-07-27 에이에스엠엘 네델란즈 비.브이. 기판 상의 구조체를 측정하는 방법 및 장치, 오차 보정을 위한 모델, 이러한 방법 및 장치를 구현하기 위한 컴퓨터 프로그램 제품
JP6521223B2 (ja) 2015-02-25 2019-05-29 株式会社ニコン リソグラフィ装置の管理方法及び装置、並びに露光方法及びシステム
US11156923B2 (en) * 2015-03-13 2021-10-26 Asml Netherlands B.V. Lithographic method and lithographic apparatus
EP3343294A1 (en) * 2016-12-30 2018-07-04 ASML Netherlands B.V. Lithographic process & apparatus and inspection process and apparatus
US10520829B2 (en) * 2017-09-26 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Optical proximity correction methodology using underlying layer information
KR20210013605A (ko) * 2018-06-19 2021-02-04 에이에스엠엘 네델란즈 비.브이. 제조 장치 및 연계된 장치를 제어하는 방법
EP3637186A1 (en) * 2018-10-09 2020-04-15 ASML Netherlands B.V. Method of calibrating a plurality of metrology apparatuses, method of determining a parameter of interest, and metrology apparatus
KR20210131798A (ko) * 2020-04-24 2021-11-03 삼성전자주식회사 Euv 노광 장치, 및 그 노광 장치를 이용한 오버레이 보정 방법과 반도체 소자 제조방법

Patent Citations (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020111038A1 (en) * 2001-01-26 2002-08-15 Shunichi Matsumoto Method and system for processing a semi-conductor device
US20060033921A1 (en) 2004-08-16 2006-02-16 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US20060066855A1 (en) 2004-08-16 2006-03-30 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US20080294280A1 (en) * 2006-01-30 2008-11-27 Nikon Corporation Processing condition determining method and apparatus, display method and apparatus, processing apparatus, measurement apparatus and exposure apparatus, substrate processing system, and program and information recording medium
WO2009078708A1 (en) 2007-12-17 2009-06-25 Asml Netherlands B.V. Diffraction based overlay metrology tool and method
US20090168034A1 (en) * 2007-12-28 2009-07-02 Jens Staecker Methods and Apparatus of Manufacturing a Semiconductor Device
WO2009106279A1 (en) 2008-02-29 2009-09-03 Asml Netherlands B.V. Metrology method and apparatus, lithographic apparatus, and device manufacturing method
US20110102753A1 (en) 2008-04-21 2011-05-05 Asml Netherlands B.V. Apparatus and Method of Measuring a Property of a Substrate
US20100073656A1 (en) * 2008-09-25 2010-03-25 Canon Kabushiki Kaisha Alignment unit and exposure apparatus
US20100201963A1 (en) 2009-02-11 2010-08-12 Asml Netherlands B.V. Inspection Apparatus, Lithographic Apparatus, Lithographic Processing Cell and Inspection Method
US20110027704A1 (en) 2009-07-31 2011-02-03 Asml Netherlands B.V. Methods and Scatterometers, Lithographic Systems, and Lithographic Processing Cells
US20110043791A1 (en) 2009-08-24 2011-02-24 Asml Netherlands B.V. Metrology Method and Apparatus, Lithographic Apparatus, Device Manufacturing Method and Substrate
US20120044470A1 (en) 2010-08-18 2012-02-23 Asml Netherlands B.V. Substrate for Use in Metrology, Metrology Method and Device Manufacturing Method
US20120123581A1 (en) 2010-11-12 2012-05-17 Asml Netherlands B.V. Metrology Method and Inspection Apparatus, Lithographic System and Device Manufacturing Method
US20120244461A1 (en) * 2011-03-25 2012-09-27 Toshiba America Electronic Components, Inc. Overlay control method and a semiconductor manufacturing method and apparatus employing the same
US20130258310A1 (en) 2012-03-27 2013-10-03 Asml Netherlands B.V. Metrology Method and Apparatus, Lithographic System and Device Manufacturing Method
US20130271740A1 (en) 2012-04-16 2013-10-17 Asml Netherlands B.V. Lithographic Apparatus, Substrate and Device Manufacturing Method
WO2013178422A1 (en) 2012-05-29 2013-12-05 Asml Netherlands B.V. Metrology method and apparatus, substrate, lithographic system and device manufacturing method
US20150015870A1 (en) * 2013-07-12 2015-01-15 Taiwan Semiconductor Manufacturing Co., Ltd. Overlay Abnormality Gating by Z Data

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021032376A1 (en) * 2019-08-20 2021-02-25 Asml Netherlands B.V. Method for controlling a semiconductor manufacturing process
CN114207527A (zh) * 2019-08-20 2022-03-18 Asml荷兰有限公司 用于控制半导体制造过程的方法
CN114207527B (zh) * 2019-08-20 2024-05-24 Asml荷兰有限公司 用于控制半导体制造过程的方法

Also Published As

Publication number Publication date
KR102492186B1 (ko) 2023-01-27
US11669017B2 (en) 2023-06-06
US20200278614A1 (en) 2020-09-03
TW201935138A (zh) 2019-09-01
KR20200077592A (ko) 2020-06-30
WO2019110238A1 (en) 2019-06-13

Similar Documents

Publication Publication Date Title
US11599027B2 (en) Lithographic process and apparatus and inspection process and apparatus
US11487209B2 (en) Method for controlling a lithographic apparatus and associated apparatuses
US11669017B2 (en) Method for controlling a manufacturing apparatus and associated apparatuses
WO2018086795A1 (en) Method for determining an optimized set of measurement locations for measurement of a parameter of a lithographic process, metrology system and computer program products for implementing such methods
WO2019242922A1 (en) Method for controlling a manufacturing apparatus and associated apparatuses
US11768441B2 (en) Method for controlling a manufacturing process and associated apparatuses
US11796920B2 (en) Method for controlling a manufacturing process and associated apparatuses
EP3869271A1 (en) Method for controlling a manufacturing process and associated apparatuses
EP3882701A1 (en) Method for controlling a manufacturing process and associated apparatuses
EP3764164A1 (en) Method for controlling a lithographic apparatus and associated apparatuses
EP3584637A1 (en) Method for controlling a manufacturing apparatus and associated apparatuses
US20230176490A1 (en) Method for optimizing a sampling scheme and associated apparatuses
EP3588190A1 (en) Method for performing a manufacturing process and associated apparatuses
NL2024950A (en) Method for controlling a manufacturing process and associated apparatuses
WO2020193010A1 (en) Method for controlling a lithographic apparatus and associated apparatuses
WO2023186440A1 (en) Method for determining a spatial distribution of a parameter of interest over at least one substrate or portion thereof

Legal Events

Date Code Title Description
PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

AK Designated contracting states

Kind code of ref document: A1

Designated state(s): AL AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HR HU IE IS IT LI LT LU LV MC MK MT NL NO PL PT RO RS SE SI SK SM TR

AX Request for extension of the european patent

Extension state: BA ME

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: THE APPLICATION IS DEEMED TO BE WITHDRAWN

18D Application deemed to be withdrawn

Effective date: 20191213