EP1743313A4 - Low power circuits for active matrix emissive displays and methods of operating the same - Google Patents

Low power circuits for active matrix emissive displays and methods of operating the same

Info

Publication number
EP1743313A4
EP1743313A4 EP05734209A EP05734209A EP1743313A4 EP 1743313 A4 EP1743313 A4 EP 1743313A4 EP 05734209 A EP05734209 A EP 05734209A EP 05734209 A EP05734209 A EP 05734209A EP 1743313 A4 EP1743313 A4 EP 1743313A4
Authority
EP
European Patent Office
Prior art keywords
light
emitting device
voltage
display
pixel
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
EP05734209A
Other languages
German (de)
French (fr)
Other versions
EP1743313A2 (en
Inventor
W Edward Naugler Jr
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nuelight Corp
Original Assignee
Nuelight Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/841,198 external-priority patent/US20040257352A1/en
Application filed by Nuelight Corp filed Critical Nuelight Corp
Publication of EP1743313A2 publication Critical patent/EP1743313A2/en
Publication of EP1743313A4 publication Critical patent/EP1743313A4/en
Withdrawn legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G09EDUCATION; CRYPTOGRAPHY; DISPLAY; ADVERTISING; SEALS
    • G09GARRANGEMENTS OR CIRCUITS FOR CONTROL OF INDICATING DEVICES USING STATIC MEANS TO PRESENT VARIABLE INFORMATION
    • G09G3/00Control arrangements or circuits, of interest only in connection with visual indicators other than cathode-ray tubes
    • G09G3/20Control arrangements or circuits, of interest only in connection with visual indicators other than cathode-ray tubes for presentation of an assembly of a number of characters, e.g. a page, by composing the assembly by combination of individual elements arranged in a matrix no fixed position being assigned to or needed to be assigned to the individual characters or partial characters
    • G09G3/22Control arrangements or circuits, of interest only in connection with visual indicators other than cathode-ray tubes for presentation of an assembly of a number of characters, e.g. a page, by composing the assembly by combination of individual elements arranged in a matrix no fixed position being assigned to or needed to be assigned to the individual characters or partial characters using controlled light sources
    • G09G3/30Control arrangements or circuits, of interest only in connection with visual indicators other than cathode-ray tubes for presentation of an assembly of a number of characters, e.g. a page, by composing the assembly by combination of individual elements arranged in a matrix no fixed position being assigned to or needed to be assigned to the individual characters or partial characters using controlled light sources using electroluminescent panels
    • G09G3/32Control arrangements or circuits, of interest only in connection with visual indicators other than cathode-ray tubes for presentation of an assembly of a number of characters, e.g. a page, by composing the assembly by combination of individual elements arranged in a matrix no fixed position being assigned to or needed to be assigned to the individual characters or partial characters using controlled light sources using electroluminescent panels semiconductive, e.g. using light-emitting diodes [LED]
    • G09G3/3208Control arrangements or circuits, of interest only in connection with visual indicators other than cathode-ray tubes for presentation of an assembly of a number of characters, e.g. a page, by composing the assembly by combination of individual elements arranged in a matrix no fixed position being assigned to or needed to be assigned to the individual characters or partial characters using controlled light sources using electroluminescent panels semiconductive, e.g. using light-emitting diodes [LED] organic, e.g. using organic light-emitting diodes [OLED]
    • G09G3/3225Control arrangements or circuits, of interest only in connection with visual indicators other than cathode-ray tubes for presentation of an assembly of a number of characters, e.g. a page, by composing the assembly by combination of individual elements arranged in a matrix no fixed position being assigned to or needed to be assigned to the individual characters or partial characters using controlled light sources using electroluminescent panels semiconductive, e.g. using light-emitting diodes [LED] organic, e.g. using organic light-emitting diodes [OLED] using an active matrix
    • G09G3/3233Control arrangements or circuits, of interest only in connection with visual indicators other than cathode-ray tubes for presentation of an assembly of a number of characters, e.g. a page, by composing the assembly by combination of individual elements arranged in a matrix no fixed position being assigned to or needed to be assigned to the individual characters or partial characters using controlled light sources using electroluminescent panels semiconductive, e.g. using light-emitting diodes [LED] organic, e.g. using organic light-emitting diodes [OLED] using an active matrix with pixel circuitry controlling the current through the light-emitting element
    • GPHYSICS
    • G09EDUCATION; CRYPTOGRAPHY; DISPLAY; ADVERTISING; SEALS
    • G09GARRANGEMENTS OR CIRCUITS FOR CONTROL OF INDICATING DEVICES USING STATIC MEANS TO PRESENT VARIABLE INFORMATION
    • G09G3/00Control arrangements or circuits, of interest only in connection with visual indicators other than cathode-ray tubes
    • G09G3/20Control arrangements or circuits, of interest only in connection with visual indicators other than cathode-ray tubes for presentation of an assembly of a number of characters, e.g. a page, by composing the assembly by combination of individual elements arranged in a matrix no fixed position being assigned to or needed to be assigned to the individual characters or partial characters
    • G09G3/22Control arrangements or circuits, of interest only in connection with visual indicators other than cathode-ray tubes for presentation of an assembly of a number of characters, e.g. a page, by composing the assembly by combination of individual elements arranged in a matrix no fixed position being assigned to or needed to be assigned to the individual characters or partial characters using controlled light sources
    • G09G3/30Control arrangements or circuits, of interest only in connection with visual indicators other than cathode-ray tubes for presentation of an assembly of a number of characters, e.g. a page, by composing the assembly by combination of individual elements arranged in a matrix no fixed position being assigned to or needed to be assigned to the individual characters or partial characters using controlled light sources using electroluminescent panels
    • G09G3/32Control arrangements or circuits, of interest only in connection with visual indicators other than cathode-ray tubes for presentation of an assembly of a number of characters, e.g. a page, by composing the assembly by combination of individual elements arranged in a matrix no fixed position being assigned to or needed to be assigned to the individual characters or partial characters using controlled light sources using electroluminescent panels semiconductive, e.g. using light-emitting diodes [LED]
    • G09G3/3208Control arrangements or circuits, of interest only in connection with visual indicators other than cathode-ray tubes for presentation of an assembly of a number of characters, e.g. a page, by composing the assembly by combination of individual elements arranged in a matrix no fixed position being assigned to or needed to be assigned to the individual characters or partial characters using controlled light sources using electroluminescent panels semiconductive, e.g. using light-emitting diodes [LED] organic, e.g. using organic light-emitting diodes [OLED]
    • G09G3/3275Details of drivers for data electrodes
    • G09G3/3291Details of drivers for data electrodes in which the data driver supplies a variable data voltage for setting the current through, or the voltage across, the light-emitting elements
    • GPHYSICS
    • G09EDUCATION; CRYPTOGRAPHY; DISPLAY; ADVERTISING; SEALS
    • G09GARRANGEMENTS OR CIRCUITS FOR CONTROL OF INDICATING DEVICES USING STATIC MEANS TO PRESENT VARIABLE INFORMATION
    • G09G2300/00Aspects of the constitution of display devices
    • G09G2300/08Active matrix structure, i.e. with use of active elements, inclusive of non-linear two terminal elements, in the pixels together with light emitting or modulating elements
    • G09G2300/0809Several active elements per pixel in active matrix panels
    • GPHYSICS
    • G09EDUCATION; CRYPTOGRAPHY; DISPLAY; ADVERTISING; SEALS
    • G09GARRANGEMENTS OR CIRCUITS FOR CONTROL OF INDICATING DEVICES USING STATIC MEANS TO PRESENT VARIABLE INFORMATION
    • G09G2300/00Aspects of the constitution of display devices
    • G09G2300/08Active matrix structure, i.e. with use of active elements, inclusive of non-linear two terminal elements, in the pixels together with light emitting or modulating elements
    • G09G2300/0809Several active elements per pixel in active matrix panels
    • G09G2300/0819Several active elements per pixel in active matrix panels used for counteracting undesired variations, e.g. feedback or autozeroing
    • GPHYSICS
    • G09EDUCATION; CRYPTOGRAPHY; DISPLAY; ADVERTISING; SEALS
    • G09GARRANGEMENTS OR CIRCUITS FOR CONTROL OF INDICATING DEVICES USING STATIC MEANS TO PRESENT VARIABLE INFORMATION
    • G09G2300/00Aspects of the constitution of display devices
    • G09G2300/08Active matrix structure, i.e. with use of active elements, inclusive of non-linear two terminal elements, in the pixels together with light emitting or modulating elements
    • G09G2300/0809Several active elements per pixel in active matrix panels
    • G09G2300/0842Several active elements per pixel in active matrix panels forming a memory circuit, e.g. a dynamic memory with one capacitor
    • GPHYSICS
    • G09EDUCATION; CRYPTOGRAPHY; DISPLAY; ADVERTISING; SEALS
    • G09GARRANGEMENTS OR CIRCUITS FOR CONTROL OF INDICATING DEVICES USING STATIC MEANS TO PRESENT VARIABLE INFORMATION
    • G09G2310/00Command of the display device
    • G09G2310/02Addressing, scanning or driving the display screen or processing steps related thereto
    • G09G2310/0243Details of the generation of driving signals
    • G09G2310/0259Details of the generation of driving signals with use of an analog or digital ramp generator in the column driver or in the pixel circuit
    • GPHYSICS
    • G09EDUCATION; CRYPTOGRAPHY; DISPLAY; ADVERTISING; SEALS
    • G09GARRANGEMENTS OR CIRCUITS FOR CONTROL OF INDICATING DEVICES USING STATIC MEANS TO PRESENT VARIABLE INFORMATION
    • G09G2310/00Command of the display device
    • G09G2310/02Addressing, scanning or driving the display screen or processing steps related thereto
    • G09G2310/0264Details of driving circuits
    • G09G2310/027Details of drivers for data electrodes, the drivers handling digital grey scale data, e.g. use of D/A converters
    • GPHYSICS
    • G09EDUCATION; CRYPTOGRAPHY; DISPLAY; ADVERTISING; SEALS
    • G09GARRANGEMENTS OR CIRCUITS FOR CONTROL OF INDICATING DEVICES USING STATIC MEANS TO PRESENT VARIABLE INFORMATION
    • G09G2310/00Command of the display device
    • G09G2310/06Details of flat display driving waveforms
    • G09G2310/066Waveforms comprising a gently increasing or decreasing portion, e.g. ramp
    • GPHYSICS
    • G09EDUCATION; CRYPTOGRAPHY; DISPLAY; ADVERTISING; SEALS
    • G09GARRANGEMENTS OR CIRCUITS FOR CONTROL OF INDICATING DEVICES USING STATIC MEANS TO PRESENT VARIABLE INFORMATION
    • G09G2320/00Control of display operating conditions
    • G09G2320/02Improving the quality of display appearance
    • G09G2320/0285Improving the quality of display appearance using tables for spatial correction of display data
    • GPHYSICS
    • G09EDUCATION; CRYPTOGRAPHY; DISPLAY; ADVERTISING; SEALS
    • G09GARRANGEMENTS OR CIRCUITS FOR CONTROL OF INDICATING DEVICES USING STATIC MEANS TO PRESENT VARIABLE INFORMATION
    • G09G2320/00Control of display operating conditions
    • G09G2320/02Improving the quality of display appearance
    • G09G2320/029Improving the quality of display appearance by monitoring one or more pixels in the display panel, e.g. by monitoring a fixed reference pixel
    • G09G2320/0295Improving the quality of display appearance by monitoring one or more pixels in the display panel, e.g. by monitoring a fixed reference pixel by monitoring each display pixel
    • GPHYSICS
    • G09EDUCATION; CRYPTOGRAPHY; DISPLAY; ADVERTISING; SEALS
    • G09GARRANGEMENTS OR CIRCUITS FOR CONTROL OF INDICATING DEVICES USING STATIC MEANS TO PRESENT VARIABLE INFORMATION
    • G09G2320/00Control of display operating conditions
    • G09G2320/04Maintaining the quality of display appearance
    • G09G2320/043Preventing or counteracting the effects of ageing
    • GPHYSICS
    • G09EDUCATION; CRYPTOGRAPHY; DISPLAY; ADVERTISING; SEALS
    • G09GARRANGEMENTS OR CIRCUITS FOR CONTROL OF INDICATING DEVICES USING STATIC MEANS TO PRESENT VARIABLE INFORMATION
    • G09G2330/00Aspects of power supply; Aspects of display protection and defect management
    • G09G2330/02Details of power systems and of start or stop of display operation
    • G09G2330/021Power management, e.g. power saving
    • GPHYSICS
    • G09EDUCATION; CRYPTOGRAPHY; DISPLAY; ADVERTISING; SEALS
    • G09GARRANGEMENTS OR CIRCUITS FOR CONTROL OF INDICATING DEVICES USING STATIC MEANS TO PRESENT VARIABLE INFORMATION
    • G09G2360/00Aspects of the architecture of display systems
    • G09G2360/14Detecting light within display terminals, e.g. using a single or a plurality of photosensors
    • G09G2360/145Detecting light within display terminals, e.g. using a single or a plurality of photosensors the light originating from the display screen
    • G09G2360/147Detecting light within display terminals, e.g. using a single or a plurality of photosensors the light originating from the display screen the originated light output being determined for each pixel
    • GPHYSICS
    • G09EDUCATION; CRYPTOGRAPHY; DISPLAY; ADVERTISING; SEALS
    • G09GARRANGEMENTS OR CIRCUITS FOR CONTROL OF INDICATING DEVICES USING STATIC MEANS TO PRESENT VARIABLE INFORMATION
    • G09G2360/00Aspects of the architecture of display systems
    • G09G2360/14Detecting light within display terminals, e.g. using a single or a plurality of photosensors
    • G09G2360/145Detecting light within display terminals, e.g. using a single or a plurality of photosensors the light originating from the display screen
    • G09G2360/147Detecting light within display terminals, e.g. using a single or a plurality of photosensors the light originating from the display screen the originated light output being determined for each pixel
    • G09G2360/148Detecting light within display terminals, e.g. using a single or a plurality of photosensors the light originating from the display screen the originated light output being determined for each pixel the light being detected by light detection means within each pixel

Definitions

  • the present application is related to commonly assigned US Patent Application Attorney Docket Number 186351/US/2/RMA/JJZ (474125-35), entitled “Color Filter integrated with Sensor Array for Flat Panel Display,” filed April 6, 2005, commonly assigned US Patent Application Serial Number 10/872,344, entitled “Method and Apparatus for Controlling an Active Matrix Display,” filed June 17, 2004, and commonly assigned US Patent Application Serial Number 10/841,198 entitled “Method and Apparatus for Controlling Pixel Emission,” filed May 6, 2004, each of which is incorporated herein by reference.
  • FIELD OF THE INVENTION [003]
  • the present invention relates to active matrix emissive displays and particularly to low power circuits for active matrix emissive displays and methods of operating the same.
  • the active matrix display employs a thin film circuit at each pixel that allows each pixel in the display to be directly addressed, hi a typical active matrix liquid crystal display (AMLCD), each pixel circuit includes a data thin film transistor (TFT) TI connected between a data line V data and a liquid crystal display cell LCD and storage capacitor C pair, as shown in FIG. 1.
  • the thin film transistor has a control gate Gl connected to an enable voltage V enab i e - During operation, a data voltage V ata is placed on drain D of transistor TI and, when gate Gl is activated, data voltage Vdata is transferred to storage capacitor C and liquid crystal cell LCD though TFT TI.
  • the power dissipated during the charging of capacitor C and liquid crystal display cell LCD is usually negligible.
  • the power problem in the AMLCD is typically in a backlight circuit that supplies the light, which the LCD modulates.
  • active matrix emissive displays particularly the active matrix organic light emitting displays (AMOLED)
  • significant amount of power is consumed to produce light emissions from the pixels, and additional power is required to operate driving circuits in the active matrix, which control the light emissions.
  • a typical driving circuit of an organic light-emitting diode (OLED) active matrix emissive display includes an OLED Dl and a power TFT T2 serially coupled with each other between a voltage supply V DD and ground.
  • TFT T2 has a source S connected to OLED Dl, a drain D connected to voltage supply V DD , and a gate G2 connected to TFT TI.
  • Capacitor C is coupled between the source S and gate G2 of TFT T2.
  • OLED Dl has parasitic resistor R D and parasitic capacitor Co- TFT T2 supplies current I D to OLED Dl.
  • the level of emissions from OLED Dl is proportional to the current L Since the voltage across TFT T2 and OLED Dl is equal to V DD , the power P dissipated by TFT T2 and OLED Dl is equal to V DD times the current I D - While the voltage supply V DD is divided between TFT T2 and OLED Dl, the same current I D flows through both. Therefore, the power P is divided between TFT T2 and OLED Dl in proportion to the voltage V DD being divided between them.
  • TFT T2 In order to faithfully convert data voltage V data to a specified current I D and a specified luminance of OLED Dl corresponding to V d ta , changes in the load of TFT T2 due to changes in the luminance of OLED Dl should not cause changes in current I D output from TFT T2. That is, TFT T2 should act as a current source and not change current output as the load changes. In order for TFT T2 to act as a current source, voltage V D across TFT T2 must bias TFT T2 in the saturation mode. As shown in
  • the saturation mode corresponds to the flat part of each I D versus V D curve, while the steep slope leading up to the flat part corresponds to the unsaturated mode.
  • VQ is the voltage on gate G of TFT T2
  • Eq. 1 ⁇ . ° ⁇ "t 2 V d V ,, -v.)'
  • ⁇ , ⁇ 0 , ⁇ r , w, 1, d, and V th are parameters associated with TFT T2.
  • being the effective electron mobility
  • ⁇ 0 being the permittivity of free space
  • ⁇ r being the dielectric constant of the gate dielectric
  • w being the TFT channel width
  • 1 being the TFT channel length
  • d being the gate dielectric thickness
  • V th being the threshold voltage.
  • VD must be greater than VQ - V th .
  • a larger voltage across the OLED is needed to pass 1 ⁇ A of current through the OLED as the OLED ages. For example, when an OLED is new, only about 4 V across the OLED is required to pass 1 ⁇ A of current, but as it ages this voltage may increase to as high as 6 volts. This means that 2 extra volts should typically be added to V DD to ensure that TFT T2 stays in saturation over the lifetime of the display.
  • V D the total required voltage V D is about 5.2 V for an ideal case when 1 ⁇ A of drain current is generated in the saturation mode, plus about 2 volts for threshold voltage drift and about an additional 2 volts for OLED aging and maximum OLED brightness. This means that V DD needs to be as high as about 13.2 volts.
  • Each pixel comprises a light-emitting device configured to emit light or photons in response to a current flowing through the light-emitting device.
  • the luminance of the light-emitting device depends on the current through the light-emitting device.
  • Each pixel further comprises a transistor coupled to the light-emitting device and configured to provide the current through the light-emitting device, the current increasing with a ramp voltage applied to a control terminal of the transistor, and a switching device configured to switch off in response to the luminance of the light-emitting device having reached a specified level, thereby disconnecting the ramp voltage from the transistor and locking the brightness at the specified level.
  • the switching device is further configured to stay off thereby allowing the luminance of the light-emitting device to be kept at the specified level until the pixel is rewritten in the next frame.
  • the transistor and the light-emitting device are serially coupled with each other between a variable voltage source and ground.
  • the variable voltage source is configured to output a voltage that changes as the display ages.
  • the voltage output from the variable voltage source changes based on a statistical evaluation of the changes in ramp voltages required to cause the light from the light-emitting devices to reach specified levels in brightness in some or all of the pixels in the display.
  • the embodiments of the present invention also provide a method for controlling the brightness of a pixel in a display.
  • the method comprises switching on a switching device by applying a first control voltage to a first control terminal and a second control voltage to a second control terminal of the switching device, and applying a ramp voltage through the switching device to a gate of a transistor serially coupled with the light-emitting device thereby causing light emitted from the light-emitting device to increase in brightness with the ramp voltage.
  • the light from the light-emitting device illuminates an optical sensor thereby causing an electrical parameter associated with the optical sensor to change as the light changes in brightness, and the second control voltage is dependent on the electrical parameter and changes to a different value in response to the luminance of the light-emitting device having reached a specified brightness for the pixel, thereby switching off the switching device.
  • the transistor and the light-emitting device are serially coupled with each other between a variable voltage source and ground, and the method further comprises varying a voltage output from the variable voltage source as the display ages.
  • the voltage output is varied by recording a value of ramp voltage required to cause the light-emitting device in each pixel in the display to reach the specified level of brightness for the pixel, and computing a statistical measure from the changes in the recorded values for some or all of the pixels in the display to determine when and how much to change the voltage output.
  • the embodiments described herein provide significant power savings by allowing a power TFT, that supplies currents to a light-emitting device such as an OLED in a pixel of a display, to operation in the unsaturated regions associated with its current- voltage characteristics, because the brightness of the light-emitting device according to embodiments of the present invention does not depend on a current- voltage relationship of the power TFT, but on the pixel brightness itself. Further power savings are achieved in embodiments using variable power supplies.
  • FIG. 1 is a diagram illustrating a conventional AMLCD pixel driving circuit.
  • FIG. 2 is a circuit schematic illustrating a conventional AMOLED pixel driving circuit.
  • FIG. 3 is a graph of drain current versus source-drain voltage in a power TFT.
  • FIG. 4A is a block diagram of an emissive feedback circuit in a display according to one embodiment of the present invention.
  • FIG. 4B is a block diagram of an emissive feedback circuit in a display having a plurality of pixels according to one embodiment of the present invention.
  • FIG. 4C is a block diagram of two separate components in an emissive feedback circuit according to one embodiment of the present invention.
  • FIG. 5 is a schematic diagram of a portion of a display circuit according to one embodiment of the present invention.
  • FIG. 6 is a diagram of a larger portion of the display circuit according to an embodiment of the present invention.
  • FIG. 7 is a diagram illustrating a power adjustment unit in the display circuit according to further embodiments of the present invention.
  • Embodiments of the present invention provide low-power circuits for emissive displays and methods of operating the same.
  • the embodiments described herein save power consumed by power TFTs that supply currents to light-emitting devices in a display by allowing the power TFTs to operate in the unsaturated region.
  • FIG. 4 A is a block diagram of a portion of an exemplary circuit 100 for a display, such as a flat panel display, according to one embodiment of the present invention.
  • display circuit 100 comprises a light emission source 110, an emission driver 120 configured to vary the luminance of the emission source 110, an optical sensor 130 positioned to receive a portion of the light emitted from emission source 110 and having an associated electrical parameter dependent on the received light, a control unit 140 configured to control the driver 120 based on the changes in the electrical parameter of the sensor 130, and a data input unit 150 configured to provide a signal corresponding to a desired brightness level for the emission source 110 to the control unit 140.
  • display circuit 100 may further comprise a power adjustment unit 160 configured to adjust the amount of power produced by a variable power supply 170, which is the source of power for the emission source 110, to account for variations in the emission source and other circuit elements in display circuit 100.
  • Sensor 130 may comprise any sensor material having a measurable property, such as a resistance, capacitance, inductance, etc., dependent on received emissions.
  • sensor 130 comprises a photosensitive resistor whose resistance varies with an incident photon flux.
  • the sensor 130 comprises a calibrated photon flux integrator, such as the one disclosed in commonly assigned US Patent Application Serial Number 11/016,372 entitled “Active-Matrix Display and Pixel Structure for Feedback Stabilized Flat Panel Display,” filed on December 17, 2004, which is incorporated herein by reference in its entirety.
  • Sensor 130 may also or alternatively comprise one or more of other radiation-sensitive sensors including, but not limited to, optical diodes and/or optical transistors.
  • sensor 130 may comprise at least one type of material that has one or more electrical properties changing according to the intensity of radiation falling or impinging on a surface of the material.
  • materials include but are not limited to amorphous silicon (a-Si), cadmium selenide (CdSe), silicon (Si), and Selenium (Se).
  • Sensor 130 may also comprise other circuit elements such as an isolation transistor for preventing cross talk among a plurality of sensors 130 in an active matrix display, as discussed in more detail below.
  • the control unit 140 may be implemented in hardware, software, or a combination thereof. In one embodiment, the control unit 140 is implemented using a voltage comparator. Other comparison circuitry or software may also or alternatively be used.
  • the driver 120 may include any hardware, software, firmware, or combinations thereof suitable for providing a drive signal to emission source 110. Driver 120 may be integrated with a display substrate on which the emission source 110 is formed, or it may be separate from the display substrate. In some embodiments, portions of driver 120 are formed on the display substrate.
  • data input 150 receives image voltage data corresponding to a desired brightness of the light from emission source 110 and converts the image voltage data to a reference voltage for use by the control unit 140.
  • the pixel driver 120 is configured to vary the light emission from the emission source 110 until the electrical parameter in sensor 130 reaches a certain value corresponding to the reference voltage, at which point, control unit 140 couples a control signal to driver 120 to stop the variation of the light emission.
  • Driver 120 also comprises mechanisms for maintaining the light emission from emission source 110 at the desired brightness after the variation of the light emission is stopped.
  • an electrical measure in the power adjustment unit is also varied accordingly, and the control signal from the control unit 140 is also coupled to the power adjustment unit 160 to stop the variation of the electrical measure.
  • the power adjustment unit 160 determines whether to adjust the variable power supply 170 and how much adjustment needs to be done using, for example, a statistical technique, as explained in more detail below.
  • FIG. 5 illustrates one implementation of the display circuit 100 in the embodiments of FIG. 4A.
  • display circuit 100 comprises a transistor 512 and a light-emitting device 514 as the light emission source 110.
  • Display circuit 100 further comprises a switching device 522 and a capacitor 524 as part of the driver 120, an optical sensor (OS) 530 and an optional isolation device 532 as sensor 130, and a voltage divider resistor 542 and a comparator 544 as part of the control unit 140.
  • the OS 530 is coupled to a line selector output voltage Vosi and the voltage divider resistor 542 is coupled with OS 530 between Vosi and ground.
  • the comparator 544 has a first input PI coupled to the data input unit, a second input P2 coupled to a circuit node 546 between the OS 530 and the voltage divider resistor 542, and an output P3.
  • the switching device 522 has a first control terminal Gla coupled to Vosi, a second control terminal Gib coupled to the output P3 of comparator 544, an input DRl coupled to a ramp voltage output VR, and an output S2 coupled to a control terminal G2 of transistor 512.
  • the capacitor 524 is coupled between the control terminal G2 and a circuit node S2 between transistor 512 and light- emitting device 514. The capacitor 524 may alternatively be coupled between control terminal G2 of transistor 512 and ground.
  • Each OS 530 can be any suitable sensor having a measurable property, such as a resistance, capacitance, inductance, or the like parameter, property, or characteristic, dependent on received emissions.
  • An example of OS 230 is a photosensitive resistor whose resistance varies with an incident photon flux.
  • each OS 230 is a calibrated photon flux integrator, such as the one disclosed in commonly assigned US Patent Application Serial Number 11/016372 entitled “Active-Matrix Display and Pixel Structure for Feedback Stabilized Flat Panel Display,” filed on December 17, 2004, which application is incorporated herein by reference in its entirety.
  • each OS 230 may include at least one type of material that has one or more electrical properties changing according to the intensity of radiation falling or impinging on a surface of the material.
  • Such materials include but are not limited to amorphous silicon (a-Si), cadmium selenide (CdSe), silicon (Si), and Selenium (Se).
  • a-Si amorphous silicon
  • CdSe cadmium selenide
  • Si silicon
  • Se Selenium
  • Other radiation- sensitive sensors may also or alternatively be used including, but not limited to, optical diodes, and/or optical transistors.
  • Isolation device 532 such as an isolation transistor may be provided to isolate the optical sensors 530.
  • Isolation transistor 532 can be any type of transistor having first and second terminals and a control terminal, with conductivity between the first and second terminals controllable by a control voltage applied to the control terminal.
  • isolation transistor 532 is a TFT with the first terminal being a drain DR3, the second terminal being a source S3, and the control terminal being a gate G3.
  • the isolation transistor 532 is serially coupled with OS 530 between Vosi and ground, with the control terminal of G3 connected to Vosi, while the first and second terminals are connected to resistor 542 and OS 530, respectively, or to OS 530 and Vosi, respectively.
  • OS 530 and isolation transistor 532 may together be referred to as sensor 130.
  • Light-emitting device 514 may generally be any light-emitting device known in the art that produces radiation such as light emissions in response to an electrical measure such as an electrical current through the device or an electrical voltage across the device.
  • Examples of light-emitting device 514 include but are not limited to light emitting diodes (LED) and organic light emitting diodes (OLED) that emit light at any wavelength or a plurality of wavelengths.
  • Other light-emitting devices may be used including electroluminescent cells, inorganic light emitting diodes, and those used in vacuum florescent displays, field emission displays and plasma displays. In one embodiment, an OLED is used as the light-emitting device 514.
  • Light-emitting device 514 is sometimes referred to as an OLED 514 hereafter. But it will be appreciated that the invention is not limited to using an OLED as the light-emitting device 514. Furthermore, although the invention is sometimes described relative to a flat panel display, it will be appreciated that many aspects of the embodiments described herein are applicable to a display that is not flat or built as a panel.
  • Transistor 512 can be any type of transistor having a first terminal, a second terminal, and a control terminal, with the current between the first and second terminals dependent on a control voltage applied to the control terminal.
  • transistor 512 is a TFT with the first terminal being a drain D2, the second terminal being a source S2, and the control terminal being a gate G2.
  • Transistor 512 and light-emitting device 514 are serially coupled between a power supply V DD and ground, with the first terminal of transistor 512 connected to V DD , the second terminal of transistor 512 connected to the light-emitting device 514, and the control terminal connected to ramp voltage output VR through switching device 522.
  • switching device 522 is a double-gated TFT, that is, a TFT with a single channel but two gates Gla and Gib.
  • the double gates act like an AND function in logic, because for the TFT 522 to conduct, logic highs need to be simultaneously applied to both gates.
  • a double-gated TFT is preferred, any switching device implementing the AND function in logic is suitable for use as the switching device 522.
  • two serially coupled TFTs or other types of transistors maybe used as the switching device 522.
  • Use of a double-gated TFT or other device implementing the AND function in logic as the switching device 522 helps to reduce cross talk between pixels, as explained in more detail below.
  • display 100 comprises a plurality of pixels 115 each having a driver 120 and a emission source 120, and a plurality of sensors 130 each corresponding to a pixel, as shown in FIG. 4B.
  • Display 100 further comprises a column control circuit 44 and a row control circuit 46.
  • Each pixel 115 is coupled to the column control circuit 44 via a column line 55 and to the row control circuit 46 via a row line 56.
  • Each sensor 130 is coupled to the row control circuit 46 via a sensor row line 70 and to the column control circuit 44 via a sensor column line 71.
  • at least parts of the control unit 140, the data input unit 150 and the power adjustment unit 160 are comprised in the column control circuit 44.
  • each sensor 130 is associated with a respective pixel 115 and is positioned to receive a portion of the light emitted from the pixel.
  • Pixels are generally square, as shown in FIG. 4B, but can be any shape such as rectangular, round, oval, hexagonal, polygonal, or any other shape.
  • display 11 is a color display
  • pixel 33 can also be subpixels organized in groups, each group corresponding to a pixel. The subpixels in a group should include a number (e.g., 3) of subpixels each occupying a portion of the area designated for the corresponding pixel.
  • each pixel is in the shape of a square
  • the subpixels are generally as high as the pixel, but only a fraction (e.g., 1/3) of the width of the square.
  • Subpixels may be identically sized or shaped, or they may have different sizes and shapes.
  • Each subpixel may include the same circuit elements as pixel 115 and the sub-pixels in a display can be interconnected with each other and to the column and row control circuits 44 and 46 just as the pixels 115 shown in FIG. 4B.
  • a sensor 130 is associated with each subpixel.
  • the reference of a pixel can mean both a pixel or subpixel.
  • the row control circuit 46 is configured to activate a selected row of sensors 60 by, for example, raising a voltage on a selected sensor row line 70, which couples the selected row of sensors to the row control circuit 46.
  • the column control circuit 44 is configured to detect changes in the electrical parameters associated with the selected row of sensors and to control the luminance of the corresponding row of pixels 115 based on the changes in the electrical parameters. This way, the luminance of each pixel can be controlled at a specified level based on feedbacks from the sensors 130.
  • the sensors 130 may be used for purposes other than or in addition to feedback control of the pixel luminance, and there may be more or less sensors 130 than the pixels or subpixels 115 in a display.
  • display 100 comprises a sensor component 100 and a display component 110, as illustrated in FIG. 4C.
  • the display component 110 comprises pixels 115, the column control circuit 44, the row control circuit 46, the column lines 55, and the row lines 56 formed on a first substrate 112, while the sensor component 100 comprises the sensors 130, the sensor row lines 70, and the sensor column lines 71 formed on a second substrate 102.
  • the sensor component 100 may also comprise color filter elements 20, 30, and 40 when the sensors 130 are integrated with a color filter for the display, as described in related Patent Application Attorney Docket Number 186351/US/2/RMA/JJZ (474125-35).
  • electrical contact pads or pins 114 on display component 110 are mated with electrical contact pads 104 on filter/sensor plate 100, as indicated by the dotted line aa, in order to connect the sensor row lines 70 to the row control circuit 46.
  • electrical contact pads or pins 116 on display component 110 are mated with electrical contact pads 106 on filter/sensor plate 100, as indicated by the dotted line bb, in order to connect the sensor column lines 71 to the column control circuit 44.
  • display component 110 can be one of any type of displays including but not limited to LCDs, electroluminescent displays, plasma displays, LEDs, OLED based displays, micro electrical mechanical systems (MEMS) based displays, such as the Digital Light projectors, and the like.
  • LCDs liquid crystal display
  • electroluminescent displays plasma displays
  • LEDs OLED based displays
  • MEMS micro electrical mechanical systems
  • display component 110 may comprise another set of row lines connecting each pixel 33 to a respective one of the contact pads 114.
  • display 100 comprises a plurality of pixels 500 arranged in rows and columns, with pixels PIX1,1, PIX1,2, etc., in row 1, pixels PLX2,1, PIX2,2, etc., in row 2, and so on for the other rows in the display.
  • Each pixel 500 comprises a transistor 512, a light-emitting device 514, a switching device 522, and a capacitor 524.
  • FIG. 6 also shows a sensor array comprising a plurality of sensors arranged in rows and columns, each corresponding to a pixel and each comprising an optical sensor OS 530 and an isolation transistor 532.
  • display 100 further comprises ramp selector (RS) 610 configured to receive a ramp voltage VR and to select one of row lines, VR1, VR2, etc., to output the ramp voltage VR.
  • RS ramp selector
  • Each of lines VR1, VR2, etc. is connected to drain Dl of switching device 522 in each of a corresponding row of pixels 500.
  • Circuit 100 further comprises a line selector (VosS) configured to receive a line select voltage Vos and to select one of sensor row lines, Vosi, Vos2, etc., to output the line select voltage Vos-
  • RS 610 and VosS 620 are part of the row control circuit 46 and can be implemented using shift registers.
  • Each sensor comprising the OS 530 and the TFT 532 may be part of a pixel in the display and formed on a same substrate the pixels are formed. Alternatively, the sensors are fabricated on a different substrate from the substrate on which the pixels are formed, as shown in FIG. 4C. In this case, another set or row lines (not shown) are provided to allow gate Gla to be connected to contact pads 114 and thus to the sensor row lines Vosi, Vos2, etc., when the two substrates are mated together.
  • FIG. 6 also shows that display comprises a plurality of comparators 544 and resistors 522 each being associated with a column of pixels 500.
  • FIG. 6 further shows a block diagram of data input unit 150, which comprises an analog to digital converter (A D) 630 configured to convert a received image voltage data to a corresponding digital value, an optional grayscale level calculator (GL) 631 coupled to the A/D 630 and configured to generate a grayscale level corresponding to the digital value, a row and column tracker unit (RCNT) 632 configured to generate a line number and column number for the image voltage data, a calibration look-up table addresser (LA) 633 coupled to the RCNT 632 and configured to output an address in the display circuit 100 corresponding to the line number and column number, and a first look-up table (LUT1) 635 coupled to the GL 631 and the LA 633.
  • a D analog to digital converter
  • GL grayscale level calculator
  • RCNT row and column tracker unit
  • LA calibration look-up table addresser
  • Data input unit 150 further comprises a digital to analog converter (DAC) 636 coupled to the LUT1 635 and a first line buffer (LBl) 637 coupled to the DAC 636.
  • DAC digital to analog converter
  • LBl first line buffer
  • comparators 544, resistors 522, and at least part of data input unit 150 are included in the column control circuit 44.
  • LUT1 635 stores calibration data obtained during a calibration process for calibrating against a light source having a known luminance each optical sensor in the display circuit 100.
  • the calibration process results in a voltage divider voltage level at circuit node 546 in each pixel for each grayscale level.
  • an 8- bit grayscale has 0 - 256 levels of luminance with the 255 th level being at a chosen level, such as 300 nits for a Television screen.
  • the luminance level for each of the remaining 255 levels is assigned according to the logarithmic response of the human eye.
  • the zero level corresponds to no emission.
  • Each value of brightness will produce a specific voltage on the circuit node 546 between optical sensor OS 530 and voltage divider resistor 542.
  • These voltage values are stored in lookup table LUT1 as the calibration data.
  • the LUT1 635 based on the address provided by LA 633 and the gray scale level provided by GL 631, the LUT1 635 generates a calibrated voltage from the stored calibration data and provides the calibrated voltage to DAC 636, which converts the calibrated voltage into an analog voltage value and downloads the analog voltage value to LBl 637.
  • LBl 637 provides the analog voltage value as a reference voltage to input PI of comparator 544 associated with the column corresponding to the address.
  • comparator 544 is a voltage comparator that compares the voltage levels at its two inputs PI and P2 and generates at its output P3 a positive supply rail (e.g., +10 volts) when PI is larger than P2 and a negative supply rail (e.g., 0 volts) when PI is equal of less than P2.
  • the positive supply rail corresponds to a logic high for the switching device 522 while negative supply rail corresponds to a logic low for the switching device 522.
  • OS 530 has a maximum resistance to current flow; and voltage on input pin P2 of VC 544 is minimum because the resistance R of voltage divider resistor 542 is small compared to the resistance of OS 530.
  • Image data voltages for row 1 of the display 100 are sent to the A/D converter 630 serially and each is converted to a reference voltage and stored in LBl 637 until LBl stores the reference voltages for every pixel in the row.
  • shift register Vos 620 sends the Vos voltage (e.g., +10 volts) to line Vosi, turning on gate Gib of each switching device 524 in row 1, and thus, the switching devices 522 themselves (since gate Gla is already on).
  • the voltage Vos on line Vosi is also applied to OS 530 and to the gate G3 of transistor 532 in each of the first row of pixels, causing transistor 532 to conduct and current to flow through OS 530.
  • shift register RS 610 sends the ramp voltage VR (e.g., from 0 to 10 volts) to line VRl, which ramp voltage is applied to storage capacitor 524 and to the gate G2 of transistor 512 in each pixel in row 1 because switching device 522 is conducting.
  • VR ramp voltage
  • the capacitor 524 is increasingly charged, the current through transistor 512 and OLED 514 in each of the first row of pixels increases, and the light emission from the OLED also increases.
  • the increasing light emission from the OLED 514 in each pixel in row 1 falls on OS 530 associated with the pixel and causes the resistance associated with the OS 530 to decrease, and thus, the voltage across resistor 542 or the voltage at input P2 of comparator 544 to increase.
  • the duration of time that the ramp voltage VRl takes to increase to its full value is called the line address time.
  • the line address time In a display having 500 lines and running at 60 frames per second, the line address time is approximately 33 micro seconds or shorter. Therefore, all the pixels in the first row are at their respective desired emission levels by the end of the line address time. And this completes the writing of row 1 in the display 100.
  • both horizontal shift registers, VosS 620 and RS 610 turn off lines VRl and Vosi, respectively, causing switching device 522 and isolation transistor 532 to be turned off, thereby, locking the voltage on the storage capacitor 524 and isolating the optical sensors 530 in row 1 from the voltage comparators 544 associated with each column.
  • each switching device 522 has double gates, Gate Gla and Gate Gib, and gate Gla of each switching device 522 in row 1 is held by line Vosi- So, during the writing of subsequent rows, while gate Gib may conduct, the switching devices 522 in row 1 are kept off because Vosi is not selected.
  • capacitor 524 in each pixel in row 1 is kept disconnected from the capacitors 524 in the other pixels in rowl. This eliminates cross talk between capacitors 524 in different pixels in the row that has just be written, so that each pixel in the row continues to output the desired emission level during the writing of subsequent rows.
  • each pixel 500 in the display 100 does not depend on a voltage- current relationship associated with transistor 512, but is controlled by a specified image grayscale level and a feedback of the pixel luminance itself, the embodiments described above allow transistor 512 to operate in the unsaturated region, and thus, save power for the operation of display 100.
  • a V DD as low as 9 volts may be sufficient to operate display 100 because transistor TFT 512 does not need to operate in saturation mode.
  • the maximum gate voltage VQ 2 for a typical power TFT 512 to operate in the unsaturated region at 1 ⁇ A current should be about 15 volts.
  • the maximum value in ramp voltage VR should be set above 15 V.
  • TFT 512 is higher when TFT 512 is operating in the unsaturated region, but this does not create a significant power dissipation issue.
  • additional voltages or voltage range capacity may advantageously be included in the power supply V DD to allow for degradation in the efficiency of the OLED Dl and for threshold voltage drift in power TFT 512.
  • These additional voltages may amount to as much as three to four volts, which results in significant power dissipation. Further savings in power can be attained by using a variable power supply, which allows the voltage V DD to be set low initially and be increased as pixels age, or threshold voltage drifts, or both.
  • FIG. 7 illustrates the power adjustment unit 160 in display 100 according to one embodiment of the present invention.
  • power adjustment unit 160 comprises a plurality of transistors 710 each associated with a column of pixels and a plurality of capacitors 712 each coupled to a respective one of the transistors 710.
  • Each fransistor 710 can be any fransistor having first and second terminals and a control terminal, with the conductivity between first and second terminals controllable by a voltage applied to the control terminal.
  • each transistor 710 is a TFT with the first terminal being the drain D4, the second terminal being the source D4, and the control terminal being the gate G4 of the TFT.
  • Each capacitor 712 is coupled between a source S4 of a respective one of the TFTs 710 and ground.
  • the gate G4 of each TFT 710 is connected to output P3 of a respective one of the voltage comparators 544, and the drain D4 of the TFT is connected to the ramp voltage output VR.
  • Power adjustment unit 160 further comprises a line buffer (LB2) 720, a ramp logic block (RL) 730, a storage medium 740 storing therein a look-up table (LUT2), and a storage medium 750 storing therein a differential ramp voltage table (DRV).
  • LB2 720 line buffer
  • RL ramp logic block
  • storage medium 740 storing therein a look-up table (LUT2)
  • DUV differential ramp voltage table
  • the set of ramp voltages loaded in LB2 720 represent the initial and new state of the display before any pixel degradation or TFT threshold voltage drifts have occurred.
  • This initial set of ramp voltages is stored in look up table LU2 740.
  • the initial ramp voltage set is guided to look up table LUT2 740 by Ramp logic RL 730.
  • the ramp voltages loaded in LB2 are compared to the initial set of ramp voltages stored in lookup table LUT2 and the difference is stored in DRV 750.
  • the set of values in DRV 750 represents the aging of the display and these values should increase with the continued usage of display 100.
  • V DD output from the variable power supply 170 is also increased using a known technique to compensate for the pixel aging and power TFT threshold voltage drifts.
  • V DD can be increased by a certain increment (e.g., 0.25 volts) when a certain percentage (e.g., 20%>) of the differential ramp voltages stored in DRV 750 have each changed by more than a certain amount (e.g., 0.25 volts).
  • V DD can be increased by a certain increment (e.g., 0.25 volts) when an average of the differential ramp voltages stored in DRV 750 has increased by a certain amount (e.g., 0.25 volts).

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Control Of Indicators Other Than Cathode Ray Tubes (AREA)
  • Electroluminescent Light Sources (AREA)

Abstract

The embodiments of the present invention provide a flat panel display (fig. 4c) having a plurality of pixels, each comprising a light-emitting device (514) configured to emit light in accordance with a current flowing through the light-emitting device, a transistor (512) coupled to the light-emitting device and configured to provide the current through the light-emitting device, the current increasing with a ramp voltage applied to control terminal of the transistor, and a switching device (522) configured to switch off in response to the luminance of the light-emitting device having reached a specified level, thereby disconnecting the ramp voltage from the transistor and locking the brightness at the specified level.. The switching device is further configured to stay off thereby allowing the luminance of the light-emitting device to be kept at the specified level until the pixel is rewritten in a different frame.

Description

LOW POWER CIRCUITS FOR ACTIVE MATRIX EMISSIVE DISPLAYS AND METHODS OF OPERATING THE SAME
CROSS REFERENCE TO RELATED APPLICATIONS
[001] The present application claims priority to U.S. Provisional Patent Application
No. 60/561,474 entitled "Low Power Circuit for Active Matrix Emissive Flat Panel Displays," filed on April 12, 2004, the entire disclosure of which is incorporated herein by reference.
[002] The present application is related to commonly assigned US Patent Application Attorney Docket Number 186351/US/2/RMA/JJZ (474125-35), entitled "Color Filter integrated with Sensor Array for Flat Panel Display," filed April 6, 2005, commonly assigned US Patent Application Serial Number 10/872,344, entitled "Method and Apparatus for Controlling an Active Matrix Display," filed June 17, 2004, and commonly assigned US Patent Application Serial Number 10/841,198 entitled "Method and Apparatus for Controlling Pixel Emission," filed May 6, 2004, each of which is incorporated herein by reference. FIELD OF THE INVENTION [003] The present invention relates to active matrix emissive displays and particularly to low power circuits for active matrix emissive displays and methods of operating the same.
BACKGROUND OF THE INVENTION
[004] The active matrix display employs a thin film circuit at each pixel that allows each pixel in the display to be directly addressed, hi a typical active matrix liquid crystal display (AMLCD), each pixel circuit includes a data thin film transistor (TFT) TI connected between a data line Vdata and a liquid crystal display cell LCD and storage capacitor C pair, as shown in FIG. 1. The thin film transistor has a control gate Gl connected to an enable voltage Venabie- During operation, a data voltage V ata is placed on drain D of transistor TI and, when gate Gl is activated, data voltage Vdata is transferred to storage capacitor C and liquid crystal cell LCD though TFT TI. The power dissipated during the charging of capacitor C and liquid crystal display cell LCD is usually negligible. The power problem in the AMLCD is typically in a backlight circuit that supplies the light, which the LCD modulates. In the case of active matrix emissive displays, particularly the active matrix organic light emitting displays (AMOLED), significant amount of power is consumed to produce light emissions from the pixels, and additional power is required to operate driving circuits in the active matrix, which control the light emissions.
[005] With reference to FIG. 2, a typical driving circuit of an organic light-emitting diode (OLED) active matrix emissive display includes an OLED Dl and a power TFT T2 serially coupled with each other between a voltage supply VDD and ground. TFT T2 has a source S connected to OLED Dl, a drain D connected to voltage supply VDD, and a gate G2 connected to TFT TI. Capacitor C is coupled between the source S and gate G2 of TFT T2. OLED Dl has parasitic resistor RD and parasitic capacitor Co- TFT T2 supplies current ID to OLED Dl. The level of emissions from OLED Dl, or, in a more scientific term, the luminance of OLED Dl, is proportional to the current L Since the voltage across TFT T2 and OLED Dl is equal to VDD, the power P dissipated by TFT T2 and OLED Dl is equal to VDD times the current ID- While the voltage supply VDD is divided between TFT T2 and OLED Dl, the same current ID flows through both. Therefore, the power P is divided between TFT T2 and OLED Dl in proportion to the voltage VDD being divided between them. [006] Before any current is supplied to OLED Dl by TFT T2, the source S of TFT T2 is at ground state causing the voltage VDD to fall almost entirely across TFT T2. As current ID increases in OLED Dl, the voltage VD across TFT T2 decreases, while the sum of the voltage across OLED Dl and voltage VD equals VDD- A problem arises because OLED Dl is a load on TFT T2, which load is changing during operation, as every level of luminance from OLED Dl requires a specific current ID, and thus, represents a different load to TFT T2. In order to faithfully convert data voltage Vdata to a specified current ID and a specified luminance of OLED Dl corresponding to Vd ta, changes in the load of TFT T2 due to changes in the luminance of OLED Dl should not cause changes in current ID output from TFT T2. That is, TFT T2 should act as a current source and not change current output as the load changes. In order for TFT T2 to act as a current source, voltage VD across TFT T2 must bias TFT T2 in the saturation mode. As shown in
FIG. 3, the saturation mode corresponds to the flat part of each ID versus VD curve, while the steep slope leading up to the flat part corresponds to the unsaturated mode.
[007] In the saturation mode, ID depends almost entirely on VQ, which is the voltage on gate G of TFT T2, as expressed in Eq. 1: ι. ° μ "t 2VdV ,, -v.)' (1)
where μ, ε0, εr , w, 1, d, and Vth are parameters associated with TFT T2. with μ being the effective electron mobility, ε0 being the permittivity of free space, εr being the dielectric constant of the gate dielectric, w being the TFT channel width, 1 being the TFT channel length, d being the gate dielectric thickness, and Vth being the threshold voltage.
[008] For a TFT to be in the saturation mode, VD must be greater than VQ - Vth. Thus, for a specified current ID
VD > NG - Vfll = ID ( 2 ' ά . (2)
[009] Typically, 1 μA of current is sufficient to give bright emissions from an OLED pixel. Following are examples of TFT parameters: v„ 1 V μ « 0.75 cm /XV, - sec εr « 4 w « 25 μm 1 « 5 μm d « 0.18 μm from which it is estimated that: VD > VG - Vft « 5.206 V , for ID = 1 μA.
[010] This means that the minimum VD required to put TFT T2 in saturation is about 5.2V for a drain current of 1 μA, or that at ID = 1 μA, the power dissipated by TFT T2 is about 5.2 microwatts. This estimate is for an ideal situation. In practice, a larger voltage across the OLED is needed to pass 1 μA of current through the OLED as the OLED ages. For example, when an OLED is new, only about 4 V across the OLED is required to pass 1 μA of current, but as it ages this voltage may increase to as high as 6 volts. This means that 2 extra volts should typically be added to VDD to ensure that TFT T2 stays in saturation over the lifetime of the display. In addition, if higher OLED luminance is desired, higher VD will be required to ensure saturation. Furthermore, even higher VD may be required to keep TFT T2 in saturation due to threshold voltage drift, which often happens with amorphous silicon TFTs. Thus, the total required voltage VD is about 5.2 V for an ideal case when 1 μA of drain current is generated in the saturation mode, plus about 2 volts for threshold voltage drift and about an additional 2 volts for OLED aging and maximum OLED brightness. This means that VDD needs to be as high as about 13.2 volts. This also means that when the display is new, for 1 microampere of current through the OLED Dl, there will be about 4 volts across the OLED and about 4 microwattts of power dissipation by the OLED, while about 9.2 volts of voltage is across TFT T2 and power dissipation by the TFT is about 9.2 microwatts, which is more than twice the power dissipation of the OLED itself.
[011] Thus, there is a need for a display that provides good control of pixel luminance without excessive power dissipation by the power TFTs.
SUMMARY OF THE INVENTION [012] The embodiments of the present invention provide a display having a plurality of pixels. Each pixel comprises a light-emitting device configured to emit light or photons in response to a current flowing through the light-emitting device. The luminance of the light-emitting device depends on the current through the light-emitting device. Each pixel further comprises a transistor coupled to the light-emitting device and configured to provide the current through the light-emitting device, the current increasing with a ramp voltage applied to a control terminal of the transistor, and a switching device configured to switch off in response to the luminance of the light-emitting device having reached a specified level, thereby disconnecting the ramp voltage from the transistor and locking the brightness at the specified level. The switching device is further configured to stay off thereby allowing the luminance of the light-emitting device to be kept at the specified level until the pixel is rewritten in the next frame.
[013] In some embodiments, the transistor and the light-emitting device are serially coupled with each other between a variable voltage source and ground. The variable voltage source is configured to output a voltage that changes as the display ages. The voltage output from the variable voltage source changes based on a statistical evaluation of the changes in ramp voltages required to cause the light from the light-emitting devices to reach specified levels in brightness in some or all of the pixels in the display.
[014] The embodiments of the present invention also provide a method for controlling the brightness of a pixel in a display. The method comprises switching on a switching device by applying a first control voltage to a first control terminal and a second control voltage to a second control terminal of the switching device, and applying a ramp voltage through the switching device to a gate of a transistor serially coupled with the light-emitting device thereby causing light emitted from the light-emitting device to increase in brightness with the ramp voltage. The light from the light-emitting device illuminates an optical sensor thereby causing an electrical parameter associated with the optical sensor to change as the light changes in brightness, and the second control voltage is dependent on the electrical parameter and changes to a different value in response to the luminance of the light-emitting device having reached a specified brightness for the pixel, thereby switching off the switching device.
[015] In some embodiments, the transistor and the light-emitting device are serially coupled with each other between a variable voltage source and ground, and the method further comprises varying a voltage output from the variable voltage source as the display ages. The voltage output is varied by recording a value of ramp voltage required to cause the light-emitting device in each pixel in the display to reach the specified level of brightness for the pixel, and computing a statistical measure from the changes in the recorded values for some or all of the pixels in the display to determine when and how much to change the voltage output.
[016] The embodiments described herein provide significant power savings by allowing a power TFT, that supplies currents to a light-emitting device such as an OLED in a pixel of a display, to operation in the unsaturated regions associated with its current- voltage characteristics, because the brightness of the light-emitting device according to embodiments of the present invention does not depend on a current- voltage relationship of the power TFT, but on the pixel brightness itself. Further power savings are achieved in embodiments using variable power supplies.
DESCRIPTION OF THE DRAWINGS
[017] FIG. 1 is a diagram illustrating a conventional AMLCD pixel driving circuit.
[018] FIG. 2 is a circuit schematic illustrating a conventional AMOLED pixel driving circuit.
[019] FIG. 3 is a graph of drain current versus source-drain voltage in a power TFT.
[020] FIG. 4A is a block diagram of an emissive feedback circuit in a display according to one embodiment of the present invention.
[021] FIG. 4B is a block diagram of an emissive feedback circuit in a display having a plurality of pixels according to one embodiment of the present invention.
[022] FIG. 4C is a block diagram of two separate components in an emissive feedback circuit according to one embodiment of the present invention.
[023] FIG. 5 is a schematic diagram of a portion of a display circuit according to one embodiment of the present invention.
[024] FIG. 6 is a diagram of a larger portion of the display circuit according to an embodiment of the present invention. [025] FIG. 7 is a diagram illustrating a power adjustment unit in the display circuit according to further embodiments of the present invention.
DETAILED DESCRIPTION OF THE EMBODIMENTS
[026] Embodiments of the present invention provide low-power circuits for emissive displays and methods of operating the same. The embodiments described herein save power consumed by power TFTs that supply currents to light-emitting devices in a display by allowing the power TFTs to operate in the unsaturated region.
[027] FIG. 4 A is a block diagram of a portion of an exemplary circuit 100 for a display, such as a flat panel display, according to one embodiment of the present invention. As shown in FIG. 4A, display circuit 100 comprises a light emission source 110, an emission driver 120 configured to vary the luminance of the emission source 110, an optical sensor 130 positioned to receive a portion of the light emitted from emission source 110 and having an associated electrical parameter dependent on the received light, a control unit 140 configured to control the driver 120 based on the changes in the electrical parameter of the sensor 130, and a data input unit 150 configured to provide a signal corresponding to a desired brightness level for the emission source 110 to the control unit 140. Optionally, display circuit 100 may further comprise a power adjustment unit 160 configured to adjust the amount of power produced by a variable power supply 170, which is the source of power for the emission source 110, to account for variations in the emission source and other circuit elements in display circuit 100.
[028] Sensor 130 may comprise any sensor material having a measurable property, such as a resistance, capacitance, inductance, etc., dependent on received emissions. In one example, sensor 130 comprises a photosensitive resistor whose resistance varies with an incident photon flux. As another example, the sensor 130 comprises a calibrated photon flux integrator, such as the one disclosed in commonly assigned US Patent Application Serial Number 11/016,372 entitled "Active-Matrix Display and Pixel Structure for Feedback Stabilized Flat Panel Display," filed on December 17, 2004, which is incorporated herein by reference in its entirety. Sensor 130 may also or alternatively comprise one or more of other radiation-sensitive sensors including, but not limited to, optical diodes and/or optical transistors. Thus, sensor 130 may comprise at least one type of material that has one or more electrical properties changing according to the intensity of radiation falling or impinging on a surface of the material. Such materials include but are not limited to amorphous silicon (a-Si), cadmium selenide (CdSe), silicon (Si), and Selenium (Se). Sensor 130 may also comprise other circuit elements such as an isolation transistor for preventing cross talk among a plurality of sensors 130 in an active matrix display, as discussed in more detail below.
[029] The control unit 140 may be implemented in hardware, software, or a combination thereof. In one embodiment, the control unit 140 is implemented using a voltage comparator. Other comparison circuitry or software may also or alternatively be used. The driver 120 may include any hardware, software, firmware, or combinations thereof suitable for providing a drive signal to emission source 110. Driver 120 may be integrated with a display substrate on which the emission source 110 is formed, or it may be separate from the display substrate. In some embodiments, portions of driver 120 are formed on the display substrate.
[030] During operation of display circuit 100, data input 150 receives image voltage data corresponding to a desired brightness of the light from emission source 110 and converts the image voltage data to a reference voltage for use by the control unit 140. The pixel driver 120 is configured to vary the light emission from the emission source 110 until the electrical parameter in sensor 130 reaches a certain value corresponding to the reference voltage, at which point, control unit 140 couples a control signal to driver 120 to stop the variation of the light emission. Driver 120 also comprises mechanisms for maintaining the light emission from emission source 110 at the desired brightness after the variation of the light emission is stopped. Optionally, while the light emission from the emission source 110 is varied, an electrical measure in the power adjustment unit is also varied accordingly, and the control signal from the control unit 140 is also coupled to the power adjustment unit 160 to stop the variation of the electrical measure. Based on the value at which the electrical measure is stopped, the power adjustment unit 160 determines whether to adjust the variable power supply 170 and how much adjustment needs to be done using, for example, a statistical technique, as explained in more detail below.
[031] FIG. 5 illustrates one implementation of the display circuit 100 in the embodiments of FIG. 4A. As shown in FIG. 5, display circuit 100 comprises a transistor 512 and a light-emitting device 514 as the light emission source 110. Display circuit 100 further comprises a switching device 522 and a capacitor 524 as part of the driver 120, an optical sensor (OS) 530 and an optional isolation device 532 as sensor 130, and a voltage divider resistor 542 and a comparator 544 as part of the control unit 140. The OS 530 is coupled to a line selector output voltage Vosi and the voltage divider resistor 542 is coupled with OS 530 between Vosi and ground. The comparator 544 has a first input PI coupled to the data input unit, a second input P2 coupled to a circuit node 546 between the OS 530 and the voltage divider resistor 542, and an output P3. The switching device 522 has a first control terminal Gla coupled to Vosi, a second control terminal Gib coupled to the output P3 of comparator 544, an input DRl coupled to a ramp voltage output VR, and an output S2 coupled to a control terminal G2 of transistor 512. The capacitor 524 is coupled between the control terminal G2 and a circuit node S2 between transistor 512 and light- emitting device 514. The capacitor 524 may alternatively be coupled between control terminal G2 of transistor 512 and ground.
[032] Each OS 530 can be any suitable sensor having a measurable property, such as a resistance, capacitance, inductance, or the like parameter, property, or characteristic, dependent on received emissions. An example of OS 230 is a photosensitive resistor whose resistance varies with an incident photon flux. As another example, each OS 230 is a calibrated photon flux integrator, such as the one disclosed in commonly assigned US Patent Application Serial Number 11/016372 entitled "Active-Matrix Display and Pixel Structure for Feedback Stabilized Flat Panel Display," filed on December 17, 2004, which application is incorporated herein by reference in its entirety. Thus, each OS 230 may include at least one type of material that has one or more electrical properties changing according to the intensity of radiation falling or impinging on a surface of the material. Such materials include but are not limited to amorphous silicon (a-Si), cadmium selenide (CdSe), silicon (Si), and Selenium (Se). Other radiation- sensitive sensors may also or alternatively be used including, but not limited to, optical diodes, and/or optical transistors.
[033] Isolation device 532 such as an isolation transistor may be provided to isolate the optical sensors 530. Isolation transistor 532 can be any type of transistor having first and second terminals and a control terminal, with conductivity between the first and second terminals controllable by a control voltage applied to the control terminal. In one embodiment, isolation transistor 532 is a TFT with the first terminal being a drain DR3, the second terminal being a source S3, and the control terminal being a gate G3. The isolation transistor 532 is serially coupled with OS 530 between Vosi and ground, with the control terminal of G3 connected to Vosi, while the first and second terminals are connected to resistor 542 and OS 530, respectively, or to OS 530 and Vosi, respectively. In the following discussion, OS 530 and isolation transistor 532 may together be referred to as sensor 130.
[034] Light-emitting device 514 may generally be any light-emitting device known in the art that produces radiation such as light emissions in response to an electrical measure such as an electrical current through the device or an electrical voltage across the device. Examples of light-emitting device 514 include but are not limited to light emitting diodes (LED) and organic light emitting diodes (OLED) that emit light at any wavelength or a plurality of wavelengths. Other light-emitting devices may be used including electroluminescent cells, inorganic light emitting diodes, and those used in vacuum florescent displays, field emission displays and plasma displays. In one embodiment, an OLED is used as the light-emitting device 514.
[035] Light-emitting device 514 is sometimes referred to as an OLED 514 hereafter. But it will be appreciated that the invention is not limited to using an OLED as the light-emitting device 514. Furthermore, although the invention is sometimes described relative to a flat panel display, it will be appreciated that many aspects of the embodiments described herein are applicable to a display that is not flat or built as a panel.
[036] Transistor 512 can be any type of transistor having a first terminal, a second terminal, and a control terminal, with the current between the first and second terminals dependent on a control voltage applied to the control terminal. In one embodiment, transistor 512 is a TFT with the first terminal being a drain D2, the second terminal being a source S2, and the control terminal being a gate G2. Transistor 512 and light-emitting device 514 are serially coupled between a power supply VDD and ground, with the first terminal of transistor 512 connected to VDD , the second terminal of transistor 512 connected to the light-emitting device 514, and the control terminal connected to ramp voltage output VR through switching device 522.
[037] In one embodiment, switching device 522 is a double-gated TFT, that is, a TFT with a single channel but two gates Gla and Gib. The double gates act like an AND function in logic, because for the TFT 522 to conduct, logic highs need to be simultaneously applied to both gates. Although a double-gated TFT is preferred, any switching device implementing the AND function in logic is suitable for use as the switching device 522. For example, two serially coupled TFTs or other types of transistors maybe used as the switching device 522. Use of a double-gated TFT or other device implementing the AND function in logic as the switching device 522 helps to reduce cross talk between pixels, as explained in more detail below. If cross talk is not a concern or other means are used to reduce or eliminate the cross talk, gate Gla and its connection to Vosi is not required, and a TFT with a single control gate connected to the output P3 of comparator 544 may be used as the switching device 522, as shown in FIG. 7.
[038] In one embodiment of the present invention, display 100 comprises a plurality of pixels 115 each having a driver 120 and a emission source 120, and a plurality of sensors 130 each corresponding to a pixel, as shown in FIG. 4B. Display 100 further comprises a column control circuit 44 and a row control circuit 46. Each pixel 115 is coupled to the column control circuit 44 via a column line 55 and to the row control circuit 46 via a row line 56. Each sensor 130 is coupled to the row control circuit 46 via a sensor row line 70 and to the column control circuit 44 via a sensor column line 71. In one embodiment, at least parts of the control unit 140, the data input unit 150 and the power adjustment unit 160 are comprised in the column control circuit 44.
[039] In one embodiment, each sensor 130 is associated with a respective pixel 115 and is positioned to receive a portion of the light emitted from the pixel. Pixels are generally square, as shown in FIG. 4B, but can be any shape such as rectangular, round, oval, hexagonal, polygonal, or any other shape. If display 11 is a color display, pixel 33 can also be subpixels organized in groups, each group corresponding to a pixel. The subpixels in a group should include a number (e.g., 3) of subpixels each occupying a portion of the area designated for the corresponding pixel. For example, if each pixel is in the shape of a square, the subpixels are generally as high as the pixel, but only a fraction (e.g., 1/3) of the width of the square. Subpixels may be identically sized or shaped, or they may have different sizes and shapes. Each subpixel may include the same circuit elements as pixel 115 and the sub-pixels in a display can be interconnected with each other and to the column and row control circuits 44 and 46 just as the pixels 115 shown in FIG. 4B. In a color display, a sensor 130 is associated with each subpixel. In the following discussions, the reference of a pixel can mean both a pixel or subpixel.
[040] The row control circuit 46 is configured to activate a selected row of sensors 60 by, for example, raising a voltage on a selected sensor row line 70, which couples the selected row of sensors to the row control circuit 46. The column control circuit 44 is configured to detect changes in the electrical parameters associated with the selected row of sensors and to control the luminance of the corresponding row of pixels 115 based on the changes in the electrical parameters. This way, the luminance of each pixel can be controlled at a specified level based on feedbacks from the sensors 130. In other embodiments, the sensors 130 may be used for purposes other than or in addition to feedback control of the pixel luminance, and there may be more or less sensors 130 than the pixels or subpixels 115 in a display.
[041] The sensors and the pixels can be formed on a same substrate, or, they can be formed on different substrates. In one embodiment, display 100 comprises a sensor component 100 and a display component 110, as illustrated in FIG. 4C. The display component 110 comprises pixels 115, the column control circuit 44, the row control circuit 46, the column lines 55, and the row lines 56 formed on a first substrate 112, while the sensor component 100 comprises the sensors 130, the sensor row lines 70, and the sensor column lines 71 formed on a second substrate 102. The sensor component 100 may also comprise color filter elements 20, 30, and 40 when the sensors 130 are integrated with a color filter for the display, as described in related Patent Application Attorney Docket Number 186351/US/2/RMA/JJZ (474125-35).
[042] When the two components are put together to form display 11, electrical contact pads or pins 114 on display component 110 are mated with electrical contact pads 104 on filter/sensor plate 100, as indicated by the dotted line aa, in order to connect the sensor row lines 70 to the row control circuit 46. Likewise, electrical contact pads or pins 116 on display component 110 are mated with electrical contact pads 106 on filter/sensor plate 100, as indicated by the dotted line bb, in order to connect the sensor column lines 71 to the column control circuit 44. It is understood that display component 110 can be one of any type of displays including but not limited to LCDs, electroluminescent displays, plasma displays, LEDs, OLED based displays, micro electrical mechanical systems (MEMS) based displays, such as the Digital Light projectors, and the like. For ease of illustration, only one set of column lines 55 and one set of row lines 56 for the display component 100 are shown in FIG. IB. In practice, there may be more than one set of column lines and/or more than one set of row lines associated with the display component 110. For example, in an OLED-based active matrix emissive display, as discussed below, display component 110 may comprise another set of row lines connecting each pixel 33 to a respective one of the contact pads 114. [043] FIG. 6 illustrates one implementation of one embodiment of display 100. As shown in FIG. 6, display 100 comprises a plurality of pixels 500 arranged in rows and columns, with pixels PIX1,1, PIX1,2, etc., in row 1, pixels PLX2,1, PIX2,2, etc., in row 2, and so on for the other rows in the display. Each pixel 500 comprises a transistor 512, a light-emitting device 514, a switching device 522, and a capacitor 524. FIG. 6 also shows a sensor array comprising a plurality of sensors arranged in rows and columns, each corresponding to a pixel and each comprising an optical sensor OS 530 and an isolation transistor 532.
[044] Still referring to FIG. 6, display 100 further comprises ramp selector (RS) 610 configured to receive a ramp voltage VR and to select one of row lines, VR1, VR2, etc., to output the ramp voltage VR. Each of lines VR1, VR2, etc., is connected to drain Dl of switching device 522 in each of a corresponding row of pixels 500. Circuit 100 further comprises a line selector (VosS) configured to receive a line select voltage Vos and to select one of sensor row lines, Vosi, Vos2, etc., to output the line select voltage Vos- Each of lines Vosi, Vos2, etc., is connected to the optical sensors 530 and to gate Gla of switching device 522 in each of a corresponding row of pixels 500. RS 610 and VosS 620 are part of the row control circuit 46 and can be implemented using shift registers.
[045] Each sensor comprising the OS 530 and the TFT 532 may be part of a pixel in the display and formed on a same substrate the pixels are formed. Alternatively, the sensors are fabricated on a different substrate from the substrate on which the pixels are formed, as shown in FIG. 4C. In this case, another set or row lines (not shown) are provided to allow gate Gla to be connected to contact pads 114 and thus to the sensor row lines Vosi, Vos2, etc., when the two substrates are mated together.
[046] FIG. 6 also shows that display comprises a plurality of comparators 544 and resistors 522 each being associated with a column of pixels 500. FIG. 6 further shows a block diagram of data input unit 150, which comprises an analog to digital converter (A D) 630 configured to convert a received image voltage data to a corresponding digital value, an optional grayscale level calculator (GL) 631 coupled to the A/D 630 and configured to generate a grayscale level corresponding to the digital value, a row and column tracker unit (RCNT) 632 configured to generate a line number and column number for the image voltage data, a calibration look-up table addresser (LA) 633 coupled to the RCNT 632 and configured to output an address in the display circuit 100 corresponding to the line number and column number, and a first look-up table (LUT1) 635 coupled to the GL 631 and the LA 633. Data input unit 150 further comprises a digital to analog converter (DAC) 636 coupled to the LUT1 635 and a first line buffer (LBl) 637 coupled to the DAC 636. In one embodiment, comparators 544, resistors 522, and at least part of data input unit 150 are included in the column control circuit 44.
[047] In one embodiment, LUT1 635 stores calibration data obtained during a calibration process for calibrating against a light source having a known luminance each optical sensor in the display circuit 100. Related Patent Applications Serial Number 10/872,344 and Application Serial Number 10/841,198, supra, describes an exemplary calibration process, which description is incorporated herein by reference. The calibration process results in a voltage divider voltage level at circuit node 546 in each pixel for each grayscale level. As a non-limiting example, an 8- bit grayscale has 0 - 256 levels of luminance with the 255th level being at a chosen level, such as 300 nits for a Television screen. The luminance level for each of the remaining 255 levels is assigned according to the logarithmic response of the human eye. The zero level corresponds to no emission. Each value of brightness will produce a specific voltage on the circuit node 546 between optical sensor OS 530 and voltage divider resistor 542. These voltage values are stored in lookup table LUT1 as the calibration data. Thus, based on the address provided by LA 633 and the gray scale level provided by GL 631, the LUT1 635 generates a calibrated voltage from the stored calibration data and provides the calibrated voltage to DAC 636, which converts the calibrated voltage into an analog voltage value and downloads the analog voltage value to LBl 637. LBl 637 provides the analog voltage value as a reference voltage to input PI of comparator 544 associated with the column corresponding to the address.
[048] Initially, all of lines Vosi, Vos2, etc., are at zero or even a negative voltage depending on specific application. So the switching device 522 in each pixel 500 is off no matter what the output P3 of the comparator 544 is. Also, isolation transistor 532 in each pixel is off so that no sensor is connected to P2 of the comparator 544. Also note that the voltage on P2 of voltage comparator 544 is zero (or at ground) because there is no current flowing through the resistor 542, which is connected to ground. In one embodiment, comparator 544 is a voltage comparator that compares the voltage levels at its two inputs PI and P2 and generates at its output P3 a positive supply rail (e.g., +10 volts) when PI is larger than P2 and a negative supply rail (e.g., 0 volts) when PI is equal of less than P2. The positive supply rail corresponds to a logic high for the switching device 522 while negative supply rail corresponds to a logic low for the switching device 522. Initially, before OLED 514 emits light, OS 530 has a maximum resistance to current flow; and voltage on input pin P2 of VC 544 is minimum because the resistance R of voltage divider resistor 542 is small compared to the resistance of OS 530. So, as the reference voltages for the first row (row 1), which includes pixels PIX1,1, PLX1,2, etc., are written to line buffer 657, all of the gates Gib in the pixels are opened because input PI in each comparator 544 is supplied with a reference voltage while input P2 in each comparator 544 is grounded, causing comparator 544 to generate the positive supply rail at output P3.
[049] Image data voltages for row 1 of the display 100 are sent to the A/D converter 630 serially and each is converted to a reference voltage and stored in LBl 637 until LBl stores the reference voltages for every pixel in the row. At about the same time, shift register Vos 620 sends the Vos voltage (e.g., +10 volts) to line Vosi, turning on gate Gib of each switching device 524 in row 1, and thus, the switching devices 522 themselves (since gate Gla is already on). The voltage Vos on line Vosi is also applied to OS 530 and to the gate G3 of transistor 532 in each of the first row of pixels, causing transistor 532 to conduct and current to flow through OS 530. Also at about the same time, shift register RS 610 sends the ramp voltage VR (e.g., from 0 to 10 volts) to line VRl, which ramp voltage is applied to storage capacitor 524 and to the gate G2 of transistor 512 in each pixel in row 1 because switching device 522 is conducting. As the voltage on line VRl is ramped up, the capacitor 524 is increasingly charged, the current through transistor 512 and OLED 514 in each of the first row of pixels increases, and the light emission from the OLED also increases. The increasing light emission from the OLED 514 in each pixel in row 1 falls on OS 530 associated with the pixel and causes the resistance associated with the OS 530 to decrease, and thus, the voltage across resistor 542 or the voltage at input P2 of comparator 544 to increase.
[050] This continues in each pixel in row 1 as the OLED 514 in the pixel ramps up in luminance with the increase of ramp voltage VR until the OLED 514 reaches the desired luminance for the pixel and the voltage at input P2 is equal to the reference voltage at input PI of comparator 544. hi response, output P3 of comparator 544 changes from the positive supply rail to the negative supply rail, turning off gate Gib of switching device 522 in the pixel, and thus, the switching device itself. With the switching device 522 turned off, further increase in VR is not applied to gate G of transistor 512 in the pixel, and the voltage between gate G2 and the second terminal S2 of transistor 512 is held constant by capacitor 524 in the pixel. Therefore, the emission level from OLED 514 in the pixel is frozen or fixed at the desired level as determined by the calibrated reference voltage placed on pin, PI of the voltage comparator 544 associated with the pixel.
[051] The duration of time that the ramp voltage VRl takes to increase to its full value is called the line address time. In a display having 500 lines and running at 60 frames per second, the line address time is approximately 33 micro seconds or shorter. Therefore, all the pixels in the first row are at their respective desired emission levels by the end of the line address time. And this completes the writing of row 1 in the display 100. After row 1 is written, both horizontal shift registers, VosS 620 and RS 610 turn off lines VRl and Vosi, respectively, causing switching device 522 and isolation transistor 532 to be turned off, thereby, locking the voltage on the storage capacitor 524 and isolating the optical sensors 530 in row 1 from the voltage comparators 544 associated with each column. When this happens, the voltage on pin P2 of each comparator 544 goes to ground as no current flows in resistor R, causing the output P3 of the voltage comparator 544 to go back to the positive supply rail, turning gate Gib of switching device 522 in each related pixel back on, ready for the writing of the second row of pixels in display 100.
[052] During the writing of the second row, image data associated with the second row is supplied to A/D 630, ramp selector RS 610 selects line VR2 to output ramp voltage VR, line selector VosS 620 selects line Vos2 to output line select voltage Vos, and the previous operation is repeated for the second row of pixels until they are turned on. Ramp selector RS 610 and VosS 620 move to row three and so on until all rows in the display have been turned on, and then the frame repeats. In the embodiments depicted by FIG. 6, each switching device 522 has double gates, Gate Gla and Gate Gib, and gate Gla of each switching device 522 in row 1 is held by line Vosi- So, during the writing of subsequent rows, while gate Gib may conduct, the switching devices 522 in row 1 are kept off because Vosi is not selected. Thus, capacitor 524 in each pixel in row 1 is kept disconnected from the capacitors 524 in the other pixels in rowl. This eliminates cross talk between capacitors 524 in different pixels in the row that has just be written, so that each pixel in the row continues to output the desired emission level during the writing of subsequent rows. [053] Because the luminance of each pixel 500 in the display 100 does not depend on a voltage- current relationship associated with transistor 512, but is controlled by a specified image grayscale level and a feedback of the pixel luminance itself, the embodiments described above allow transistor 512 to operate in the unsaturated region, and thus, save power for the operation of display 100. Using the exemplary OLED and TFT parameters discussed in the background section, a VDD as low as 9 volts may be sufficient to operate display 100 because transistor TFT 512 does not need to operate in saturation mode. Out of the 9 volts, about 6 volts are used to produce 1 μA of current in OLED 514 at maximum aging of the OLED 514, about 2 additional volts are required for the threshold voltage drift over the life of the display, and a minimum of about 1 volt is used as the source/drain voltage across transistor 512. Thus, the power dissipation of power TFT 512 is now about about 5 microwatts instead of about 9.2 microwatts as required by conventional power TFTs operation in saturation mode. This is a significant power savings of about 46% for the power TFTs.
[054] Using the following parameters associated with a typical power TFT: VΛ * 1 N μ « 0.75 cm /%V. - sec εr * 4 w « 25 μm 1 « 5 μm d * 0.18 μm
where μ is the effective electron mobility, ε0 being the permittivity of free space, εr is the dielectric constant of the gate dielectric, w is the TFT channel width, 1 is the TFT channel length, d is the gate dielectric thickness, and Nth is the threshold voltage, it can be estimated that, the maximum gate voltage VQ2 for a typical power TFT 512 to operate in the unsaturated region at 1 μA current should be about 15 volts. Thus, the maximum value in ramp voltage VR should be set above 15 V. The required gate voltage for power
TFT 512 is higher when TFT 512 is operating in the unsaturated region, but this does not create a significant power dissipation issue.
[055] As described above, additional voltages or voltage range capacity may advantageously be included in the power supply VDD to allow for degradation in the efficiency of the OLED Dl and for threshold voltage drift in power TFT 512. These additional voltages may amount to as much as three to four volts, which results in significant power dissipation. Further savings in power can be attained by using a variable power supply, which allows the voltage VDD to be set low initially and be increased as pixels age, or threshold voltage drifts, or both.
[056] FIG. 7 illustrates the power adjustment unit 160 in display 100 according to one embodiment of the present invention. As shown in FIG. 7, power adjustment unit 160 comprises a plurality of transistors 710 each associated with a column of pixels and a plurality of capacitors 712 each coupled to a respective one of the transistors 710. Each fransistor 710 can be any fransistor having first and second terminals and a control terminal, with the conductivity between first and second terminals controllable by a voltage applied to the control terminal. In one embodiment, each transistor 710 is a TFT with the first terminal being the drain D4, the second terminal being the source D4, and the control terminal being the gate G4 of the TFT. Each capacitor 712 is coupled between a source S4 of a respective one of the TFTs 710 and ground. The gate G4 of each TFT 710 is connected to output P3 of a respective one of the voltage comparators 544, and the drain D4 of the TFT is connected to the ramp voltage output VR.
[057] Power adjustment unit 160 further comprises a line buffer (LB2) 720, a ramp logic block (RL) 730, a storage medium 740 storing therein a look-up table (LUT2), and a storage medium 750 storing therein a differential ramp voltage table (DRV). During operation, every time a ramp voltage value is locked on the storage capacitors 524 in a pixel in a row being addressed, the same voltage is locked on the storage capacitors 712 at the head of the column including the pixel. These locked ramp voltages is up loaded to LB2 720.
[058] The first time the display is used, the set of ramp voltages loaded in LB2 720 represent the initial and new state of the display before any pixel degradation or TFT threshold voltage drifts have occurred. This initial set of ramp voltages is stored in look up table LU2 740. The initial ramp voltage set is guided to look up table LUT2 740 by Ramp logic RL 730. During subsequent use of the display, the ramp voltages loaded in LB2 are compared to the initial set of ramp voltages stored in lookup table LUT2 and the difference is stored in DRV 750. As the display ages, higher gate voltage at the power TFT 512 would be required to produce the same current through OLED 514 or the same brightness of OLED 514. Therefore, the set of values in DRV 750 represents the aging of the display and these values should increase with the continued usage of display 100.
[059] As the differential ramp voltages increase, voltage VDD output from the variable power supply 170 is also increased using a known technique to compensate for the pixel aging and power TFT threshold voltage drifts. There are many ways to determine when to increase VDD and how much increase should be made. As a non-limiting example, VDD can be increased by a certain increment (e.g., 0.25 volts) when a certain percentage (e.g., 20%>) of the differential ramp voltages stored in DRV 750 have each changed by more than a certain amount (e.g., 0.25 volts). As another example, VDD can be increased by a certain increment (e.g., 0.25 volts) when an average of the differential ramp voltages stored in DRV 750 has increased by a certain amount (e.g., 0.25 volts).
[060] From the foregoing it will be appreciated that, although specific embodiments of the invention have been described herein for purposes of illustration, various modifications may be made without deviating from the spirit and scope of the invention. Accordingly, the invention is not limited except as by the appended claims.

Claims

I CLAIM:
1. A display having a plurality of pixels, each pixel comprising: a light-emitting device configured to emit light in response to a current flowing through the light-emitting device, a luminance of the light-emitting device being dependent upon the current; a transistor coupled to the light-emitting device and configured to provide the current through the light-emitting device, the current increasing with a ramp voltage applied to a control terminal of the transistor; and a first switching device configured to switch off in response to the luminance of the light- emitting device having reached a specified level, thereby disconnecting the ramp voltage from the fransistor; and wherein the first switching device is further configured to stay off thereby allowing the luminance of the light-emitting device to be kept at the specified level until the pixel is rewritten.
2. The display of claim 1, wherein the light-emitting device is an organic light-emitting diode.
3. The display of claim 1, wherein each pixel further comprises a capacitor coupled to the fransistor and configured to keep the luminance of the light-emitting device at the specified level after the ramp voltage is disconnected from the fransistor.
4. The display of claim 1, further comprising an optical sensor associated with each pixel, the optical sensor positioned to receive a portion of the light from the light-emitting device and having an electrical parameter dependent on the luminance of the light-emitting device.
5. The display of claim 4, wherein the pixels are arranged in rows and columns and the display further comprises a resistor associated with each column and serially coupled with the optical sensor in each of the pixels in the column.
6. The display of claim 5, wherein each pixel further comprises a second switching device serially coupled with the optical sensor and having a control terminal connected to a conductive line associated with a row of pixels.
7. The display of claim 6, wherein the first and second switching devices are thin-film transistors.
8. The display of claim 4, wherein the pixels are arranged in rows and columns and the first switching device in each pixel has a first control terminal coupled to a conductive line associated with a row of pixels and a second control terminal connected to a voltage that is dependent upon the luminance of the light-emitting device.
9. The display of claim 8, further comprising a voltage comparator associated with each column of pixels and having an output connected to the second confrol terminal of the first switching device in each pixel in the column, a first input receiving a reference voltage corresponding to a specified luminance of a pixel in the column, and a second input connected to the optical sensor associated with each pixel in the column.
10. A method for controlling the brightness of a pixel in a display, the method comprising: switching on a switching device by applying a first control voltage to a first control terminal and a second control voltage to a second control terminal of the switching device; applying a ramp voltage through the switching device to a gate of a transistor serially coupled with the light-emitting device thereby causing a luminance of the light- emitting device to increase with the ramp voltage; and illuminating an optical sensor with the light from the light-emitting device thereby causing an electrical parameter associated with the optical sensor to change according to the luminance of the light-emitting device; and wherein the second control voltage is dependent on the electrical parameter and changes to a different value in response to the luminance of the light-emitting device having reached a specified level for the pixel, thereby switching off the switching device.
11. The method of claim 10, further comprising: charging a capacitor coupled to the fransistor with the ramp voltage, the capacitor keeping the brightness of the light at the specified level after the switching device is switched off.
12. The method of claim 10, further comprising: changing the first control voltage to keep the switching device off and the brightness of the light at the specified level.
13. The method of claim 10, wherein the fransistor and the light-emitting device are serially coupled with each other between a variable voltage source and ground, and the method further comprising: varying a voltage output from the variable voltage source as the display ages.
14. The method of claim 13, wherein varying the voltage output comprising: recording a value of ramp voltage required to cause the light-emitting device in each pixel in the display to reach the specified level of luminance for the pixel; and varying the voltage output based on a statistical measure calculated from the changes in the recorded values for some or all of the pixels in the display.
15. A display having a plurality of pixels, each pixel comprising: a light-emitting device configured to emit light in response to a current flowing through the light-emitting device, a luminance of the light-emitting device being dependent upon the current; a transistor configured to provide the current through the light-emitting device, the current increasing with a ramp voltage applied to a control terminal of the current source; and a first switching device configured to disconnect the ramp voltage from the transistor in response to the luminance of the light-emitting device having reached a specified level; and wherein the transistor and the light-emitting device are serially coupled with each other between a variable voltage source and ground.
16. The display of claim 15, wherein the variable voltage source is configured to output a voltage that changes as the display ages.
17. The display of claim 16, wherein the voltage output from the variable voltage source changes based on a statistical evaluation of the changes in ramp voltages required to cause the luminance of the light-emitting devices to reach specified levels in some or all of the pixels in the display.
18. The display of claim 15, further comprising: a storage capacitor configured to be charged by the ramp voltage; a second switching device configured to disconnect the second ramp voltage from the capacitor in response to the luminance of the light-emitting device having reached the specified value; and a buffer configured to record the voltage across the storage capacitor after the storage capacitor is disconnected from the second ramp voltage.
19. The display of claim 15, further comprising: a capacitor coupled to the transistor and configured to be charged by the ramp voltage until the luminance of the light-emitting device has reached the specified level and to keep the luminance of the light-emitting device at the specified level.
20. A display having a plurality of pixels, each pixel comprising: a light-emitting device; means for allowing a ramp voltage to control a current through the light-emitting device so that the luminance of the light-emitting device increases with the ramp voltage; means for disconnecting the ramp voltage from the light-emitting device in response to the luminance having reached a specified level; and means for keeping the luminance at the specified level after the ramp voltage is disconnected; and wherein the means for keeping comprises means for isolating the pixel from other pixels in the display.
EP05734209A 2004-04-12 2005-04-06 Low power circuits for active matrix emissive displays and methods of operating the same Withdrawn EP1743313A4 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US56147404P 2004-04-12 2004-04-12
US10/841,198 US20040257352A1 (en) 2003-06-18 2004-05-06 Method and apparatus for controlling
US10/872,344 US7106285B2 (en) 2003-06-18 2004-06-17 Method and apparatus for controlling an active matrix display
PCT/US2005/011994 WO2005101267A2 (en) 2004-04-12 2005-04-06 Low power circuits for active matrix emissive displays and methods of operating the same

Publications (2)

Publication Number Publication Date
EP1743313A2 EP1743313A2 (en) 2007-01-17
EP1743313A4 true EP1743313A4 (en) 2007-05-30

Family

ID=35150618

Family Applications (1)

Application Number Title Priority Date Filing Date
EP05734209A Withdrawn EP1743313A4 (en) 2004-04-12 2005-04-06 Low power circuits for active matrix emissive displays and methods of operating the same

Country Status (5)

Country Link
EP (1) EP1743313A4 (en)
JP (1) JP2007534015A (en)
KR (1) KR20070004970A (en)
AU (1) AU2005234023A1 (en)
WO (1) WO2005101267A2 (en)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5081230B2 (en) * 2006-05-04 2012-11-28 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ Lighting device having an array of light emitters to be controlled
KR100730361B1 (en) * 2007-01-10 2007-06-19 실리콘 디스플레이 (주) Amoled with a built-in image sensor
KR100884791B1 (en) 2007-04-06 2009-02-23 삼성모바일디스플레이주식회사 Organic light emitting display apparatus and method of driving the apparatus
JP5374976B2 (en) * 2008-09-04 2013-12-25 セイコーエプソン株式会社 Pixel circuit driving method, light emitting device, and electronic apparatus
US8599222B2 (en) 2008-09-04 2013-12-03 Seiko Epson Corporation Method of driving pixel circuit, light emitting device, and electronic apparatus
JP5458540B2 (en) 2008-09-29 2014-04-02 セイコーエプソン株式会社 Pixel circuit driving method, light emitting device, and electronic apparatus
JP5401895B2 (en) * 2008-09-29 2014-01-29 セイコーエプソン株式会社 Pixel circuit driving method, light emitting device, and electronic apparatus
JP5439782B2 (en) * 2008-09-29 2014-03-12 セイコーエプソン株式会社 Pixel circuit driving method, light emitting device, and electronic apparatus
CN110875009B (en) * 2018-08-30 2021-01-22 京东方科技集团股份有限公司 Display panel and driving method thereof
JP2022050906A (en) * 2020-09-18 2022-03-31 ソニーセミコンダクタソリューションズ株式会社 Display device, driving method for display device, and electronic apparatus

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6404137B1 (en) * 1999-09-03 2002-06-11 Rohm Co., Ltd. Display device
WO2004114264A2 (en) * 2003-06-18 2004-12-29 Nuelight Corporation Method and apparatus for controlling pixel emission

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6249269B1 (en) * 1998-04-30 2001-06-19 Agilent Technologies, Inc. Analog pixel drive circuit for an electro-optical material-based display device

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6404137B1 (en) * 1999-09-03 2002-06-11 Rohm Co., Ltd. Display device
WO2004114264A2 (en) * 2003-06-18 2004-12-29 Nuelight Corporation Method and apparatus for controlling pixel emission

Also Published As

Publication number Publication date
WO2005101267A3 (en) 2005-12-15
EP1743313A2 (en) 2007-01-17
KR20070004970A (en) 2007-01-09
JP2007534015A (en) 2007-11-22
AU2005234023A1 (en) 2005-10-27
WO2005101267A2 (en) 2005-10-27

Similar Documents

Publication Publication Date Title
US7129938B2 (en) Low power circuits for active matrix emissive displays and methods of operating the same
US20050248515A1 (en) Stabilized active matrix emissive display
EP1743313A2 (en) Low power circuits for active matrix emissive displays and methods of operating the same
US8373628B2 (en) Active matrix display devices
US7579781B2 (en) Organic electro-luminescent display device and method for driving the same
EP2033177B1 (en) Active matrix display compensation
JP2010511183A (en) Active matrix display device having optical feedback and driving method thereof
US8816943B2 (en) Display device with compensation for variations in pixel transistors mobility
US20080122759A1 (en) Active matrix display compensating method
JP2006525539A (en) Active matrix OLED display with threshold voltage drift compensation
US20080266214A1 (en) Sub-pixel current measurement for oled display
JP2002297097A (en) Display device and drive method therefor
US7537946B2 (en) Display apparatus
US20080231557A1 (en) Emission control in aged active matrix oled display using voltage ratio or current ratio
JP2007524118A (en) Active matrix display device
WO2005101367A2 (en) Color filter integrated with sensor array for flat panel display
KR101060450B1 (en) OLED display device
US20080231566A1 (en) Minimizing dark current in oled display using modified gamma network
US20230377494A1 (en) Display, pixel circuit, and method
KR101322171B1 (en) Organic Light Emitting Diode Display And Driving Method Thereof
KR101072757B1 (en) Driving Circuit of Passive Matrix Organic Electroluminescent Display Device
KR20220060457A (en) Display apparatus
KR20040089256A (en) Method and apparatus for achieving active matrix oled display devices with uniform luminance
KR20070031924A (en) Active matrix display devices

Legal Events

Date Code Title Description
PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

17P Request for examination filed

Effective date: 20061109

AK Designated contracting states

Kind code of ref document: A2

Designated state(s): AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IS IT LI LT LU MC NL PL PT RO SE SI SK TR

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: THE APPLICATION HAS BEEN WITHDRAWN

A4 Supplementary search report drawn up and despatched

Effective date: 20070426

18W Application withdrawn

Effective date: 20070418