EP1702369A1 - Semiconductor arrangement with non-volatile memories - Google Patents
Semiconductor arrangement with non-volatile memoriesInfo
- Publication number
- EP1702369A1 EP1702369A1 EP04802810A EP04802810A EP1702369A1 EP 1702369 A1 EP1702369 A1 EP 1702369A1 EP 04802810 A EP04802810 A EP 04802810A EP 04802810 A EP04802810 A EP 04802810A EP 1702369 A1 EP1702369 A1 EP 1702369A1
- Authority
- EP
- European Patent Office
- Prior art keywords
- electrode
- organic material
- memory cell
- insulating layer
- volatile memory
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Withdrawn
Links
- 230000015654 memory Effects 0.000 title claims abstract description 57
- 239000004065 semiconductor Substances 0.000 title claims abstract description 41
- 239000011368 organic material Substances 0.000 claims abstract description 83
- 238000000034 method Methods 0.000 claims abstract description 58
- 238000004519 manufacturing process Methods 0.000 claims abstract description 14
- 239000010410 layer Substances 0.000 claims description 183
- 239000000758 substrate Substances 0.000 claims description 69
- 239000004020 conductor Substances 0.000 claims description 65
- 239000010949 copper Substances 0.000 claims description 39
- 239000000463 material Substances 0.000 claims description 36
- 229910052802 copper Inorganic materials 0.000 claims description 27
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 claims description 26
- 150000001875 compounds Chemical class 0.000 claims description 24
- 238000000151 deposition Methods 0.000 claims description 22
- CSCPPACGZOOCGX-UHFFFAOYSA-N Acetone Chemical compound CC(C)=O CSCPPACGZOOCGX-UHFFFAOYSA-N 0.000 claims description 21
- 239000003153 chemical reaction reagent Substances 0.000 claims description 21
- 239000002904 solvent Substances 0.000 claims description 17
- 229910052717 sulfur Inorganic materials 0.000 claims description 12
- 230000008021 deposition Effects 0.000 claims description 11
- 239000011241 protective layer Substances 0.000 claims description 11
- 229910052711 selenium Inorganic materials 0.000 claims description 11
- 239000011669 selenium Substances 0.000 claims description 11
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 claims description 11
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 claims description 11
- 229910052814 silicon oxide Inorganic materials 0.000 claims description 10
- 239000011593 sulfur Substances 0.000 claims description 10
- 229910052715 tantalum Inorganic materials 0.000 claims description 10
- ZMXDDKWLCZADIW-UHFFFAOYSA-N N,N-Dimethylformamide Chemical compound CN(C)C=O ZMXDDKWLCZADIW-UHFFFAOYSA-N 0.000 claims description 9
- BUGBHKTXTAQXES-UHFFFAOYSA-N Selenium Chemical compound [Se] BUGBHKTXTAQXES-UHFFFAOYSA-N 0.000 claims description 9
- YXFVVABEGXRONW-UHFFFAOYSA-N Toluene Chemical compound CC1=CC=CC=C1 YXFVVABEGXRONW-UHFFFAOYSA-N 0.000 claims description 9
- -1 aromatics Chemical class 0.000 claims description 9
- 239000007772 electrode material Substances 0.000 claims description 9
- NINIDFKCEFEMDL-UHFFFAOYSA-N Sulfur Chemical compound [S] NINIDFKCEFEMDL-UHFFFAOYSA-N 0.000 claims description 8
- 229910052740 iodine Inorganic materials 0.000 claims description 8
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 claims description 8
- 239000010936 titanium Substances 0.000 claims description 8
- BQCADISMDOOEFD-UHFFFAOYSA-N Silver Chemical compound [Ag] BQCADISMDOOEFD-UHFFFAOYSA-N 0.000 claims description 7
- 230000015572 biosynthetic process Effects 0.000 claims description 7
- 229920000642 polymer Polymers 0.000 claims description 7
- 229910052709 silver Inorganic materials 0.000 claims description 7
- 239000004332 silver Substances 0.000 claims description 7
- 229910052714 tellurium Inorganic materials 0.000 claims description 7
- PORWMNRCUJJQNO-UHFFFAOYSA-N tellurium atom Chemical compound [Te] PORWMNRCUJJQNO-UHFFFAOYSA-N 0.000 claims description 7
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 claims description 6
- ZMANZCXQSJIPKH-UHFFFAOYSA-N Triethylamine Chemical compound CCN(CC)CC ZMANZCXQSJIPKH-UHFFFAOYSA-N 0.000 claims description 6
- FDPIMTJIUBPUKL-UHFFFAOYSA-N pentan-3-one Chemical compound CCC(=O)CC FDPIMTJIUBPUKL-UHFFFAOYSA-N 0.000 claims description 6
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 claims description 6
- 229910052721 tungsten Inorganic materials 0.000 claims description 6
- 239000010937 tungsten Substances 0.000 claims description 6
- YLQBMQCUIZJEEH-UHFFFAOYSA-N Furan Chemical compound C=1C=COC=1 YLQBMQCUIZJEEH-UHFFFAOYSA-N 0.000 claims description 5
- 239000007789 gas Substances 0.000 claims description 5
- YEJRWHAVMIAJKC-UHFFFAOYSA-N 4-Butyrolactone Chemical compound O=C1CCCO1 YEJRWHAVMIAJKC-UHFFFAOYSA-N 0.000 claims description 4
- IAZDPXIOMUYVGZ-UHFFFAOYSA-N Dimethylsulphoxide Chemical compound CS(C)=O IAZDPXIOMUYVGZ-UHFFFAOYSA-N 0.000 claims description 4
- KAESVJOAVNADME-UHFFFAOYSA-N Pyrrole Chemical compound C=1C=CNC=1 KAESVJOAVNADME-UHFFFAOYSA-N 0.000 claims description 4
- SMWDFEZZVXVKRB-UHFFFAOYSA-N Quinoline Chemical compound N1=CC=CC2=CC=CC=C21 SMWDFEZZVXVKRB-UHFFFAOYSA-N 0.000 claims description 4
- 229910004121 SrRuO Inorganic materials 0.000 claims description 4
- YTPLMLYBLZKORZ-UHFFFAOYSA-N Thiophene Chemical compound C=1C=CSC=1 YTPLMLYBLZKORZ-UHFFFAOYSA-N 0.000 claims description 4
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 claims description 4
- 125000003118 aryl group Chemical group 0.000 claims description 4
- PAFZNILMFXTMIY-UHFFFAOYSA-N cyclohexylamine Chemical compound NC1CCCCC1 PAFZNILMFXTMIY-UHFFFAOYSA-N 0.000 claims description 4
- DMBHHRLKUKUOEG-UHFFFAOYSA-N diphenylamine Chemical compound C=1C=CC=CC=1NC1=CC=CC=C1 DMBHHRLKUKUOEG-UHFFFAOYSA-N 0.000 claims description 4
- XSCHRSMBECNVNS-UHFFFAOYSA-N quinoxaline Chemical compound N1=CC=NC2=CC=CC=C21 XSCHRSMBECNVNS-UHFFFAOYSA-N 0.000 claims description 4
- 229910052719 titanium Inorganic materials 0.000 claims description 4
- 125000003342 alkenyl group Chemical group 0.000 claims description 3
- 150000002148 esters Chemical class 0.000 claims description 3
- 150000002576 ketones Chemical class 0.000 claims description 3
- 150000002894 organic compounds Chemical class 0.000 claims description 3
- 238000012545 processing Methods 0.000 claims description 3
- 238000007669 thermal treatment Methods 0.000 claims description 3
- 238000012546 transfer Methods 0.000 claims description 3
- HYZJCKYKOHLVJF-UHFFFAOYSA-N 1H-benzimidazole Chemical compound C1=CC=C2NC=NC2=C1 HYZJCKYKOHLVJF-UHFFFAOYSA-N 0.000 claims description 2
- ZCYVEMRRCGMTRW-UHFFFAOYSA-N 7553-56-2 Chemical compound [I] ZCYVEMRRCGMTRW-UHFFFAOYSA-N 0.000 claims description 2
- 229910016570 AlCu Inorganic materials 0.000 claims description 2
- FXHOOIRPVKKKFG-UHFFFAOYSA-N N,N-Dimethylacetamide Chemical compound CN(C)C(C)=O FXHOOIRPVKKKFG-UHFFFAOYSA-N 0.000 claims description 2
- JLTDJTHDQAWBAV-UHFFFAOYSA-N N,N-dimethylaniline Chemical compound CN(C)C1=CC=CC=C1 JLTDJTHDQAWBAV-UHFFFAOYSA-N 0.000 claims description 2
- ZCQWOFVYLHDMMC-UHFFFAOYSA-N Oxazole Chemical compound C1=COC=N1 ZCQWOFVYLHDMMC-UHFFFAOYSA-N 0.000 claims description 2
- 150000001298 alcohols Chemical class 0.000 claims description 2
- 125000000217 alkyl group Chemical group 0.000 claims description 2
- 125000000304 alkynyl group Chemical group 0.000 claims description 2
- 229910052782 aluminium Inorganic materials 0.000 claims description 2
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 claims description 2
- 150000001408 amides Chemical class 0.000 claims description 2
- 150000001412 amines Chemical class 0.000 claims description 2
- RWCCWEUUXYIKHB-UHFFFAOYSA-N benzophenone Chemical compound C=1C=CC=CC=1C(=O)C1=CC=CC=C1 RWCCWEUUXYIKHB-UHFFFAOYSA-N 0.000 claims description 2
- 150000001735 carboxylic acids Chemical class 0.000 claims description 2
- HPNMFZURTQLUMO-UHFFFAOYSA-N diethylamine Chemical compound CCNCC HPNMFZURTQLUMO-UHFFFAOYSA-N 0.000 claims description 2
- LTYMSROWYAPPGB-UHFFFAOYSA-N diphenyl sulfide Chemical compound C=1C=CC=CC=1SC1=CC=CC=C1 LTYMSROWYAPPGB-UHFFFAOYSA-N 0.000 claims description 2
- 150000002170 ethers Chemical class 0.000 claims description 2
- 150000002390 heteroarenes Chemical class 0.000 claims description 2
- 125000001072 heteroaryl group Chemical group 0.000 claims description 2
- 239000011630 iodine Substances 0.000 claims description 2
- FCJSHPDYVMKCHI-UHFFFAOYSA-N phenyl benzoate Chemical compound C=1C=CC=CC=1C(=O)OC1=CC=CC=C1 FCJSHPDYVMKCHI-UHFFFAOYSA-N 0.000 claims description 2
- 150000003568 thioethers Chemical class 0.000 claims description 2
- 150000003573 thiols Chemical class 0.000 claims description 2
- 229930192474 thiophene Natural products 0.000 claims description 2
- RAXXELZNTBOGNW-UHFFFAOYSA-N imidazole Natural products C1=CNC=N1 RAXXELZNTBOGNW-UHFFFAOYSA-N 0.000 claims 3
- UFWIBTONFRDIAS-UHFFFAOYSA-N Naphthalene Chemical compound C1=CC=CC2=CC=CC=C21 UFWIBTONFRDIAS-UHFFFAOYSA-N 0.000 claims 2
- MWPLVEDNUUSJAV-UHFFFAOYSA-N anthracene Chemical compound C1=CC=CC2=CC3=CC=CC=C3C=C21 MWPLVEDNUUSJAV-UHFFFAOYSA-N 0.000 claims 2
- CTQNGGLPUBDAKN-UHFFFAOYSA-N O-Xylene Chemical compound CC1=CC=CC=C1C CTQNGGLPUBDAKN-UHFFFAOYSA-N 0.000 claims 1
- 239000012159 carrier gas Substances 0.000 claims 1
- 239000007795 chemical reaction product Substances 0.000 claims 1
- AUHZEENZYGFFBQ-UHFFFAOYSA-N mesitylene Substances CC1=CC(C)=CC(C)=C1 AUHZEENZYGFFBQ-UHFFFAOYSA-N 0.000 claims 1
- 125000001827 mesitylenyl group Chemical group [H]C1=C(C(*)=C(C([H])=C1C([H])([H])[H])C([H])([H])[H])C([H])([H])[H] 0.000 claims 1
- 229910052760 oxygen Inorganic materials 0.000 claims 1
- 239000008096 xylene Substances 0.000 claims 1
- 210000004027 cell Anatomy 0.000 description 81
- 230000008569 process Effects 0.000 description 23
- 230000010354 integration Effects 0.000 description 19
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical compound [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 19
- 229910052751 metal Inorganic materials 0.000 description 16
- 239000002184 metal Substances 0.000 description 16
- 229910052710 silicon Inorganic materials 0.000 description 16
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 15
- 239000010703 silicon Substances 0.000 description 15
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 14
- 238000005530 etching Methods 0.000 description 12
- 230000004888 barrier function Effects 0.000 description 11
- PCCVSPMFGIFTHU-UHFFFAOYSA-N tetracyanoquinodimethane Chemical compound N#CC(C#N)=C1C=CC(=C(C#N)C#N)C=C1 PCCVSPMFGIFTHU-UHFFFAOYSA-N 0.000 description 11
- 241001101998 Galium Species 0.000 description 8
- 238000001459 lithography Methods 0.000 description 8
- 229910010271 silicon carbide Inorganic materials 0.000 description 8
- 239000000126 substance Substances 0.000 description 7
- HZNVUJQVZSTENZ-UHFFFAOYSA-N 2,3-dichloro-5,6-dicyano-1,4-benzoquinone Chemical compound ClC1=C(Cl)C(=O)C(C#N)=C(C#N)C1=O HZNVUJQVZSTENZ-UHFFFAOYSA-N 0.000 description 6
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 6
- 230000008901 benefit Effects 0.000 description 6
- 229910052732 germanium Inorganic materials 0.000 description 6
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 6
- 239000000203 mixture Substances 0.000 description 6
- 238000007740 vapor deposition Methods 0.000 description 6
- 229910004541 SiN Inorganic materials 0.000 description 5
- 239000000370 acceptor Substances 0.000 description 5
- 230000009977 dual effect Effects 0.000 description 5
- 238000005516 engineering process Methods 0.000 description 5
- 238000004377 microelectronic Methods 0.000 description 5
- 238000000206 photolithography Methods 0.000 description 5
- 238000001020 plasma etching Methods 0.000 description 5
- 239000000377 silicon dioxide Substances 0.000 description 5
- 235000012239 silicon dioxide Nutrition 0.000 description 5
- 238000000277 atomic layer chemical vapour deposition Methods 0.000 description 4
- 238000004070 electrodeposition Methods 0.000 description 4
- 238000000227 grinding Methods 0.000 description 4
- 238000009413 insulation Methods 0.000 description 4
- 238000005498 polishing Methods 0.000 description 4
- WEVYAHXRMPXWCK-UHFFFAOYSA-N Acetonitrile Chemical compound CC#N WEVYAHXRMPXWCK-UHFFFAOYSA-N 0.000 description 3
- WVDDGKGOMKODPV-UHFFFAOYSA-N Benzyl alcohol Chemical compound OCC1=CC=CC=C1 WVDDGKGOMKODPV-UHFFFAOYSA-N 0.000 description 3
- LFQSCWFLJHTTHZ-UHFFFAOYSA-N Ethanol Chemical compound CCO LFQSCWFLJHTTHZ-UHFFFAOYSA-N 0.000 description 3
- XEKOWRVHYACXOJ-UHFFFAOYSA-N Ethyl acetate Chemical compound CCOC(C)=O XEKOWRVHYACXOJ-UHFFFAOYSA-N 0.000 description 3
- 239000000956 alloy Substances 0.000 description 3
- 229910045601 alloy Inorganic materials 0.000 description 3
- 229910052786 argon Inorganic materials 0.000 description 3
- 239000000919 ceramic Substances 0.000 description 3
- 238000010276 construction Methods 0.000 description 3
- 235000019441 ethanol Nutrition 0.000 description 3
- 239000011521 glass Substances 0.000 description 3
- 239000007788 liquid Substances 0.000 description 3
- 150000002739 metals Chemical class 0.000 description 3
- 150000004767 nitrides Chemical class 0.000 description 3
- 238000002161 passivation Methods 0.000 description 3
- 239000011877 solvent mixture Substances 0.000 description 3
- ZWEHNKRNPOVVGH-UHFFFAOYSA-N 2-Butanone Chemical compound CCC(C)=O ZWEHNKRNPOVVGH-UHFFFAOYSA-N 0.000 description 2
- JLTPSDHKZGWXTD-UHFFFAOYSA-N 2-[6-(dicyanomethylidene)naphthalen-2-ylidene]propanedinitrile Chemical compound N#CC(C#N)=C1C=CC2=CC(=C(C#N)C#N)C=CC2=C1 JLTPSDHKZGWXTD-UHFFFAOYSA-N 0.000 description 2
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 2
- RTZKZFJDLAIYFH-UHFFFAOYSA-N Diethyl ether Chemical compound CCOCC RTZKZFJDLAIYFH-UHFFFAOYSA-N 0.000 description 2
- KFZMGEQAYNKOFK-UHFFFAOYSA-N Isopropanol Chemical compound CC(C)O KFZMGEQAYNKOFK-UHFFFAOYSA-N 0.000 description 2
- 241000530268 Lycaena heteronea Species 0.000 description 2
- 241000589614 Pseudomonas stutzeri Species 0.000 description 2
- WYURNTSHIVDZCO-UHFFFAOYSA-N Tetrahydrofuran Chemical compound C1CCOC1 WYURNTSHIVDZCO-UHFFFAOYSA-N 0.000 description 2
- 239000003990 capacitor Substances 0.000 description 2
- 239000012876 carrier material Substances 0.000 description 2
- 230000008859 change Effects 0.000 description 2
- MVPPADPHJFYWMZ-UHFFFAOYSA-N chlorobenzene Chemical compound ClC1=CC=CC=C1 MVPPADPHJFYWMZ-UHFFFAOYSA-N 0.000 description 2
- JHIVVAPYMSGYDF-UHFFFAOYSA-N cyclohexanone Chemical compound O=C1CCCCC1 JHIVVAPYMSGYDF-UHFFFAOYSA-N 0.000 description 2
- BGTOWKSIORTVQH-UHFFFAOYSA-N cyclopentanone Chemical compound O=C1CCCC1 BGTOWKSIORTVQH-UHFFFAOYSA-N 0.000 description 2
- 238000009792 diffusion process Methods 0.000 description 2
- 230000005684 electric field Effects 0.000 description 2
- 238000007772 electroless plating Methods 0.000 description 2
- 238000009713 electroplating Methods 0.000 description 2
- 238000011156 evaluation Methods 0.000 description 2
- 230000006870 function Effects 0.000 description 2
- 238000010438 heat treatment Methods 0.000 description 2
- 230000006872 improvement Effects 0.000 description 2
- 239000011261 inert gas Substances 0.000 description 2
- 239000012212 insulator Substances 0.000 description 2
- 238000001465 metallisation Methods 0.000 description 2
- 229920002120 photoresistant polymer Polymers 0.000 description 2
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 2
- 229920003023 plastic Polymers 0.000 description 2
- 239000004033 plastic Substances 0.000 description 2
- BDERNNFJNOPAEC-UHFFFAOYSA-N propan-1-ol Chemical compound CCCO BDERNNFJNOPAEC-UHFFFAOYSA-N 0.000 description 2
- 238000005507 spraying Methods 0.000 description 2
- NLDYACGHTUPAQU-UHFFFAOYSA-N tetracyanoethylene Chemical group N#CC(C#N)=C(C#N)C#N NLDYACGHTUPAQU-UHFFFAOYSA-N 0.000 description 2
- DURPTKYDGMDSBL-UHFFFAOYSA-N 1-butoxybutane Chemical compound CCCCOCCCC DURPTKYDGMDSBL-UHFFFAOYSA-N 0.000 description 1
- SVONRAPFKPVNKG-UHFFFAOYSA-N 2-ethoxyethyl acetate Chemical compound CCOCCOC(C)=O SVONRAPFKPVNKG-UHFFFAOYSA-N 0.000 description 1
- CCTFMNIEFHGTDU-UHFFFAOYSA-N 3-methoxypropyl acetate Chemical compound COCCCOC(C)=O CCTFMNIEFHGTDU-UHFFFAOYSA-N 0.000 description 1
- VYZAMTAEIAYCRO-UHFFFAOYSA-N Chromium Chemical compound [Cr] VYZAMTAEIAYCRO-UHFFFAOYSA-N 0.000 description 1
- XDTMQSROBMDMFD-UHFFFAOYSA-N Cyclohexane Chemical compound C1CCCCC1 XDTMQSROBMDMFD-UHFFFAOYSA-N 0.000 description 1
- FYYHWMGAXLPEAU-UHFFFAOYSA-N Magnesium Chemical compound [Mg] FYYHWMGAXLPEAU-UHFFFAOYSA-N 0.000 description 1
- SECXISVLQFMRJM-UHFFFAOYSA-N N-Methylpyrrolidone Chemical compound CN1CCCC1=O SECXISVLQFMRJM-UHFFFAOYSA-N 0.000 description 1
- 239000004642 Polyimide Substances 0.000 description 1
- 229920000292 Polyquinoline Polymers 0.000 description 1
- 125000001931 aliphatic group Chemical group 0.000 description 1
- 229910021529 ammonia Inorganic materials 0.000 description 1
- 238000003491 array Methods 0.000 description 1
- RFRXIWQYSOIBDI-UHFFFAOYSA-N benzarone Chemical compound CCC=1OC2=CC=CC=C2C=1C(=O)C1=CC=C(O)C=C1 RFRXIWQYSOIBDI-UHFFFAOYSA-N 0.000 description 1
- 235000019445 benzyl alcohol Nutrition 0.000 description 1
- 229920001577 copolymer Polymers 0.000 description 1
- OMZSGWSJDCOLKM-UHFFFAOYSA-N copper(II) sulfide Chemical compound [S-2].[Cu+2] OMZSGWSJDCOLKM-UHFFFAOYSA-N 0.000 description 1
- 238000012217 deletion Methods 0.000 description 1
- 230000037430 deletion Effects 0.000 description 1
- 230000001419 dependent effect Effects 0.000 description 1
- 238000003795 desorption Methods 0.000 description 1
- 230000001066 destructive effect Effects 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- 238000010586 diagram Methods 0.000 description 1
- 238000007598 dipping method Methods 0.000 description 1
- 230000009189 diving Effects 0.000 description 1
- UHKJHMOIRYZSTH-UHFFFAOYSA-N ethyl 2-ethoxypropanoate Chemical compound CCOC(C)C(=O)OCC UHKJHMOIRYZSTH-UHFFFAOYSA-N 0.000 description 1
- 238000001704 evaporation Methods 0.000 description 1
- 230000008020 evaporation Effects 0.000 description 1
- 238000002474 experimental method Methods 0.000 description 1
- XEOSBIMHSUFHQH-UHFFFAOYSA-N fulvalene Chemical compound C1=CC=CC1=C1C=CC=C1 XEOSBIMHSUFHQH-UHFFFAOYSA-N 0.000 description 1
- 238000004817 gas chromatography Methods 0.000 description 1
- PCHJSUWPFVWCPO-UHFFFAOYSA-N gold Chemical compound [Au] PCHJSUWPFVWCPO-UHFFFAOYSA-N 0.000 description 1
- 229910052737 gold Inorganic materials 0.000 description 1
- 239000010931 gold Substances 0.000 description 1
- 238000010348 incorporation Methods 0.000 description 1
- 238000009434 installation Methods 0.000 description 1
- 230000003993 interaction Effects 0.000 description 1
- 238000002955 isolation Methods 0.000 description 1
- 229960004592 isopropanol Drugs 0.000 description 1
- 229910052749 magnesium Inorganic materials 0.000 description 1
- 239000011777 magnesium Substances 0.000 description 1
- 230000014759 maintenance of location Effects 0.000 description 1
- 238000004949 mass spectrometry Methods 0.000 description 1
- 229910052757 nitrogen Inorganic materials 0.000 description 1
- 229920000636 poly(norbornene) polymer Polymers 0.000 description 1
- 229920000412 polyarylene Polymers 0.000 description 1
- 229920002577 polybenzoxazole Polymers 0.000 description 1
- 229920000570 polyether Polymers 0.000 description 1
- 229920001721 polyimide Polymers 0.000 description 1
- 229910021426 porous silicon Inorganic materials 0.000 description 1
- FVSKHRXBFJPNKK-UHFFFAOYSA-N propionitrile Chemical compound CCC#N FVSKHRXBFJPNKK-UHFFFAOYSA-N 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 230000000717 retained effect Effects 0.000 description 1
- 230000002441 reversible effect Effects 0.000 description 1
- 229920006395 saturated elastomer Polymers 0.000 description 1
- 239000002356 single layer Substances 0.000 description 1
- 238000009987 spinning Methods 0.000 description 1
- 238000003860 storage Methods 0.000 description 1
- 210000000352 storage cell Anatomy 0.000 description 1
- 230000007704 transition Effects 0.000 description 1
- 238000007738 vacuum evaporation Methods 0.000 description 1
Classifications
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B82—NANOTECHNOLOGY
- B82Y—SPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
- B82Y10/00—Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
-
- G—PHYSICS
- G11—INFORMATION STORAGE
- G11C—STATIC STORES
- G11C13/00—Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00
- G11C13/0002—Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using resistive RAM [RRAM] elements
- G11C13/0009—RRAM elements whose operation depends upon chemical change
- G11C13/0014—RRAM elements whose operation depends upon chemical change comprising cells based on organic memory material
-
- G—PHYSICS
- G11—INFORMATION STORAGE
- G11C—STATIC STORES
- G11C13/00—Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00
- G11C13/0002—Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using resistive RAM [RRAM] elements
- G11C13/0009—RRAM elements whose operation depends upon chemical change
- G11C13/0014—RRAM elements whose operation depends upon chemical change comprising cells based on organic memory material
- G11C13/0016—RRAM elements whose operation depends upon chemical change comprising cells based on organic memory material comprising polymers
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10K—ORGANIC ELECTRIC SOLID-STATE DEVICES
- H10K10/00—Organic devices specially adapted for rectifying, amplifying, oscillating or switching; Organic capacitors or resistors having potential barriers
- H10K10/701—Organic molecular electronic devices
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10K—ORGANIC ELECTRIC SOLID-STATE DEVICES
- H10K19/00—Integrated devices, or assemblies of multiple devices, comprising at least one organic element specially adapted for rectifying, amplifying, oscillating or switching, covered by group H10K10/00
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10K—ORGANIC ELECTRIC SOLID-STATE DEVICES
- H10K19/00—Integrated devices, or assemblies of multiple devices, comprising at least one organic element specially adapted for rectifying, amplifying, oscillating or switching, covered by group H10K10/00
- H10K19/80—Interconnections, e.g. terminals
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10K—ORGANIC ELECTRIC SOLID-STATE DEVICES
- H10K85/00—Organic materials used in the body or electrodes of devices covered by this subclass
- H10K85/10—Organic polymers or oligomers
- H10K85/111—Organic polymers or oligomers comprising aromatic, heteroaromatic, or aryl chains, e.g. polyaniline, polyphenylene or polyphenylene vinylene
- H10K85/113—Heteroaromatic compounds comprising sulfur or selene, e.g. polythiophene
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10K—ORGANIC ELECTRIC SOLID-STATE DEVICES
- H10K85/00—Organic materials used in the body or electrodes of devices covered by this subclass
- H10K85/60—Organic compounds having low molecular weight
- H10K85/611—Charge transfer complexes
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10K—ORGANIC ELECTRIC SOLID-STATE DEVICES
- H10K85/00—Organic materials used in the body or electrodes of devices covered by this subclass
- H10K85/60—Organic compounds having low molecular weight
- H10K85/649—Aromatic compounds comprising a hetero atom
Definitions
- US 4,371,883 describes a cell which has a film of an organic material between two metal electrodes, the electron acceptor with one of the electrodes, which consists of copper (Cu) or silver (Ag), a charge transfer complex (CT complex) forms.
- the organic material described in US 4,371,883 is, for example, tetracyanoquinodimethane (TCNQ), tetracyanonaphthoquinodimethane (TNAP), tetracyanoethylene (TCNE), dichlorodicyanobenzoquinone (DDQ), or their derivatives.
- TCNQ tetracyanoquinodimethane
- TNAP tetracyanonaphthoquinodimethane
- TCNE tetracyanoethylene
- DDQ dichlorodicyanobenzoquinone
- the cell according to US 4,371,883 has significant disadvantages, so that such a cell is out of the question for use in microelectronics.
- a disadvantage of the cell according to US 4,371,883 is, among other things, that the film thickness considered necessary is between 1 and 10 ⁇ m.
- the further disadvantage is that the ratio between the resistances of the ON or OFF state is very low and is only 66 and that the structure of the cell according to US 4,371,883 is not compatible with the conventional structures in microelectronics.
- electrodes such as gold, magnesium or chrome are used in
- the object of the present invention is to provide a semiconductor arrangement with a non-volatile memory cell, which enables a high integration density, is compatible with the common manufacturing processes in microelectronics, and has improved properties compared to the memory cells according to the prior art.
- the advantages of the cell structure according to the invention are reversible switchability, a ratio between ON and
- an insulator such as. B. silicon dioxide
- the semiconductor arrangement according to the invention with a non-volatile memory cell consists of a substrate which has two electrodes and an organic material lying between them (identified in the drawings as material X), one electrode forming a connection with the organic material.
- This "connection" can form with the formation of covalent or ionic bonds, but also with the formation of charge transfer complexes or weak bonds such as dipole-dipole interactions etc.
- inorganic or inorganic-organic materials can also be used in special cases to form the above-mentioned compound.
- materials X can also be used in special cases to form the above-mentioned compound.
- These are especially sulfur, selenium or tellurium both in pure and in bound form (i.e. organo compounds of sulfur,
- organic material is defined below as organic material.
- the organic material is preferably selected from the following group:
- R 1, R 2 , R 3 , R, R5, R ⁇ r R7, and R 8 can independently have the following meanings: H, F, Cl, Br, I (iodine), alkyl, alkenyl, alkynyl, O-alkyl , 0-alkenyl, O-alkynyl, S-alkyl, S-alkenyl, S-alkynyl, OH, SH, aryl, heteroaryl, O-aryl, S-aryl, NH-aryl, O-heteroaryl, S-heteroaryl, CN , N0 2 , - (CF 2 ) n - CF 3 , - CF ((CF 2 ) n CF 3 ) 2, - Q— (CF 2 ) n - F3, - CF (CF 3 ) 2 , - ⁇ (CF 3 ) 3 as well
- n 0 to 10
- Q —S—
- R g , Rio, Rn, R ⁇ 2 can be independent of one another: F, Cl, Br, I, CN, N0 2 R 1 3, R ⁇ , R 15 , Ri ß , R ⁇ can be independent of one another: H, F, Cl, Br, I, CN, N0 2
- Xi and X 2 can be independent of each other:
- the substrate can be silicon, germanium, galium arsenide, galium nitride; any material that contains any compound of silicon, germanium or galium; a polymer (ie plastic; filled or unfilled, e.g. as a molded part or film), ceramic, glass or metal.
- This substrate can also be a material that has already been processed and can contain one or more layers of contacts, conductor tracks, insulating layers and other microelectronic components.
- the substrate is, in particular, silicon, which is already processed in accordance with the front end of line (FEOL), that is to say already contains electrical components such as transistors, capacitors, etc. — manufactured using silicon technology.
- FEOL front end of line
- the substrate can only serve as a carrier material or fill an electrical function (evaluation, control). In the latter case, there are electrical contacts between the substrate and the electrodes that are on the substrate be applied. These electrical contacts are, for example, contact holes (vias) filled with an electrical conductor. However, it is also possible for the contacts to be made from the lower to the upper layers by means of metallizations in the edge regions of the substrate or the chips.
- a preferred device of the invention is the so-called hybrid memory, the substrate being processed in the conventional front-end-of-the-line (FEOL) CMOS silicon technology and the memory layer (s) then being applied to it.
- the substrate is not limited to this.
- the sandwich structure of the memory cell (s) described above, consisting of two electrodes and the organic material or the compound formed between them, can be applied to the substrate not only once but several times in a stacked form.
- the different Layers can be separated from one another with an insulator. It is also possible that two, but not three, electrodes are used for two layers lying one above the other, ie the “middle” electrode is shared.
- the cell according to the invention in the semiconductor arrangement can keep the applied state for a very long time without an applied voltage, so that the cell therefore as one non-volatile memory can serve. It could be shown that the semiconductor arrangement according to the invention with the cell according to the invention is still clearly legible or functional even after several thousand cycles of the ON / OFF change and can even maintain the applied state for several months.
- the electrode which faces the substrate preferably consists of at least two layers, the layer which is in direct contact with the substrate (hereinafter referred to as layer 1 of the lower electrode), titanium ( Ti), titanium nitride (TiN), tantalum (Ta), tantalum nitride (TaN), tungsten (W), further TiW, TaW, WN or WCN as well as IrO, RuO, SrRuO or any combination of these materials - also in two or more Layers - can be. Furthermore, in combination with the above-mentioned layers or materials, thin layers of Si, TiNSi, SiON, SiO, SiC, SiN or SiCN can also be present. Thus, the layer 1 of the lower electrode itself can consist of more than one layer.
- the other layer (hereinafter referred to as layer 2 of the lower electrode) has a metal, preferably copper, which forms the above-mentioned connection with the organic material (material X).
- This layer (layer 2) that forms the connection can either be pure metal or an alloy of several metals. It is crucial, however, that this layer contains a metal that can form the connection with the organic material.
- the preferred material is copper and its alloys with other metals. Silver and its alloys with other metals are also suitable.
- Various methods are suitable for depositing the above-mentioned layers. These can e.g. B. PVD, CVD, PECVD, vapor deposition, electroplating, electroless plating or atomic layer CVD (ALCVD); however, the methods are not limited to these.
- the second electrode can consist of one or more layers.
- the second electrode is preferably aluminum, copper, silver, AlCu, AlSiCu, titanium, (Ti), titanium nitride (TiN), tantalum (Ta), tantalum nitride (TaN), tungsten (W), furthermore TiW, TaW, WN or WCN as well IrO, RuO, SrRuO or any combination of these materials - also in two or more layers - can be.
- thin layers of Si, TiNSi, SiON, SiO, SiC, SiN or SiCN can also be present.
- the layer 1 of the lower electrode itself can consist of more than one layer.
- the type of electrodes suitable is not limited to the materials mentioned above.
- the organic material which is arranged between the electrodes is preferably an electron acceptor, ie a molecule with electron-withdrawing atoms (e.g. -Cl, -F, -Br, -I) or groups (e.g. -CN, -C0-, -N0 2 ) and forms the corresponding connection with the lower electrode.
- an electron acceptor ie a molecule with electron-withdrawing atoms (e.g. -Cl, -F, -Br, -I) or groups (e.g. -CN, -C0-, -N0 2 ) and forms the corresponding connection with the lower electrode.
- Molecules which contain at least one of the above-mentioned atoms and / or groups in their framework are particularly preferred as the electron acceptor. Of course, several of the atoms or groups mentioned above can also be present.
- the preferred organic materials are TCNQ and DDQ.
- the compound is formed by a selective
- the composition of the lower electrode and the organic material is not limited to TCNQ and copper, but can on the one hand be made of any organic material (which contains at least one of the above-mentioned atoms or groups and on the other hand can be made of any metal). that the electrode contains a metal that with the organic material or with a component of the organic material forms the connection.
- the suitable organic material can e.g. B. correspond to one of the structures listed in Table 1. It is also possible for more than one of the molecules mentioned in Table 1 to form the compound with the metal. However, the number of electron acceptors is not limited to the molecules listed in Table 1.
- connection z. B. copper sulfide
- selenium or compounds containing selenium or tellurium or compounds containing tellurium can also form a connection with the lower electrode.
- Threshold voltage threshold voltage at which the cell switches from OFF to ON.
- Retention time Time period in which the memory state (ON or OFF) is maintained without applied voltage
- Endurance number of the maximum possible write and
- Imprint Number of the maximum possible (one-sided) write or erase pulses without the properties (threshold voltage, values for ON and OFF
- the semiconductor arrangement according to the invention can also have a plurality of non-volatile memory cells and the plurality of cells can be built into the semiconductor arrangement with a high integration density.
- a substrate is first provided.
- the substrate can be silicon, germanium, galium arsenide, galium nitride as described above; any material that contains any compound of silicon, germanium or galiu; a polymer (ie plastic; filled or unfilled, e.g. as a molded part or film), ceramic, glass or metal.
- This substrate can also be an already processed material and one or more layers Contacts, conductor tracks, insulating layers and other microelectronic components included.
- the substrate is in particular silicon, which has already been processed in accordance with front-end-of-line (FEOL); H. already contains electrical components such as transistors, capacitors etc. - manufactured using silicon technology.
- FEOL front-end-of-line
- the substrate can only serve as a carrier material or fill an electrical function (evaluation, control). In the latter case, there are electrical contacts between the substrate and the electrodes which are applied to the substrate. These electrical contacts are, for example, contact holes (vias) filled with an electrical conductor. However, it is also possible for the contacts to be made from the lower to the upper layers by means of metallizations in the edge regions of the substrate or the chips.
- the lower electrode is first applied to the substrate.
- An insulating layer is optionally located between the substrate and the lower electrode, but this is particularly necessary if the substrate or the top layer of the substrate is electrically conductive.
- this insulating layer can, for. B. be silicon oxide.
- the lower electrode introduced into the substrate consists of at least two layers and can be produced by the methods described below.
- the electrode can be deposited from the gas phase or from solution. For this purpose, methods such. B. PVD, CVD, PECVD, vapor deposition, electroplating, electroless plating or atomic layer CVD (ALCVD) are suitable.
- the layers U1 and U2 are, for example, deposited one behind the other and then structured.
- a photoresist is placed on the layer U2 and this is structured in accordance with customary processes (exposure, development, etc.). This structure is then transferred into the two layers by means of etching through a gas or a gas mixture or else through a liquid or liquid mixture.
- the two layers can be etched with the same reagent (gas or liquid) or require different reagents.
- the layers can also be structured using the so-called da ascene technique.
- an insulating layer preferably silicon oxide lying above the substrate is structured by means of lithography and etching. After stripping the photoresist, the two layers are deposited, so that the trenches or holes formed during the structuring in the insulating layer are completely filled with the electrode materials. Then the part of these materials that is above the surface of the insulating layer is ground back.
- the layer 2 of the lower electrode (U2) is preferably copper or copper-containing and forms the corresponding connection with the organic material, which is subsequently applied. It can also contain silver.
- the organic material can e.g. B. be applied to the electrode in a solvent mixture. If the organic material is TCNQ, a solvent mixture of at least two solvents is preferably used, one of which is preferably acetonitrile or propionitrile or another solvent which contains -CN groups.
- the second solvent is preferably a ketone, an alcohol, an ester, an aromatic, an aliphatic or cycloaliphatic or an ether and mixtures thereof.
- a ketone an alcohol, an ester, an aromatic, an aliphatic or cycloaliphatic or an ether and mixtures thereof.
- the duration of this treatment can be between 10 seconds and 10 minutes.
- the treatment temperature is between -20 and 100 ° C.
- Solvent mixtures are also suitable for many substances mentioned in Table 1.
- the proportion of the solvent containing the -CN group is 0.01 to 65 vol%. Its share depends on the composition of the entire solution. This solution can also contain more than two solvents, as well as more than one organic material (i.e. material X).
- This rinsing step serves in particular to remove the excess TCNQ from the substrate, so that only the connection formed in the area the electrode remains because the connection can only be formed in this area.
- the organic material can also be evaporated onto the lower electrode. After vapor deposition, it is necessary to subject the substrate to thermal treatment in order to make the connection. Only after this temperature treatment can the substrate be rinsed with a solvent to remove the excess TCNQ. If the organic material is evaporated onto the electrode, it is advantageous if the evaporation time is between 2 and 30 minutes.
- the pressure to be used is in a range between 0.000001 to 200 bar and the vapor deposition is carried out at a substrate temperature between -50 to 150 ° C. It is also possible for not just one, but two or more organic materials X to be vapor-deposited onto the electrode simultaneously or in succession.
- Memory cells can be further improved if the compound formed is post-treated in a cell produced by the method described above, preferably immediately after the formation of the compound, sometimes also during the formation of the compound.
- Post-treatment is accomplished by contacting a solution of an after-treatment reagent with the compound.
- an after-treatment reagent amines, amides, ethers, ketones, carboxylic acids, thioethers, esters, aromatics, heteroaromatics, alcohols or various sulfur- or selenium-containing compounds such as sulfur heterocycles, compounds with -SO groups or thiols are particularly suitable, however the number of suitable reagents not only for those limited.
- the reagents can also contain unsaturated groups.
- aftertreatment reagents are diethylamine, triethylamine, dimethylaniline, cyclohexylamine, diphenylamine, dimethylformamide, dimethylacetamide, dimethyl sulfoxide, acetone, diethyl ketone, diphenyl ketone, benzoic acid phenyl ester, benzofuran, N-methylpyrrolidone, gamma-butyl lactone, toluene moleolene, toluene , Oxazole, benzimidazole, benzopxazole, quinoline, quinoxaline, fulvalene, furan, pyrrole, thiophene or diphenyl sulfide.
- Treatment time is preferably between 15 s to 15 min.
- a temperature of preferably -30 to 100 ° C either in air or under an inert gas, such as. B. nitrogen or argon.
- the aftertreatment reagent can be built into the storage cell or it can attach to the cell.
- the existence of the aftertreatment reagent can be demonstrated, for example, after thermal desorption at higher temperatures using gas chromatography GC or mass spectrometry MS.
- the compound can be contacted with gaseous (or steam) aftertreatment reagent.
- gaseous (or steam) aftertreatment reagent In air or under an inert gas, such as. B. nitrogen or argon, the aftertreatment runs at a pressure of 0.00001 to 1000 bar at a substrate temperature between -30 and 150 ° C. A temperature step can then follow, but is not necessary in every case.
- a cell which has been post-treated in this way has an improved (ie lower) threshold voltage when switching the cell by up to 40%, a ratio between the ON and OFF state which is ten times higher than that of a cell which has not been post-treated, and a factor of up to 100 times higher endurance as well as improved I print characteristics and an improvement in shift adhesion by up to 20%.
- the invention relates to a
- the cell according to the invention can lie in the semiconductor arrangement between a word line and a bit line which cross perpendicularly.
- the cell is then switched to the ON or OFF state by applying corresponding voltages to the word line and the bit line. This allows the state of the cell to be changed.
- the ON or OFF states correspond, for example, to the states with lower or higher electrical resistance.
- the electrodes are manufactured in such a way that they serve as a word or bit line. But it can also be that an (additional) layer of the upper and / or lower electrode is only applied in the area of the cell - in direct contact with the connection - ie not along the entire conductor track (word or bit line). This applies in particular to the via concept described below.
- the individual memory cells lie directly between intersecting conductor tracks and bit lines or word lines.
- the lower electrodes can be completely covered with the connection and the upper electrodes can be applied thereon
- the cross point cells the size of which is defined solely by the width of the electrodes, are created at the intersection points, but it is also possible that the lower electrodes are not completely covered with the connection, but only at the points where the cross point cell This is possible either through the integration process, as will be described later, or through a direct structuring of the connection.
- Each “level” of such a memory cell array then contains the associated upper and lower electrodes and the connection between them. It is possible for an electrode to be shared by two levels, for example the upper electrode of the first level can be used simultaneously serve as the lower electrode of the second level lying above it. The prerequisite is, of course, that this electrode consists of at least two suitable layers. Depending on requirements, an insulating layer can also be introduced between two levels.
- bit size being of the order of “4F 2 / n”, where n is the number of individual, stacked levels of memory cell arrays and “F” is the width (smallest possible structure of the ones used) Technology) means.
- FIG. 1 a shows a via concept in which the size of the cell is precisely defined and is not dependent on the size (i.e. width) of the crossing conductor tracks;
- 1b shows an integration concept in which a cell size of approximately 4F 2 can be achieved (cross-point concept);
- Kl denotes a contact (contact hole filled with a conductor material, preferably made of tungsten)
- B the position 1 of the lower electrode (i.e. Ul according to the previous sketch)
- C a cover layer
- I an insulating layer
- M a conductor track.
- K2 denotes a contact, i. H. a contact hole that was filled with the same materials as the conductor track M2. This is done e.g. B. in the dual damascene process, in which first the layer 1 is simultaneously deposited in contact holes K2 and trenches and then the layer 2. The filled trenches then form the conductor tracks or
- the layer 1 can also preferably consist of two or more layers, e.g. B. tantalum nitride and tantalum.
- the cover layer C is preferably Si, TiNSi, SiON, SiO, SiC, SiN, SiCN and any combination of these layers or materials.
- D is either a combination of two superimposed contacts or a contact and a pad to make electrical contact with the substrate and / or the upper levels.
- the substrate can also be one of the alternatives described earlier.
- An insulating layer preferably silicon oxide, is then applied to such a substrate, as described in FIG. 2.
- FIG. 3 shows how the contact holes L are opened in this insulating layer by means of photolithography and etching along the conductor tracks in order to arrive at the structure as shown in FIG. 3a.
- the cover layer under the contact holes is also opened so that, for example, the copper surface is free there. After the copper surface is exposed, the organic material can be applied to make the connection.
- FIG. 4 shows how the organic material, in the special case TCNQ, is deposited on the substrate surface (FIG. 4 relates to vacuum evaporation).
- TCNQ can be deposited using a vacuum process, such as vapor deposition, or by a solution of TCNQ.
- the exact parameters of how the organic material is applied to the electrode are described in the general part of the application. When the organic material from the solvent is contacted with the electrode, the desired one is selectively formed only over the electrode
- connection if the organic material is deposited on the electrode by means of vapor deposition, a Heat treatment is done to make the connection.
- Fig. 5 shows how the compound is selectively formed in the contact holes either after the thermal treatment if the organic material is evaporated or immediately after the solution of the organic material is brought into contact with the electrode.
- the insulation layer does not react with TCNQ.
- Figure 6 shows the substrate surface after rinsing with a solvent such as acetone.
- the solvent removes the excess organic material that has not formed a compound.
- the substrate surface can be rinsed by dipping, spraying or spinning (in the spin coater). The dimensions of the cell are clearly defined and neighboring cells are isolated from each other by the insulating layer.
- FIG. 7 shows how a further layer of insulating layer can be applied, and in particular how the - newly created - substrate surface can be structured for the production of the conductor tracks.
- the structuring is preferably carried out using the conventional dual Cu damascene structuring.
- the trenches and contact holes are simultaneously filled with the materials of the corresponding layers and then ground.
- the structure shown in FIG. 8 is obtained.
- Layer B is preferably made of tantalum nitride or a combination of tantalum and tantalum nitride.
- the webs M2 and M3 produced in FIG. 8 are perpendicular to one another. This gives the structure shown in FIG. 1 a (with M2 as the bottom electrode, M3 as the top electrode).
- FIG. 9 By applying a further layer of the insulating layer and repeating the steps explained in FIGS. 3 to 8, a structure is obtained which is shown in FIG. 9.
- the conductor track M3 can serve both as an upper electrode for the lower cell and as a lower electrode for the upper cell.
- M4 is the top electrode of the top cell and is perpendicular to M3.
- the structure shown in Fig. 9 is similar to Fig. Lc, with the
- Fig. Lc shows a stack (structure with more than one cell level) based on the cross point concept
- Fig. 9 shows a stack based on the via concept.
- the advantage of the latter structure is that the cell size is precisely defined and that the lateral isolation of the individual memory cells by means of the insulating layer prevents crosstalk from the neighboring cells.
- the disadvantage of this structure is that the bit size is more than 4F 2 / n (lower integration density).
- FIG. 10 shows how further processing should be carried out in order to apply an insulating layer between the first and second cell levels (ie M3 would then no longer serve as a common electrode for two cells).
- processing would take place according to FIGS. 3 to 8 in order to produce the next cell level.
- 11 to 19 show an integration concept for the semiconductor arrangement according to the present invention, the integration concept allowing a bit size of 4F 2 / n.
- FIG. 11 shows a substrate similar to that in FIG. 2.
- FIGS. 2 and 11 make it clear that the substrate can be different. It is also possible to start with a substrate as shown in FIG. 2.
- Fig. 11a shows the top view of the structure shown in Fig. 11.
- the substrate can either be a silicon wafer or silicon, germanium, galium arsenide, galium nitride; any material that contains any compound of silicon, germanium or galium; be a polymer, ceramic, glass or metal.
- the cover layer C is opened by means of photolithography and etching in order to expose the conductor tracks.
- the connection is to be formed later via these conductor tracks.
- FIG. 13 shows the structure after the organic material X is deposited.
- the connection has not yet formed over the conductor track, since the organic material was evaporated using a vacuum process. Only after the substrate obtained in this way has been subjected to a temperature treatment does the connection form over the conductor track. Since the connection between the metal, e.g. B. copper, and the organic material is selectively formed only over the metal (Fig. 14), the opening in the cover layer can be larger than the width of the conductor track Ml and the overlay tolerances in photolithography should also be taken into account.
- the organic material can as described above, either by means of a vacuum process or by treatment with a solvent. If the organic material is applied to the substrate in the solvent, the structure shown in FIG. 13 is omitted.
- the substrate is then rinsed with acetone, for example, to remove the excess organic material.
- acetone for example, to remove the excess organic material.
- the result of this step is described in FIG. 15.
- the trapezoidal structure of the connection is only schematic. After the connection has formed over the entire length of the conductor track, a layer of insulation is applied and ground, e.g. B. using CMP to get to the structure shown in Fig. 16a.
- contact holes for the contacts and trenches for the conductor tracks can be opened using common lithography and etching techniques.
- the conductor tracks which are now to be formed run transversely to the conductor tracks drawn as Ml in FIG. 11.
- the structuring can take place, for example, by means of dual Cu damascene structuring.
- T1 is either a contact hole or a trench for a pad and L is a contact hole.
- T2 is a trench for a conductor track, which must show an expansion at least by the amount of the adjustment tolerances above the contact hole.
- 16c shows the top view of the structure shown in FIG. 16b. The hatched area shows the area where the formed connection is visible through the created trench T2.
- the trenches and holes can be filled and planarized using the dual Cu damascene technique.
- B here is position 1 of the upper electrode, the preferably consists of tantalum nitride or a combination of tantalum and tantalum nitride.
- the layer 2 of the upper electrode preferably forms copper.
- the webs M1 and M2 are perpendicular to one another. The memory cells are thus defined wherever the paths intersect.
- D is either a combination of two contacts K or a contact and a pad, and is used to wire the different conductor tracks in different planes with the substrate -.
- the conductor track M2 (consisting for example of Ta and Cu or Ta, TaN and Cu) can serve both as an upper electrode for the lower cell and as a lower electrode for the upper cell.
- M3 is the top electrode of the top cell and is perpendicular to M2.
- the structure shown in FIG. 18 corresponds to FIG. 1c.
- a conductor track such as M2 does not necessarily have to serve as an electrode for upper and lower cells. It is also possible that one does not form a connection on the conductor track M2, but instead applies a cover layer and then an insulating layer and first generates and contacts the conductor track plane M3. After applying a further cover layer, one can continue as shown in FIG. 12. In such a construction, each trace serves only as an upper or a lower electrode, i.e. H. no common electrodes for two superimposed cell levels.
- the advantage of this concept is that a bit size of 4F 2 / n can be achieved.
- the disadvantage is that organic material is deposited over the entire conductor track so that the cells are not separated from one another by a dielectric. This means that the cells are separated from each other by dielectric only in one direction (e.g. x direction), but not in the y direction, ie along the conductor track.
- the following embodiment shows an alternative to producing the integration concept according to FIGS. 11 to 18 or 19.
- an insulating layer is deposited and ground back to the level of the connection formed, which is the structure which is shown in Fig. 19a.
- the substrate is z. B. argon plasma, etched for about 20 s to 5 min.
- the connection is etched much faster than the insulation layer, so that a height difference is generated between the connection layer and the insulation, as shown in FIG. 20.
- This selective etching can also be carried out by wet chemical means, for example by treating the substrate with a mixture of ammonia and a solvent, such as, for example, dimethylformamide.
- This step is to make room for another protective layer SC which is deposited on the connection.
- This protective layer is initially deposited over the entire area, as shown in FIG. 21 a, but after chemical-mechanical planarization (CMP), this layer is only retained over the conductor track M 1 or over the connection (FIG. 21 b).
- This layer preferably consists of the same material as the upper electrode or the layer 1 of the upper electrode if the upper electrode consists of several layers. However, it can also consist of one of the other electrode materials already mentioned. Then one another insulating layer applied to get to the structure as shown in Fig. 21c.
- FIG. 22b using conventional lithography and etching techniques such. B. Dual Damascene technology, contact holes for the contacts and trenches for the conductor tracks or pads can be opened, as already described in Fig. 16b.
- layer B is somewhat thicker over the connection.
- a covering layer can be applied again and then proceed according to FIG. 15 and a construction such as e.g. B. in Fig. 18 and 22b. If the same material as the protective layer SC is used as for the layer B, the layer B in FIG. 22b is thicker than in FIG. 18. If different materials are used for the layers B and SC, two layers are obtained, as in FIG 22b.
- the structure shown in FIG. 22b corresponds to the structure of FIG. 18 with an additional SC layer.
- FIGS. 19a to 22b or 22c differs from the method shown in FIGS. 11a to 19 in that the protective layer is applied selectively to the connection. This has the particular advantage that the connection through this protective layer, for. B. is protected during the etching processes.
- the following embodiment shows an alternative to producing an integration concept for the semiconductor arrangement according to the invention.
- the first conductor track which is also the represents the lower electrode for the cell according to the invention
- an insulating layer is deposited, and only then is the connection formed (ie the step carried out in FIG. 16a takes place before the step carried out in FIGS. 13 and 14).
- This concept results in a reduction in process complexity.
- a cover layer C is applied first, then an insulating layer, preferably made of silicon dioxide, in order to arrive at the structure as shown in FIG. 24.
- the trenches for the later conductor tracks are then opened in this insulating layer by means of photolithography and etching, as shown in FIG. 25.
- the cover layer under the trenches is also opened, so that the copper surface is free at the points where the (upper) trenches intersect with the (underneath) copper tracks.
- the organic material is then deposited on the copper surface or the connection is formed on this substrate surface either by means of a vacuum process or by treatment with a solution of the organic material. If the deposition of the organic material is carried out by a vacuum technique, then one
- the z. B. can be carried out on a hot plate or in the oven, so that the connection is formed selectively over copper, as shown in Fig. 26, since the insulating layer does not react with the organic material.
- the substrate surface is then rinsed with a solvent such as acetone. This can be done by diving, spraying or in the spin coater.
- a solvent such as acetone.
- This can be done by diving, spraying or in the spin coater.
- the dimensions of the cell are clearly defined and neighboring cells are separated from one another by the insulating layer, as shown in FIG. 26. In this case, the connection is not made along the entire conductor tracks, but only locally at the crossing points.
- the trenches are then filled with the electrode material or materials (if the electrode consists of more than one layer). After that, grinding can be done as an option.
- 27a and 27b show the two possibilities, i. H. with and without grinding (polishing) the upper electrode.
- Single layers can consist of several layers if it is desirable.
- the structures shown in FIGS. 28 to 36 explain in more detail how the individual layers can be built up.
- Fig. 28 shows the substructure in which FEOL and MOL processes are carried out and are provided with contacts K1 at the end.
- the contacts Kl are preferably made of tungsten.
- the structure according to FIG. 28 is merely an alternative that can serve as a substrate for the desired structure with the memory cells according to the invention.
- a Cu CMP stop layer S1 made of z. B. silicon carbide (SiC) and to protect them during the lithography process, another protective layer J2, which preferably again consists of SiO, are applied.
- the state after the layers J1, S1 and J2 have been deposited is shown in FIG.
- the layers J1, S1 and J2 are structured by means of photolithography and RIE (reactive ion etching), as a result of which the contacts K1 are exposed, as shown in FIG. 30.
- RIE reactive ion etching
- the two-layer lower electrode is applied using a standard Cu damascene process.
- the barrier layer B1 which consists of common barrier materials or a combination thereof, is first deposited.
- copper is deposited using an ECD (electrochemical deposition) process and, if necessary, subsequently thermally treated. This is followed by the chemical mechanical polishing of copper and of the barrier layer, a high selectivity between the copper and the barrier CMP being necessary.
- the CMP stop layer S1 is necessary in order to ensure a selective barrier CMP process. Otherwise the CMP process must be carried out unselectively.
- the structure thus obtained is shown in Fig. 31.
- a copper diffusion barrier S4 preferably made of HDP (high density plasma) Si and N, can be applied to the position of the conductor track (M1) generated in this way (not shown in FIGS. 31 and 32, but later in FIG. 41).
- an insulating layer J3 which is preferably applied from SiO.
- a CMP stop layer S2 made of z. B. SiC applied, and another protective layer J4 are deposited to protect them during the lithography process.
- the protective layer J4 is also made of SiO. The structure thus obtained is shown in Fig. 32.
- trenches are created that are at a 90 ° angle in this plane to the MI tracks in the previous plane.
- the trenches produced are shown in FIG. 33.
- the layers S2 and J3 and possibly J4 are structured by means of lithography and RIE (reactive ion etching), as a result of which the Ml tracks are partially exposed.
- the organic material is now deposited on the exposed areas of the Ml tracks by a method as described in the previous embodiments in order to achieve the connection according to the invention.
- the structure thus generated is shown in Fig. 34. It corresponds to FIG. 26, with the difference that more details of the layers are shown in FIG. 34. Then, for example, it can continue as in FIG. 27a. After applying the required number of levels according to Figs.
- a final (uppermost) conductor track M2 can be built up, for example by depositing suitable electrode materials over the entire surface.
- suitable electrode materials such as. B. Ti / AICu / TiN can be used.
- the structure obtained is in Fig. 35 displayed. The structuring is done here by an RIE process.
- the last layer is a standard passivation layer P (e.g. SiO, SiN, SiON, SiC and any combination of these layers) deposited and the bond pads opened.
- P e.g. SiO, SiN, SiON, SiC and any combination of these layers
- FIGS. 11 to 19 show a variant of the concept described in FIGS. 11 to 19, a detailed layer structure being shown below.
- An insulating layer J1 preferably made of SiO, is applied to the substrate. If necessary, a Cu-CMP stop layer S1, z. B. from SiC and for their protection during the lithography process protective layer J2, preferably again deposited from SiO.
- the structure thus obtained corresponds to the arrangement shown in FIG. 37.
- the dielectric is structured in order to achieve a structure as shown in FIG. 38.
- the conductor track that forms the lower electrode is separated using a standard Cu damascene process.
- the lower electrode consists of at least two layers.
- the barrier layer B1 is separated from common ones
- the organic material can now be selectively deposited on the conductor track, as already shown in Figs. 13-15 explained.
- the structure thus obtained is shown in Fig. 40.
- the organic material can be deposited as described in FIG. 13.
- a layer can be deposited, the z. B. consists of HDP (High Density Plasma) SiN.
- This layer serves as a copper diffusion barrier S4.
- a further insulating layer J3, which preferably consists of SiO, can now be deposited on this layer.
- a CMP stop layer S3 can be deposited on the dielectric layer, which e.g. consists of SiC. To protect the S3 layer during the
- a further protective layer J4 preferably also made of SiO, can be deposited in the lithography process steps.
- the structure thus obtained is shown in Fig. 41.
- the next step is to generate the trenches for the conductor tracks to create the top electrodes.
- the structure after the etching is shown in FIG. 42.
- the trenches to be generated are at a 90 ° angle to the Ml tracks in the previous levels.
- the final (uppermost) conductor track M2 can be constructed, as shown in FIG. 43.
- a passivation layer P is deposited as the last layer in order to arrive at the structure shown in FIG. 44.
- the passivation layer P can be SiO, SiN, SiON or SiC as well as any combination of these layers.
- the conductor track M1 is treated with the organic material arranged thereon after the CMP process, the connection between the organic material and the metal being produced selectively on the copper tracks.
- a final conductor track M2, which serves as an electrode, is constructed by depositing suitable electrode materials over the entire surface, as already described in FIG. 34.
- a so-called “low k” material can also be used as the insulating layer I or J.
- k means the dielectric constant.
- Polymers such as polyimides, polyquinolines, polyquinoxalines,
- Polybenzoxazoles polyimidazoles, aromatic polyethers.
- Polyarylenes including the commercial dielectric SILK, polynorbornenes; furthermore copolymers of these materials; porous silicon-containing materials, porous organic materials (porous polymers), porous inorganic-organic materials.
- I insulating layer which has several layers
Landscapes
- Engineering & Computer Science (AREA)
- Chemical & Material Sciences (AREA)
- Physics & Mathematics (AREA)
- Nanotechnology (AREA)
- Spectroscopy & Molecular Physics (AREA)
- Materials Engineering (AREA)
- Mathematical Physics (AREA)
- Theoretical Computer Science (AREA)
- Crystallography & Structural Chemistry (AREA)
- Semiconductor Memories (AREA)
Abstract
Description
Claims
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
DE10355561A DE10355561A1 (en) | 2003-11-28 | 2003-11-28 | Semiconductor device with nonvolatile memories |
PCT/DE2004/002601 WO2005053027A1 (en) | 2003-11-28 | 2004-11-24 | Semiconductor arrangement with non-volatile memories |
Publications (1)
Publication Number | Publication Date |
---|---|
EP1702369A1 true EP1702369A1 (en) | 2006-09-20 |
Family
ID=34625322
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
EP04802810A Withdrawn EP1702369A1 (en) | 2003-11-28 | 2004-11-24 | Semiconductor arrangement with non-volatile memories |
Country Status (4)
Country | Link |
---|---|
US (1) | US20070194301A1 (en) |
EP (1) | EP1702369A1 (en) |
DE (1) | DE10355561A1 (en) |
WO (1) | WO2005053027A1 (en) |
Families Citing this family (27)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
DE102004037151A1 (en) * | 2004-07-30 | 2006-03-23 | Infineon Technologies Ag | Method of forming memory layers |
KR20140015128A (en) * | 2004-10-18 | 2014-02-06 | 가부시키가이샤 한도오따이 에네루기 켄큐쇼 | Semiconductor device |
US7935958B2 (en) * | 2004-10-22 | 2011-05-03 | Semiconductor Energy Laboratory Co., Ltd. | Semiconductor device |
CN101044624A (en) | 2004-10-22 | 2007-09-26 | 株式会社半导体能源研究所 | Semiconductor device |
CN100576557C (en) * | 2004-11-26 | 2009-12-30 | 株式会社半导体能源研究所 | Semiconductor device and manufacture method thereof |
US7265381B2 (en) * | 2004-12-30 | 2007-09-04 | Infineon Technologies, Ag | Opto-electronic memory element on the basis of organic metalloporphyrin molecules |
US7926726B2 (en) * | 2005-03-28 | 2011-04-19 | Semiconductor Energy Laboratory Co., Ltd. | Survey method and survey system |
US7700984B2 (en) * | 2005-05-20 | 2010-04-20 | Semiconductor Energy Laboratory Co., Ltd | Semiconductor device including memory cell |
US7868320B2 (en) * | 2005-05-31 | 2011-01-11 | Semiconductor Energy Laboratory Co., Ltd. | Semiconductor device and manufacturing method thereof |
DE102005031517A1 (en) * | 2005-07-06 | 2007-02-01 | Infineon Technologies Ag | Electronic component in hybrid structural design e.g. for high density stores and sensors, has molecular film between first and second electrodes |
US7405462B2 (en) * | 2006-01-31 | 2008-07-29 | Hewlett-Packard Development Company, L.P. | FPGA architecture at conventional and submicron scales |
WO2007105575A1 (en) * | 2006-03-10 | 2007-09-20 | Semiconductor Energy Laboratory Co., Ltd. | Memory element and semiconductor device |
EP1850378A3 (en) * | 2006-04-28 | 2013-08-07 | Semiconductor Energy Laboratory Co., Ltd. | Memory device and semicondutor device |
US7879263B2 (en) * | 2006-07-24 | 2011-02-01 | Imec | Method and solution to grow charge-transfer complex salts |
JP2010503194A (en) * | 2006-08-31 | 2010-01-28 | アイメック | Method of manufacturing resistance switching device and device obtained by the method |
EP2062307B1 (en) | 2006-08-31 | 2015-08-19 | Imec | Method for controlled formation of the resistive switching material in a resistive switching device and devices obtained thereof |
US20080073751A1 (en) * | 2006-09-21 | 2008-03-27 | Rainer Bruchhaus | Memory cell and method of manufacturing thereof |
US7994564B2 (en) * | 2006-11-20 | 2011-08-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | Non-volatile memory cells formed in back-end-of line processes |
ITMI20070671A1 (en) | 2007-04-02 | 2008-10-03 | St Microelectronics Srl | CIRCUIT ARCHITECTURE ON ORGANIC BASIS AND RELATIVE METHOD OF IMPLEMENTATION |
KR20140069342A (en) | 2008-05-16 | 2014-06-09 | 가부시키가이샤 한도오따이 에네루기 켄큐쇼 | Light-emitting element and electronic device |
DE102009035419B4 (en) * | 2009-07-31 | 2018-03-08 | Globalfoundries Dresden Module One Llc & Co. Kg | A method of fabricating a CMOS device with molecular memory elements in a via layer |
US9183927B2 (en) | 2009-10-02 | 2015-11-10 | The University Of Memphis Research Foundation | Memory devices, methods of storing and reading data, SMM junctions, and methods of preparing alumina substrates |
WO2011136795A1 (en) * | 2010-04-30 | 2011-11-03 | Hewlett-Packard Development Company, L.P. | Connection and addressing of multi-plane crosspoint devices |
US8253443B2 (en) * | 2010-10-26 | 2012-08-28 | Hewlett-Packard Development Company, L.P. | Interconnection architectures for multilayer crossbar circuits |
WO2013066342A1 (en) | 2011-11-04 | 2013-05-10 | Hewlett-Packard Development Company, L.P. | Structure of a switching device in an array |
US8941089B2 (en) * | 2012-02-22 | 2015-01-27 | Adesto Technologies Corporation | Resistive switching devices and methods of formation thereof |
US11158788B2 (en) * | 2018-10-30 | 2021-10-26 | International Business Machines Corporation | Atomic layer deposition and physical vapor deposition bilayer for additive patterning |
Family Cites Families (11)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4652894A (en) * | 1980-03-14 | 1987-03-24 | The Johns Hopkins University | Electrical organic thin film switching device switching between detectably different oxidation states |
US4371883A (en) * | 1980-03-14 | 1983-02-01 | The Johns Hopkins University | Current controlled bistable electrical organic thin film switching device |
US4871236A (en) * | 1985-09-18 | 1989-10-03 | Kabushiki Kaisha Toshiba | Organic thin film display element |
US4806995A (en) * | 1987-02-02 | 1989-02-21 | Olin Corporation | Optical and electrical switching devices and a polymer composition containing pendant organic charge transfer salt moieties useful in switching devices |
US5185208A (en) * | 1987-03-06 | 1993-02-09 | Matsushita Electric Industrial Co., Ltd. | Functional devices comprising a charge transfer complex layer |
US5161149A (en) * | 1991-07-22 | 1992-11-03 | The Johns Hopkins University | Electron density storage device and method using STM |
US5943154A (en) * | 1996-09-17 | 1999-08-24 | Kabushiki Kaisha Toshiba | Optically-controlled light control element |
US6693821B2 (en) * | 2001-06-28 | 2004-02-17 | Sharp Laboratories Of America, Inc. | Low cross-talk electrically programmable resistance cross point memory |
JP2003283004A (en) * | 2002-03-26 | 2003-10-03 | Rohm Co Ltd | Switching device and method of manufacturing the same |
JP4928045B2 (en) * | 2002-10-31 | 2012-05-09 | 大日本印刷株式会社 | Phase change type memory device and manufacturing method thereof |
US20050227382A1 (en) * | 2004-04-02 | 2005-10-13 | Hui Angela T | In-situ surface treatment for memory cell formation |
-
2003
- 2003-11-28 DE DE10355561A patent/DE10355561A1/en not_active Ceased
-
2004
- 2004-11-24 US US10/580,881 patent/US20070194301A1/en not_active Abandoned
- 2004-11-24 WO PCT/DE2004/002601 patent/WO2005053027A1/en active Application Filing
- 2004-11-24 EP EP04802810A patent/EP1702369A1/en not_active Withdrawn
Non-Patent Citations (2)
Title |
---|
None * |
See also references of WO2005053027A1 * |
Also Published As
Publication number | Publication date |
---|---|
DE10355561A1 (en) | 2005-06-30 |
US20070194301A1 (en) | 2007-08-23 |
WO2005053027A1 (en) | 2005-06-09 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
WO2005053027A1 (en) | Semiconductor arrangement with non-volatile memories | |
DE60030761T2 (en) | VOLATILE AND NON-VOLATILE SWITCHES ON MOLECULAR BASE | |
DE102007004639B4 (en) | A method of manufacturing a solid electrolyte storage device and solid electrolyte storage device | |
DE102007040826B9 (en) | Integrated circuit having a cell with a layer of variable resistivity and method of manufacture | |
DE102007035611A1 (en) | Method of manufacturing an integrated circuit, integrated circuit and memory module | |
DE102013103503A1 (en) | Resistive Random Access Memory (RRAM) and method of making the same | |
DE112004001855T5 (en) | Self-structuring arrangement of a conductive polymer for producing a polymer memory cell | |
DE102004009600B4 (en) | Self-organizing organic dielectric layers based on phosphonic acid derivatives | |
DE102005025209A1 (en) | Semiconductor memory device, for electronic system, comprises phase changeable material pattern overlying protrusion portion of molding layer, and oxidation barrier layer covering the phase-changeable material and protrusion portion | |
EP1743389A2 (en) | Ultra-thin dielectric and use thereof in organic field-effect transistors | |
DE102021110748A1 (en) | TRANSISTOR THAT HAS A HYDROGEN DIFFUSION BARRIER FILM AND PROCEDURE FOR ITS EDUCATION | |
DE102006003572A1 (en) | Hybrid silicon-molecular memory cell based on Fc-BzCN and Por-BzCN molecular complexes | |
DE102021101648A1 (en) | Semiconductor device and method of forming the same | |
DE102004025675B4 (en) | Integrated semiconductor memory with organic selection transistor | |
DE102004004047B3 (en) | Resistive memory for low-voltage applications | |
DE102009035419B4 (en) | A method of fabricating a CMOS device with molecular memory elements in a via layer | |
DE102004004863B4 (en) | Resistive memory cell | |
DE10340610B4 (en) | Connection with at least one storage unit made of organic storage material, in particular for use in CMOS structures, semiconductor component and a method for producing a semiconductor component | |
DE102004041555B4 (en) | Process for the preparation of molecular memory fields with self-assembled monolayers and printed electrodes | |
DE102006031339A1 (en) | Solid electrolyte memory structure, useful in conductive bridging random access memory, dynamic random access memory and programmable metallization cell, comprises a solid electrolyte layer, a metal layer, and a corrosion resistance layer | |
EP1771859A1 (en) | Resistive memory for low voltage applications | |
DE102023102405A1 (en) | ULTRA-THIN BARRIER RESISTIVE MEMORY DEVICE AND METHOD OF MANUFACTURE THEREOF | |
DE102007050604A1 (en) | Integrated circuit for use in memory module, has intermediate layer arranged between electrolyte and reactive layers, where parameter of intermediate layer is selected such that crystallization of electrolyte layer is partially suppressed | |
DE102004025676B4 (en) | Integrated semiconductor memory with organic selection transistor | |
DE10361713B4 (en) | Use of charge-transfer complexes of an electron donor and an electron acceptor as a basis for resistive storage and storage cell containing these complexes |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
PUAI | Public reference made under article 153(3) epc to a published international application that has entered the european phase |
Free format text: ORIGINAL CODE: 0009012 |
|
17P | Request for examination filed |
Effective date: 20060510 |
|
AK | Designated contracting states |
Kind code of ref document: A1 Designated state(s): DE FR GB |
|
17Q | First examination report despatched |
Effective date: 20061011 |
|
RIN1 | Information on inventor provided before grant (corrected) |
Inventor name: KASKO, IHAR Inventor name: SITARAM, ARKALGUD Inventor name: ENGL, REIMUND Inventor name: WALTER, ANDREAS Inventor name: SEZI, RECAI Inventor name: MALTENBERGER, ANNA Inventor name: NUETZEL, JOACHIM Inventor name: MIKOLAJICK, THOMAS Inventor name: PINNOW, CAY-UWE Inventor name: DEHM, CHRISTINE Inventor name: KRIZ, JAKOB |
|
DAX | Request for extension of the european patent (deleted) | ||
RBV | Designated contracting states (corrected) |
Designated state(s): DE FR GB |
|
17Q | First examination report despatched |
Effective date: 20061011 |
|
RAP1 | Party data changed (applicant data changed or rights of an application transferred) |
Owner name: INFINEON TECHNOLOGIES AG |
|
STAA | Information on the status of an ep patent application or granted ep patent |
Free format text: STATUS: THE APPLICATION IS DEEMED TO BE WITHDRAWN |
|
18D | Application deemed to be withdrawn |
Effective date: 20100601 |