EP1702369A1 - Semiconductor arrangement with non-volatile memories - Google Patents

Semiconductor arrangement with non-volatile memories

Info

Publication number
EP1702369A1
EP1702369A1 EP04802810A EP04802810A EP1702369A1 EP 1702369 A1 EP1702369 A1 EP 1702369A1 EP 04802810 A EP04802810 A EP 04802810A EP 04802810 A EP04802810 A EP 04802810A EP 1702369 A1 EP1702369 A1 EP 1702369A1
Authority
EP
European Patent Office
Prior art keywords
electrode
organic material
memory cell
insulating layer
volatile memory
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
EP04802810A
Other languages
German (de)
French (fr)
Inventor
Recai Sezi
Andreas Walter
Reimund Engl
Anna Maltenberger
Christine Dehm
Arkalgud Sitaram
Ihar Kasko
Joachim Nützel
Jakob Kriz
Thomas Mikolajick
Cay-Uwe Pinnow
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Infineon Technologies AG
Original Assignee
Infineon Technologies AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Infineon Technologies AG filed Critical Infineon Technologies AG
Publication of EP1702369A1 publication Critical patent/EP1702369A1/en
Withdrawn legal-status Critical Current

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C13/00Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00
    • G11C13/0002Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using resistive RAM [RRAM] elements
    • G11C13/0009RRAM elements whose operation depends upon chemical change
    • G11C13/0014RRAM elements whose operation depends upon chemical change comprising cells based on organic memory material
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C13/00Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00
    • G11C13/0002Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using resistive RAM [RRAM] elements
    • G11C13/0009RRAM elements whose operation depends upon chemical change
    • G11C13/0014RRAM elements whose operation depends upon chemical change comprising cells based on organic memory material
    • G11C13/0016RRAM elements whose operation depends upon chemical change comprising cells based on organic memory material comprising polymers
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K10/00Organic devices specially adapted for rectifying, amplifying, oscillating or switching; Organic capacitors or resistors having potential barriers
    • H10K10/701Organic molecular electronic devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K19/00Integrated devices, or assemblies of multiple devices, comprising at least one organic element specially adapted for rectifying, amplifying, oscillating or switching, covered by group H10K10/00
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K19/00Integrated devices, or assemblies of multiple devices, comprising at least one organic element specially adapted for rectifying, amplifying, oscillating or switching, covered by group H10K10/00
    • H10K19/80Interconnections, e.g. terminals
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K85/00Organic materials used in the body or electrodes of devices covered by this subclass
    • H10K85/10Organic polymers or oligomers
    • H10K85/111Organic polymers or oligomers comprising aromatic, heteroaromatic, or aryl chains, e.g. polyaniline, polyphenylene or polyphenylene vinylene
    • H10K85/113Heteroaromatic compounds comprising sulfur or selene, e.g. polythiophene
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K85/00Organic materials used in the body or electrodes of devices covered by this subclass
    • H10K85/60Organic compounds having low molecular weight
    • H10K85/611Charge transfer complexes
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K85/00Organic materials used in the body or electrodes of devices covered by this subclass
    • H10K85/60Organic compounds having low molecular weight
    • H10K85/649Aromatic compounds comprising a hetero atom

Definitions

  • US 4,371,883 describes a cell which has a film of an organic material between two metal electrodes, the electron acceptor with one of the electrodes, which consists of copper (Cu) or silver (Ag), a charge transfer complex (CT complex) forms.
  • the organic material described in US 4,371,883 is, for example, tetracyanoquinodimethane (TCNQ), tetracyanonaphthoquinodimethane (TNAP), tetracyanoethylene (TCNE), dichlorodicyanobenzoquinone (DDQ), or their derivatives.
  • TCNQ tetracyanoquinodimethane
  • TNAP tetracyanonaphthoquinodimethane
  • TCNE tetracyanoethylene
  • DDQ dichlorodicyanobenzoquinone
  • the cell according to US 4,371,883 has significant disadvantages, so that such a cell is out of the question for use in microelectronics.
  • a disadvantage of the cell according to US 4,371,883 is, among other things, that the film thickness considered necessary is between 1 and 10 ⁇ m.
  • the further disadvantage is that the ratio between the resistances of the ON or OFF state is very low and is only 66 and that the structure of the cell according to US 4,371,883 is not compatible with the conventional structures in microelectronics.
  • electrodes such as gold, magnesium or chrome are used in
  • the object of the present invention is to provide a semiconductor arrangement with a non-volatile memory cell, which enables a high integration density, is compatible with the common manufacturing processes in microelectronics, and has improved properties compared to the memory cells according to the prior art.
  • the advantages of the cell structure according to the invention are reversible switchability, a ratio between ON and
  • an insulator such as. B. silicon dioxide
  • the semiconductor arrangement according to the invention with a non-volatile memory cell consists of a substrate which has two electrodes and an organic material lying between them (identified in the drawings as material X), one electrode forming a connection with the organic material.
  • This "connection" can form with the formation of covalent or ionic bonds, but also with the formation of charge transfer complexes or weak bonds such as dipole-dipole interactions etc.
  • inorganic or inorganic-organic materials can also be used in special cases to form the above-mentioned compound.
  • materials X can also be used in special cases to form the above-mentioned compound.
  • These are especially sulfur, selenium or tellurium both in pure and in bound form (i.e. organo compounds of sulfur,
  • organic material is defined below as organic material.
  • the organic material is preferably selected from the following group:
  • R 1, R 2 , R 3 , R, R5, R ⁇ r R7, and R 8 can independently have the following meanings: H, F, Cl, Br, I (iodine), alkyl, alkenyl, alkynyl, O-alkyl , 0-alkenyl, O-alkynyl, S-alkyl, S-alkenyl, S-alkynyl, OH, SH, aryl, heteroaryl, O-aryl, S-aryl, NH-aryl, O-heteroaryl, S-heteroaryl, CN , N0 2 , - (CF 2 ) n - CF 3 , - CF ((CF 2 ) n CF 3 ) 2, - Q— (CF 2 ) n - F3, - CF (CF 3 ) 2 , - ⁇ (CF 3 ) 3 as well
  • n 0 to 10
  • Q —S—
  • R g , Rio, Rn, R ⁇ 2 can be independent of one another: F, Cl, Br, I, CN, N0 2 R 1 3, R ⁇ , R 15 , Ri ß , R ⁇ can be independent of one another: H, F, Cl, Br, I, CN, N0 2
  • Xi and X 2 can be independent of each other:
  • the substrate can be silicon, germanium, galium arsenide, galium nitride; any material that contains any compound of silicon, germanium or galium; a polymer (ie plastic; filled or unfilled, e.g. as a molded part or film), ceramic, glass or metal.
  • This substrate can also be a material that has already been processed and can contain one or more layers of contacts, conductor tracks, insulating layers and other microelectronic components.
  • the substrate is, in particular, silicon, which is already processed in accordance with the front end of line (FEOL), that is to say already contains electrical components such as transistors, capacitors, etc. — manufactured using silicon technology.
  • FEOL front end of line
  • the substrate can only serve as a carrier material or fill an electrical function (evaluation, control). In the latter case, there are electrical contacts between the substrate and the electrodes that are on the substrate be applied. These electrical contacts are, for example, contact holes (vias) filled with an electrical conductor. However, it is also possible for the contacts to be made from the lower to the upper layers by means of metallizations in the edge regions of the substrate or the chips.
  • a preferred device of the invention is the so-called hybrid memory, the substrate being processed in the conventional front-end-of-the-line (FEOL) CMOS silicon technology and the memory layer (s) then being applied to it.
  • the substrate is not limited to this.
  • the sandwich structure of the memory cell (s) described above, consisting of two electrodes and the organic material or the compound formed between them, can be applied to the substrate not only once but several times in a stacked form.
  • the different Layers can be separated from one another with an insulator. It is also possible that two, but not three, electrodes are used for two layers lying one above the other, ie the “middle” electrode is shared.
  • the cell according to the invention in the semiconductor arrangement can keep the applied state for a very long time without an applied voltage, so that the cell therefore as one non-volatile memory can serve. It could be shown that the semiconductor arrangement according to the invention with the cell according to the invention is still clearly legible or functional even after several thousand cycles of the ON / OFF change and can even maintain the applied state for several months.
  • the electrode which faces the substrate preferably consists of at least two layers, the layer which is in direct contact with the substrate (hereinafter referred to as layer 1 of the lower electrode), titanium ( Ti), titanium nitride (TiN), tantalum (Ta), tantalum nitride (TaN), tungsten (W), further TiW, TaW, WN or WCN as well as IrO, RuO, SrRuO or any combination of these materials - also in two or more Layers - can be. Furthermore, in combination with the above-mentioned layers or materials, thin layers of Si, TiNSi, SiON, SiO, SiC, SiN or SiCN can also be present. Thus, the layer 1 of the lower electrode itself can consist of more than one layer.
  • the other layer (hereinafter referred to as layer 2 of the lower electrode) has a metal, preferably copper, which forms the above-mentioned connection with the organic material (material X).
  • This layer (layer 2) that forms the connection can either be pure metal or an alloy of several metals. It is crucial, however, that this layer contains a metal that can form the connection with the organic material.
  • the preferred material is copper and its alloys with other metals. Silver and its alloys with other metals are also suitable.
  • Various methods are suitable for depositing the above-mentioned layers. These can e.g. B. PVD, CVD, PECVD, vapor deposition, electroplating, electroless plating or atomic layer CVD (ALCVD); however, the methods are not limited to these.
  • the second electrode can consist of one or more layers.
  • the second electrode is preferably aluminum, copper, silver, AlCu, AlSiCu, titanium, (Ti), titanium nitride (TiN), tantalum (Ta), tantalum nitride (TaN), tungsten (W), furthermore TiW, TaW, WN or WCN as well IrO, RuO, SrRuO or any combination of these materials - also in two or more layers - can be.
  • thin layers of Si, TiNSi, SiON, SiO, SiC, SiN or SiCN can also be present.
  • the layer 1 of the lower electrode itself can consist of more than one layer.
  • the type of electrodes suitable is not limited to the materials mentioned above.
  • the organic material which is arranged between the electrodes is preferably an electron acceptor, ie a molecule with electron-withdrawing atoms (e.g. -Cl, -F, -Br, -I) or groups (e.g. -CN, -C0-, -N0 2 ) and forms the corresponding connection with the lower electrode.
  • an electron acceptor ie a molecule with electron-withdrawing atoms (e.g. -Cl, -F, -Br, -I) or groups (e.g. -CN, -C0-, -N0 2 ) and forms the corresponding connection with the lower electrode.
  • Molecules which contain at least one of the above-mentioned atoms and / or groups in their framework are particularly preferred as the electron acceptor. Of course, several of the atoms or groups mentioned above can also be present.
  • the preferred organic materials are TCNQ and DDQ.
  • the compound is formed by a selective
  • the composition of the lower electrode and the organic material is not limited to TCNQ and copper, but can on the one hand be made of any organic material (which contains at least one of the above-mentioned atoms or groups and on the other hand can be made of any metal). that the electrode contains a metal that with the organic material or with a component of the organic material forms the connection.
  • the suitable organic material can e.g. B. correspond to one of the structures listed in Table 1. It is also possible for more than one of the molecules mentioned in Table 1 to form the compound with the metal. However, the number of electron acceptors is not limited to the molecules listed in Table 1.
  • connection z. B. copper sulfide
  • selenium or compounds containing selenium or tellurium or compounds containing tellurium can also form a connection with the lower electrode.
  • Threshold voltage threshold voltage at which the cell switches from OFF to ON.
  • Retention time Time period in which the memory state (ON or OFF) is maintained without applied voltage
  • Endurance number of the maximum possible write and
  • Imprint Number of the maximum possible (one-sided) write or erase pulses without the properties (threshold voltage, values for ON and OFF
  • the semiconductor arrangement according to the invention can also have a plurality of non-volatile memory cells and the plurality of cells can be built into the semiconductor arrangement with a high integration density.
  • a substrate is first provided.
  • the substrate can be silicon, germanium, galium arsenide, galium nitride as described above; any material that contains any compound of silicon, germanium or galiu; a polymer (ie plastic; filled or unfilled, e.g. as a molded part or film), ceramic, glass or metal.
  • This substrate can also be an already processed material and one or more layers Contacts, conductor tracks, insulating layers and other microelectronic components included.
  • the substrate is in particular silicon, which has already been processed in accordance with front-end-of-line (FEOL); H. already contains electrical components such as transistors, capacitors etc. - manufactured using silicon technology.
  • FEOL front-end-of-line
  • the substrate can only serve as a carrier material or fill an electrical function (evaluation, control). In the latter case, there are electrical contacts between the substrate and the electrodes which are applied to the substrate. These electrical contacts are, for example, contact holes (vias) filled with an electrical conductor. However, it is also possible for the contacts to be made from the lower to the upper layers by means of metallizations in the edge regions of the substrate or the chips.
  • the lower electrode is first applied to the substrate.
  • An insulating layer is optionally located between the substrate and the lower electrode, but this is particularly necessary if the substrate or the top layer of the substrate is electrically conductive.
  • this insulating layer can, for. B. be silicon oxide.
  • the lower electrode introduced into the substrate consists of at least two layers and can be produced by the methods described below.
  • the electrode can be deposited from the gas phase or from solution. For this purpose, methods such. B. PVD, CVD, PECVD, vapor deposition, electroplating, electroless plating or atomic layer CVD (ALCVD) are suitable.
  • the layers U1 and U2 are, for example, deposited one behind the other and then structured.
  • a photoresist is placed on the layer U2 and this is structured in accordance with customary processes (exposure, development, etc.). This structure is then transferred into the two layers by means of etching through a gas or a gas mixture or else through a liquid or liquid mixture.
  • the two layers can be etched with the same reagent (gas or liquid) or require different reagents.
  • the layers can also be structured using the so-called da ascene technique.
  • an insulating layer preferably silicon oxide lying above the substrate is structured by means of lithography and etching. After stripping the photoresist, the two layers are deposited, so that the trenches or holes formed during the structuring in the insulating layer are completely filled with the electrode materials. Then the part of these materials that is above the surface of the insulating layer is ground back.
  • the layer 2 of the lower electrode (U2) is preferably copper or copper-containing and forms the corresponding connection with the organic material, which is subsequently applied. It can also contain silver.
  • the organic material can e.g. B. be applied to the electrode in a solvent mixture. If the organic material is TCNQ, a solvent mixture of at least two solvents is preferably used, one of which is preferably acetonitrile or propionitrile or another solvent which contains -CN groups.
  • the second solvent is preferably a ketone, an alcohol, an ester, an aromatic, an aliphatic or cycloaliphatic or an ether and mixtures thereof.
  • a ketone an alcohol, an ester, an aromatic, an aliphatic or cycloaliphatic or an ether and mixtures thereof.
  • the duration of this treatment can be between 10 seconds and 10 minutes.
  • the treatment temperature is between -20 and 100 ° C.
  • Solvent mixtures are also suitable for many substances mentioned in Table 1.
  • the proportion of the solvent containing the -CN group is 0.01 to 65 vol%. Its share depends on the composition of the entire solution. This solution can also contain more than two solvents, as well as more than one organic material (i.e. material X).
  • This rinsing step serves in particular to remove the excess TCNQ from the substrate, so that only the connection formed in the area the electrode remains because the connection can only be formed in this area.
  • the organic material can also be evaporated onto the lower electrode. After vapor deposition, it is necessary to subject the substrate to thermal treatment in order to make the connection. Only after this temperature treatment can the substrate be rinsed with a solvent to remove the excess TCNQ. If the organic material is evaporated onto the electrode, it is advantageous if the evaporation time is between 2 and 30 minutes.
  • the pressure to be used is in a range between 0.000001 to 200 bar and the vapor deposition is carried out at a substrate temperature between -50 to 150 ° C. It is also possible for not just one, but two or more organic materials X to be vapor-deposited onto the electrode simultaneously or in succession.
  • Memory cells can be further improved if the compound formed is post-treated in a cell produced by the method described above, preferably immediately after the formation of the compound, sometimes also during the formation of the compound.
  • Post-treatment is accomplished by contacting a solution of an after-treatment reagent with the compound.
  • an after-treatment reagent amines, amides, ethers, ketones, carboxylic acids, thioethers, esters, aromatics, heteroaromatics, alcohols or various sulfur- or selenium-containing compounds such as sulfur heterocycles, compounds with -SO groups or thiols are particularly suitable, however the number of suitable reagents not only for those limited.
  • the reagents can also contain unsaturated groups.
  • aftertreatment reagents are diethylamine, triethylamine, dimethylaniline, cyclohexylamine, diphenylamine, dimethylformamide, dimethylacetamide, dimethyl sulfoxide, acetone, diethyl ketone, diphenyl ketone, benzoic acid phenyl ester, benzofuran, N-methylpyrrolidone, gamma-butyl lactone, toluene moleolene, toluene , Oxazole, benzimidazole, benzopxazole, quinoline, quinoxaline, fulvalene, furan, pyrrole, thiophene or diphenyl sulfide.
  • Treatment time is preferably between 15 s to 15 min.
  • a temperature of preferably -30 to 100 ° C either in air or under an inert gas, such as. B. nitrogen or argon.
  • the aftertreatment reagent can be built into the storage cell or it can attach to the cell.
  • the existence of the aftertreatment reagent can be demonstrated, for example, after thermal desorption at higher temperatures using gas chromatography GC or mass spectrometry MS.
  • the compound can be contacted with gaseous (or steam) aftertreatment reagent.
  • gaseous (or steam) aftertreatment reagent In air or under an inert gas, such as. B. nitrogen or argon, the aftertreatment runs at a pressure of 0.00001 to 1000 bar at a substrate temperature between -30 and 150 ° C. A temperature step can then follow, but is not necessary in every case.
  • a cell which has been post-treated in this way has an improved (ie lower) threshold voltage when switching the cell by up to 40%, a ratio between the ON and OFF state which is ten times higher than that of a cell which has not been post-treated, and a factor of up to 100 times higher endurance as well as improved I print characteristics and an improvement in shift adhesion by up to 20%.
  • the invention relates to a
  • the cell according to the invention can lie in the semiconductor arrangement between a word line and a bit line which cross perpendicularly.
  • the cell is then switched to the ON or OFF state by applying corresponding voltages to the word line and the bit line. This allows the state of the cell to be changed.
  • the ON or OFF states correspond, for example, to the states with lower or higher electrical resistance.
  • the electrodes are manufactured in such a way that they serve as a word or bit line. But it can also be that an (additional) layer of the upper and / or lower electrode is only applied in the area of the cell - in direct contact with the connection - ie not along the entire conductor track (word or bit line). This applies in particular to the via concept described below.
  • the individual memory cells lie directly between intersecting conductor tracks and bit lines or word lines.
  • the lower electrodes can be completely covered with the connection and the upper electrodes can be applied thereon
  • the cross point cells the size of which is defined solely by the width of the electrodes, are created at the intersection points, but it is also possible that the lower electrodes are not completely covered with the connection, but only at the points where the cross point cell This is possible either through the integration process, as will be described later, or through a direct structuring of the connection.
  • Each “level” of such a memory cell array then contains the associated upper and lower electrodes and the connection between them. It is possible for an electrode to be shared by two levels, for example the upper electrode of the first level can be used simultaneously serve as the lower electrode of the second level lying above it. The prerequisite is, of course, that this electrode consists of at least two suitable layers. Depending on requirements, an insulating layer can also be introduced between two levels.
  • bit size being of the order of “4F 2 / n”, where n is the number of individual, stacked levels of memory cell arrays and “F” is the width (smallest possible structure of the ones used) Technology) means.
  • FIG. 1 a shows a via concept in which the size of the cell is precisely defined and is not dependent on the size (i.e. width) of the crossing conductor tracks;
  • 1b shows an integration concept in which a cell size of approximately 4F 2 can be achieved (cross-point concept);
  • Kl denotes a contact (contact hole filled with a conductor material, preferably made of tungsten)
  • B the position 1 of the lower electrode (i.e. Ul according to the previous sketch)
  • C a cover layer
  • I an insulating layer
  • M a conductor track.
  • K2 denotes a contact, i. H. a contact hole that was filled with the same materials as the conductor track M2. This is done e.g. B. in the dual damascene process, in which first the layer 1 is simultaneously deposited in contact holes K2 and trenches and then the layer 2. The filled trenches then form the conductor tracks or
  • the layer 1 can also preferably consist of two or more layers, e.g. B. tantalum nitride and tantalum.
  • the cover layer C is preferably Si, TiNSi, SiON, SiO, SiC, SiN, SiCN and any combination of these layers or materials.
  • D is either a combination of two superimposed contacts or a contact and a pad to make electrical contact with the substrate and / or the upper levels.
  • the substrate can also be one of the alternatives described earlier.
  • An insulating layer preferably silicon oxide, is then applied to such a substrate, as described in FIG. 2.
  • FIG. 3 shows how the contact holes L are opened in this insulating layer by means of photolithography and etching along the conductor tracks in order to arrive at the structure as shown in FIG. 3a.
  • the cover layer under the contact holes is also opened so that, for example, the copper surface is free there. After the copper surface is exposed, the organic material can be applied to make the connection.
  • FIG. 4 shows how the organic material, in the special case TCNQ, is deposited on the substrate surface (FIG. 4 relates to vacuum evaporation).
  • TCNQ can be deposited using a vacuum process, such as vapor deposition, or by a solution of TCNQ.
  • the exact parameters of how the organic material is applied to the electrode are described in the general part of the application. When the organic material from the solvent is contacted with the electrode, the desired one is selectively formed only over the electrode
  • connection if the organic material is deposited on the electrode by means of vapor deposition, a Heat treatment is done to make the connection.
  • Fig. 5 shows how the compound is selectively formed in the contact holes either after the thermal treatment if the organic material is evaporated or immediately after the solution of the organic material is brought into contact with the electrode.
  • the insulation layer does not react with TCNQ.
  • Figure 6 shows the substrate surface after rinsing with a solvent such as acetone.
  • the solvent removes the excess organic material that has not formed a compound.
  • the substrate surface can be rinsed by dipping, spraying or spinning (in the spin coater). The dimensions of the cell are clearly defined and neighboring cells are isolated from each other by the insulating layer.
  • FIG. 7 shows how a further layer of insulating layer can be applied, and in particular how the - newly created - substrate surface can be structured for the production of the conductor tracks.
  • the structuring is preferably carried out using the conventional dual Cu damascene structuring.
  • the trenches and contact holes are simultaneously filled with the materials of the corresponding layers and then ground.
  • the structure shown in FIG. 8 is obtained.
  • Layer B is preferably made of tantalum nitride or a combination of tantalum and tantalum nitride.
  • the webs M2 and M3 produced in FIG. 8 are perpendicular to one another. This gives the structure shown in FIG. 1 a (with M2 as the bottom electrode, M3 as the top electrode).
  • FIG. 9 By applying a further layer of the insulating layer and repeating the steps explained in FIGS. 3 to 8, a structure is obtained which is shown in FIG. 9.
  • the conductor track M3 can serve both as an upper electrode for the lower cell and as a lower electrode for the upper cell.
  • M4 is the top electrode of the top cell and is perpendicular to M3.
  • the structure shown in Fig. 9 is similar to Fig. Lc, with the
  • Fig. Lc shows a stack (structure with more than one cell level) based on the cross point concept
  • Fig. 9 shows a stack based on the via concept.
  • the advantage of the latter structure is that the cell size is precisely defined and that the lateral isolation of the individual memory cells by means of the insulating layer prevents crosstalk from the neighboring cells.
  • the disadvantage of this structure is that the bit size is more than 4F 2 / n (lower integration density).
  • FIG. 10 shows how further processing should be carried out in order to apply an insulating layer between the first and second cell levels (ie M3 would then no longer serve as a common electrode for two cells).
  • processing would take place according to FIGS. 3 to 8 in order to produce the next cell level.
  • 11 to 19 show an integration concept for the semiconductor arrangement according to the present invention, the integration concept allowing a bit size of 4F 2 / n.
  • FIG. 11 shows a substrate similar to that in FIG. 2.
  • FIGS. 2 and 11 make it clear that the substrate can be different. It is also possible to start with a substrate as shown in FIG. 2.
  • Fig. 11a shows the top view of the structure shown in Fig. 11.
  • the substrate can either be a silicon wafer or silicon, germanium, galium arsenide, galium nitride; any material that contains any compound of silicon, germanium or galium; be a polymer, ceramic, glass or metal.
  • the cover layer C is opened by means of photolithography and etching in order to expose the conductor tracks.
  • the connection is to be formed later via these conductor tracks.
  • FIG. 13 shows the structure after the organic material X is deposited.
  • the connection has not yet formed over the conductor track, since the organic material was evaporated using a vacuum process. Only after the substrate obtained in this way has been subjected to a temperature treatment does the connection form over the conductor track. Since the connection between the metal, e.g. B. copper, and the organic material is selectively formed only over the metal (Fig. 14), the opening in the cover layer can be larger than the width of the conductor track Ml and the overlay tolerances in photolithography should also be taken into account.
  • the organic material can as described above, either by means of a vacuum process or by treatment with a solvent. If the organic material is applied to the substrate in the solvent, the structure shown in FIG. 13 is omitted.
  • the substrate is then rinsed with acetone, for example, to remove the excess organic material.
  • acetone for example, to remove the excess organic material.
  • the result of this step is described in FIG. 15.
  • the trapezoidal structure of the connection is only schematic. After the connection has formed over the entire length of the conductor track, a layer of insulation is applied and ground, e.g. B. using CMP to get to the structure shown in Fig. 16a.
  • contact holes for the contacts and trenches for the conductor tracks can be opened using common lithography and etching techniques.
  • the conductor tracks which are now to be formed run transversely to the conductor tracks drawn as Ml in FIG. 11.
  • the structuring can take place, for example, by means of dual Cu damascene structuring.
  • T1 is either a contact hole or a trench for a pad and L is a contact hole.
  • T2 is a trench for a conductor track, which must show an expansion at least by the amount of the adjustment tolerances above the contact hole.
  • 16c shows the top view of the structure shown in FIG. 16b. The hatched area shows the area where the formed connection is visible through the created trench T2.
  • the trenches and holes can be filled and planarized using the dual Cu damascene technique.
  • B here is position 1 of the upper electrode, the preferably consists of tantalum nitride or a combination of tantalum and tantalum nitride.
  • the layer 2 of the upper electrode preferably forms copper.
  • the webs M1 and M2 are perpendicular to one another. The memory cells are thus defined wherever the paths intersect.
  • D is either a combination of two contacts K or a contact and a pad, and is used to wire the different conductor tracks in different planes with the substrate -.
  • the conductor track M2 (consisting for example of Ta and Cu or Ta, TaN and Cu) can serve both as an upper electrode for the lower cell and as a lower electrode for the upper cell.
  • M3 is the top electrode of the top cell and is perpendicular to M2.
  • the structure shown in FIG. 18 corresponds to FIG. 1c.
  • a conductor track such as M2 does not necessarily have to serve as an electrode for upper and lower cells. It is also possible that one does not form a connection on the conductor track M2, but instead applies a cover layer and then an insulating layer and first generates and contacts the conductor track plane M3. After applying a further cover layer, one can continue as shown in FIG. 12. In such a construction, each trace serves only as an upper or a lower electrode, i.e. H. no common electrodes for two superimposed cell levels.
  • the advantage of this concept is that a bit size of 4F 2 / n can be achieved.
  • the disadvantage is that organic material is deposited over the entire conductor track so that the cells are not separated from one another by a dielectric. This means that the cells are separated from each other by dielectric only in one direction (e.g. x direction), but not in the y direction, ie along the conductor track.
  • the following embodiment shows an alternative to producing the integration concept according to FIGS. 11 to 18 or 19.
  • an insulating layer is deposited and ground back to the level of the connection formed, which is the structure which is shown in Fig. 19a.
  • the substrate is z. B. argon plasma, etched for about 20 s to 5 min.
  • the connection is etched much faster than the insulation layer, so that a height difference is generated between the connection layer and the insulation, as shown in FIG. 20.
  • This selective etching can also be carried out by wet chemical means, for example by treating the substrate with a mixture of ammonia and a solvent, such as, for example, dimethylformamide.
  • This step is to make room for another protective layer SC which is deposited on the connection.
  • This protective layer is initially deposited over the entire area, as shown in FIG. 21 a, but after chemical-mechanical planarization (CMP), this layer is only retained over the conductor track M 1 or over the connection (FIG. 21 b).
  • This layer preferably consists of the same material as the upper electrode or the layer 1 of the upper electrode if the upper electrode consists of several layers. However, it can also consist of one of the other electrode materials already mentioned. Then one another insulating layer applied to get to the structure as shown in Fig. 21c.
  • FIG. 22b using conventional lithography and etching techniques such. B. Dual Damascene technology, contact holes for the contacts and trenches for the conductor tracks or pads can be opened, as already described in Fig. 16b.
  • layer B is somewhat thicker over the connection.
  • a covering layer can be applied again and then proceed according to FIG. 15 and a construction such as e.g. B. in Fig. 18 and 22b. If the same material as the protective layer SC is used as for the layer B, the layer B in FIG. 22b is thicker than in FIG. 18. If different materials are used for the layers B and SC, two layers are obtained, as in FIG 22b.
  • the structure shown in FIG. 22b corresponds to the structure of FIG. 18 with an additional SC layer.
  • FIGS. 19a to 22b or 22c differs from the method shown in FIGS. 11a to 19 in that the protective layer is applied selectively to the connection. This has the particular advantage that the connection through this protective layer, for. B. is protected during the etching processes.
  • the following embodiment shows an alternative to producing an integration concept for the semiconductor arrangement according to the invention.
  • the first conductor track which is also the represents the lower electrode for the cell according to the invention
  • an insulating layer is deposited, and only then is the connection formed (ie the step carried out in FIG. 16a takes place before the step carried out in FIGS. 13 and 14).
  • This concept results in a reduction in process complexity.
  • a cover layer C is applied first, then an insulating layer, preferably made of silicon dioxide, in order to arrive at the structure as shown in FIG. 24.
  • the trenches for the later conductor tracks are then opened in this insulating layer by means of photolithography and etching, as shown in FIG. 25.
  • the cover layer under the trenches is also opened, so that the copper surface is free at the points where the (upper) trenches intersect with the (underneath) copper tracks.
  • the organic material is then deposited on the copper surface or the connection is formed on this substrate surface either by means of a vacuum process or by treatment with a solution of the organic material. If the deposition of the organic material is carried out by a vacuum technique, then one
  • the z. B. can be carried out on a hot plate or in the oven, so that the connection is formed selectively over copper, as shown in Fig. 26, since the insulating layer does not react with the organic material.
  • the substrate surface is then rinsed with a solvent such as acetone. This can be done by diving, spraying or in the spin coater.
  • a solvent such as acetone.
  • This can be done by diving, spraying or in the spin coater.
  • the dimensions of the cell are clearly defined and neighboring cells are separated from one another by the insulating layer, as shown in FIG. 26. In this case, the connection is not made along the entire conductor tracks, but only locally at the crossing points.
  • the trenches are then filled with the electrode material or materials (if the electrode consists of more than one layer). After that, grinding can be done as an option.
  • 27a and 27b show the two possibilities, i. H. with and without grinding (polishing) the upper electrode.
  • Single layers can consist of several layers if it is desirable.
  • the structures shown in FIGS. 28 to 36 explain in more detail how the individual layers can be built up.
  • Fig. 28 shows the substructure in which FEOL and MOL processes are carried out and are provided with contacts K1 at the end.
  • the contacts Kl are preferably made of tungsten.
  • the structure according to FIG. 28 is merely an alternative that can serve as a substrate for the desired structure with the memory cells according to the invention.
  • a Cu CMP stop layer S1 made of z. B. silicon carbide (SiC) and to protect them during the lithography process, another protective layer J2, which preferably again consists of SiO, are applied.
  • the state after the layers J1, S1 and J2 have been deposited is shown in FIG.
  • the layers J1, S1 and J2 are structured by means of photolithography and RIE (reactive ion etching), as a result of which the contacts K1 are exposed, as shown in FIG. 30.
  • RIE reactive ion etching
  • the two-layer lower electrode is applied using a standard Cu damascene process.
  • the barrier layer B1 which consists of common barrier materials or a combination thereof, is first deposited.
  • copper is deposited using an ECD (electrochemical deposition) process and, if necessary, subsequently thermally treated. This is followed by the chemical mechanical polishing of copper and of the barrier layer, a high selectivity between the copper and the barrier CMP being necessary.
  • the CMP stop layer S1 is necessary in order to ensure a selective barrier CMP process. Otherwise the CMP process must be carried out unselectively.
  • the structure thus obtained is shown in Fig. 31.
  • a copper diffusion barrier S4 preferably made of HDP (high density plasma) Si and N, can be applied to the position of the conductor track (M1) generated in this way (not shown in FIGS. 31 and 32, but later in FIG. 41).
  • an insulating layer J3 which is preferably applied from SiO.
  • a CMP stop layer S2 made of z. B. SiC applied, and another protective layer J4 are deposited to protect them during the lithography process.
  • the protective layer J4 is also made of SiO. The structure thus obtained is shown in Fig. 32.
  • trenches are created that are at a 90 ° angle in this plane to the MI tracks in the previous plane.
  • the trenches produced are shown in FIG. 33.
  • the layers S2 and J3 and possibly J4 are structured by means of lithography and RIE (reactive ion etching), as a result of which the Ml tracks are partially exposed.
  • the organic material is now deposited on the exposed areas of the Ml tracks by a method as described in the previous embodiments in order to achieve the connection according to the invention.
  • the structure thus generated is shown in Fig. 34. It corresponds to FIG. 26, with the difference that more details of the layers are shown in FIG. 34. Then, for example, it can continue as in FIG. 27a. After applying the required number of levels according to Figs.
  • a final (uppermost) conductor track M2 can be built up, for example by depositing suitable electrode materials over the entire surface.
  • suitable electrode materials such as. B. Ti / AICu / TiN can be used.
  • the structure obtained is in Fig. 35 displayed. The structuring is done here by an RIE process.
  • the last layer is a standard passivation layer P (e.g. SiO, SiN, SiON, SiC and any combination of these layers) deposited and the bond pads opened.
  • P e.g. SiO, SiN, SiON, SiC and any combination of these layers
  • FIGS. 11 to 19 show a variant of the concept described in FIGS. 11 to 19, a detailed layer structure being shown below.
  • An insulating layer J1 preferably made of SiO, is applied to the substrate. If necessary, a Cu-CMP stop layer S1, z. B. from SiC and for their protection during the lithography process protective layer J2, preferably again deposited from SiO.
  • the structure thus obtained corresponds to the arrangement shown in FIG. 37.
  • the dielectric is structured in order to achieve a structure as shown in FIG. 38.
  • the conductor track that forms the lower electrode is separated using a standard Cu damascene process.
  • the lower electrode consists of at least two layers.
  • the barrier layer B1 is separated from common ones
  • the organic material can now be selectively deposited on the conductor track, as already shown in Figs. 13-15 explained.
  • the structure thus obtained is shown in Fig. 40.
  • the organic material can be deposited as described in FIG. 13.
  • a layer can be deposited, the z. B. consists of HDP (High Density Plasma) SiN.
  • This layer serves as a copper diffusion barrier S4.
  • a further insulating layer J3, which preferably consists of SiO, can now be deposited on this layer.
  • a CMP stop layer S3 can be deposited on the dielectric layer, which e.g. consists of SiC. To protect the S3 layer during the
  • a further protective layer J4 preferably also made of SiO, can be deposited in the lithography process steps.
  • the structure thus obtained is shown in Fig. 41.
  • the next step is to generate the trenches for the conductor tracks to create the top electrodes.
  • the structure after the etching is shown in FIG. 42.
  • the trenches to be generated are at a 90 ° angle to the Ml tracks in the previous levels.
  • the final (uppermost) conductor track M2 can be constructed, as shown in FIG. 43.
  • a passivation layer P is deposited as the last layer in order to arrive at the structure shown in FIG. 44.
  • the passivation layer P can be SiO, SiN, SiON or SiC as well as any combination of these layers.
  • the conductor track M1 is treated with the organic material arranged thereon after the CMP process, the connection between the organic material and the metal being produced selectively on the copper tracks.
  • a final conductor track M2, which serves as an electrode, is constructed by depositing suitable electrode materials over the entire surface, as already described in FIG. 34.
  • a so-called “low k” material can also be used as the insulating layer I or J.
  • k means the dielectric constant.
  • Polymers such as polyimides, polyquinolines, polyquinoxalines,
  • Polybenzoxazoles polyimidazoles, aromatic polyethers.
  • Polyarylenes including the commercial dielectric SILK, polynorbornenes; furthermore copolymers of these materials; porous silicon-containing materials, porous organic materials (porous polymers), porous inorganic-organic materials.
  • I insulating layer which has several layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Nanotechnology (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Materials Engineering (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Semiconductor Memories (AREA)

Abstract

The invention relates to a semiconductor arrangement comprising at least one non-volatile memory cell that is provided with a first electrode which consists of at least two layers. Said semiconductor arrangement further comprises an organic material that forms a bond with the layer of the first electrode, which is in direct contact therewith. The invention also relates to a method for producing said non-volatile memory cell, a semiconductor arrangement comprising a plurality of inventive memory cells, and a method for the production thereof.

Description

Beschreibungdescription
Halbleiteranordnung mit nichtflüchtigen Speichern.Semiconductor device with non-volatile memories.
Es sind aus dem Stand der Technik verschiedene Zellen bekannt, die bei der Herstellung von Halbleitern verwendet werden können. US 4,371,883 beschreibt eine Zelle, die einen Film aus einem organischen Material zwischen zwei Metallelektroden aufweist, wobei der Elektronenakzeptor mit einer der Elektroden, die aus Kupfer (Cu) oder Silber (Ag) besteht, einen Charge-Transfer-Komplex (CT-Komplex) bildet. Das in der US 4,371,883 beschriebene organische Material ist zum Beispiel Tetracyanoquinodimethan (TCNQ) , Tetracyanonaphthoquinodimethan (TNAP) , Tetracyanoethylen (TCNE) , Dichlordicyanobenzoquinon (DDQ) , oder deren Derivate. Unter Verwendung eines elektrischen Feldes kann die Zelle zwischen zwei Zuständen, die verschiedene Widerstände aufweisen, geschaltet werden (ON- bzw. OFF-Zustand) , so dass diese zwei Zustände beispielsweise als "0" oder "1" gewertet werden können.Various cells are known from the prior art which can be used in the production of semiconductors. US 4,371,883 describes a cell which has a film of an organic material between two metal electrodes, the electron acceptor with one of the electrodes, which consists of copper (Cu) or silver (Ag), a charge transfer complex (CT complex) forms. The organic material described in US 4,371,883 is, for example, tetracyanoquinodimethane (TCNQ), tetracyanonaphthoquinodimethane (TNAP), tetracyanoethylene (TCNE), dichlorodicyanobenzoquinone (DDQ), or their derivatives. Using an electric field, the cell can be switched between two states which have different resistances (ON or OFF state), so that these two states can be evaluated as "0" or "1", for example.
Die Zelle gemäß US 4,371,883 weist aber wesentliche Nachteile auf, so dass eine solche Zelle zur Verwendung in der Mikroelektronik nicht in Frage kommt. Ein Nachteil der Zelle gemäß US 4,371,883 besteht unter anderem darin, dass die als notwendig erachtete Filmstärke zwischen 1 und 10 μm liegt. Der weitere Nachteil ist, dass das Verhältnis zwischen den Widerständen des ON- bzw. OFF-Zustands sehr niedrig ist und lediglich 66 beträgt sowie, dass der Aufbau der Zelle gemäß US 4,371,883 mit den gängigen Aufbauten in der Mikroelektronik nicht kompatibel ist. So werden beispielsweise Elektroden wie Gold, Magnesium oder Chrom inHowever, the cell according to US 4,371,883 has significant disadvantages, so that such a cell is out of the question for use in microelectronics. A disadvantage of the cell according to US 4,371,883 is, among other things, that the film thickness considered necessary is between 1 and 10 μm. The further disadvantage is that the ratio between the resistances of the ON or OFF state is very low and is only 66 and that the structure of the cell according to US 4,371,883 is not compatible with the conventional structures in microelectronics. For example, electrodes such as gold, magnesium or chrome are used in
Ersatzbiatt der Chipherstellung vermieden. Der entscheidende Nachteil ist aber, dass die Zelle als eine nichtflüchtige Speicherzelle nicht verwendet werden kann, da eine solche Zelle nach dem Abschalten des elektrischen Feldes aus dem ON-Zustand in den OFF-Zustand übergeht (US 4,371,883, Spalte 5, Zeilen 15-17). Die Übergangszeit ist von der Filmdicke abhängig. Weitere Ausführungen solcher Zellen sind z. B. in US 4,652,894 oder 5,161,149 beschrieben.Ersatzbiatt chip manufacture avoided. The decisive disadvantage, however, is that the cell cannot be used as a non-volatile memory cell, since such a cell changes from the ON state to the OFF state after the electrical field has been switched off (US 4,371,883, column 5, lines 15-17 ). The transition time depends on the film thickness. Further versions of such cells are e.g. B. in US 4,652,894 or 5,161,149.
Die Aufgabe der vorliegenden Erfindung besteht darin, eine Halbleiteranordnung mit einer nichtflüchtigen Speicherzelle bereitzustellen, die eine hohe Integrationsdichte ermöglicht, mit den gängigen Herstellungsverfahren in der Mikroelektronik kompatibel ist, und die verbesserten Eigenschaften gegenüber den Speicherzellen gemäß dem Stand der Technik aufweist.The object of the present invention is to provide a semiconductor arrangement with a non-volatile memory cell, which enables a high integration density, is compatible with the common manufacturing processes in microelectronics, and has improved properties compared to the memory cells according to the prior art.
Diese Aufgabe wurde durch den Gegenstand des Patentanspruchs 1 gelöst.This object was achieved by the subject matter of patent claim 1.
Die Vorteile des erfindungsgemäßen Zellenaufbaus sind reversible Schaltbarkeit, ein Verhältnis zwischen ON- undThe advantages of the cell structure according to the invention are reversible switchability, a ratio between ON and
OFF-Widerständen bis zu 1000 oder höher, nicht-destruktives Lesen, da keine Notwendigkeit des Wiederbeschreibens nach dem Lesen besteht, da die Zelle nach resistivem Prinzip arbeitet, Skalierbarkeit bis zu einer Fläche von 40 n 2, nichtflüchtige Informationsspeicherung, Funktionalität bis herunter zuOFF resistors up to 1000 or higher, non-destructive reading, since there is no need to rewrite after reading, since the cell works according to the resistive principle, scalability up to an area of 40 n 2 , non-volatile information storage, functionality down to
Filmstärken von ca. 30nm, eine thermische Stabilität bis zu 350 °C, die Funktionsfähigkeit der Zelle auch bei einer Temperatur von bis zu 200 °C, gute Haftung der Schichten aneinander, Schaltbarkeit in Gegenwart von Luft und Feuchtigkeit, selektive Formation der elektrischen schaltbaren chemischen Substanz direkt über der Elektrode, so dass in Gegenwart eines Isolators, wie z. B. Siliziumdioxid, der Komplex nur über der Elektrode gebildet wird, einfache und kostengünstige Erzeugung des Komplexes und die Eignung der Speicherzelle für die Herstellung in mehreren Lagen, wie z. B. in der Cu-Damascene-Technik.Film thicknesses of approx. 30nm, thermal stability up to 350 ° C, the functionality of the cell even at a temperature of up to 200 ° C, good adhesion of the layers to each other, switchability in the presence of air and moisture, selective formation of the electrical switchable chemical Substance directly above the electrode, so that in the presence of an insulator, such as. B. silicon dioxide, the complex is formed only over the electrode, simple and inexpensive generation of the complex and the suitability of the memory cell for the production in multiple layers, such as. B. in the Cu Damascene technique.
Die erfindungsgemäße Halbleiteranordnung mit einer nichtflüchtigen Speicherzelle besteht aus einem Substrat, das zwei Elektroden und ein dazwischen liegendes organisches Material (in den Zeichnungen als Material X gekennzeichnet) aufweist, wobei eine Elektrode mit dem organischen Material eine Verbindung bildet. Diese „Verbindung" kann unter Bildung kovalenter oder ionischer Bindungen entstehen, aber auch unter Bildung von Charge Transfer Komplexen oder von schwachen Bindungen wie Dipol-Dipol-Wechselwirkungen etc.The semiconductor arrangement according to the invention with a non-volatile memory cell consists of a substrate which has two electrodes and an organic material lying between them (identified in the drawings as material X), one electrode forming a connection with the organic material. This "connection" can form with the formation of covalent or ionic bonds, but also with the formation of charge transfer complexes or weak bonds such as dipole-dipole interactions etc.
Außer organischen Materialien können in besonderen Fällen auch anorganische bzw. anorganisch-organische Materialien (ebenfalls als Material X) verwendet werden, um die oben genannte Verbindung zu bilden. Diese sind insbesondere Schwefel, Selen oder Tellur sowohl in reiner, als auch in gebundener Form (d. h. organo-Verbindungen von Schwefel,In addition to organic materials, inorganic or inorganic-organic materials (also as material X) can also be used in special cases to form the above-mentioned compound. These are especially sulfur, selenium or tellurium both in pure and in bound form (i.e. organo compounds of sulfur,
Selen oder Tellur sowie gegebenenfalls Oligo- oder Polymere) . Da jedoch vorwiegend organische Materialien verwendet werden, wird im folgenden das Material als organisches Material definiert. Vorzugsweise wird das organische Material aus der folgenden Gruppe ausgewählt:Selenium or tellurium and optionally oligo- or polymers). However, since mainly organic materials are used, the material is defined below as organic material. The organic material is preferably selected from the following group:
wobei Ri, R2, R3, R , R5, Rβr R7 , und R8 unabhängig voneinander die folgende Bedeutung haben können: H, F, Cl, Br, I (Jod), Alkyl, Alkenyl, Alkinyl, O-Alkyl, 0- Alkenyl, O-Alkinyl, S-Alkyl, S-Alkenyl, S-Alkinyl, OH, SH, Aryl, Heteroaryl, O-Aryl, S-Aryl, NH-Aryl, O-Heteroaryl, S- Heteroaryl, CN, N02, — (CF2)n— CF3, — CF ( (CF2) nCF3) 2, — Q— (CF2)n- F3, — CF(CF3)2, -^(CF3)3 sowie where R 1, R 2 , R 3 , R, R5, Rβ r R7, and R 8 can independently have the following meanings: H, F, Cl, Br, I (iodine), alkyl, alkenyl, alkynyl, O-alkyl , 0-alkenyl, O-alkynyl, S-alkyl, S-alkenyl, S-alkynyl, OH, SH, aryl, heteroaryl, O-aryl, S-aryl, NH-aryl, O-heteroaryl, S-heteroaryl, CN , N0 2 , - (CF 2 ) n - CF 3 , - CF ((CF 2 ) n CF 3 ) 2, - Q— (CF 2 ) n - F3, - CF (CF 3 ) 2 , - ^ (CF 3 ) 3 as well
Für n gilt: n = 0 bis 10 Für Q gilt: —S—The following applies to n: n = 0 to 10 The following applies to Q: —S—
Rg, Rio, Rn, Rχ2 können unabhängig voneinander sein: F, Cl, Br, I, CN, N02 R13, Rχ , R15, Riß, Rχ können unabhängig voneinander sein: H, F, Cl, Br, I, CN, N02 R g , Rio, Rn, Rχ 2 can be independent of one another: F, Cl, Br, I, CN, N0 2 R 1 3, Rχ, R 15 , Ri ß , Rχ can be independent of one another: H, F, Cl, Br, I, CN, N0 2
Xi und X2 kann unabhängig voneinander sein:Xi and X 2 can be independent of each other:
Für Y gilt : 0, S , Se Für Zi und Z2 gilt unabhängig voneinander: CN, N02 For Y: 0, S, Se For Zi and Z 2 the following applies independently: CN, N0 2
Das Substrat kann Silizium, Germanium, Galiumarsenid, Galiu nitrid; ein beliebiges Material, das eine beliebige Verbindung von Silizium, Germanium oder Galium enthält; ein Polymer (d. h. Kunststoff; gefüllt oder ungefüllt, z. B. als Formteil oder Folie) , Keramik, Glas oder Metall sein. Dieses Substrat kann auch ein bereits prozessiertes Material sein und ein bis mehrere Lagen aus Kontakten, Leiterbahnen, Isolierschichten und weiteren mikroelektronischen Bauteilen enthalten. Das Substrat ist insbesondere Silizium, das bereits entsprechend Front-End-of-Line (FEOL) prozessiert ist, d. h. bereits elektrische Bauteile wie Transistoren, Kondensatoren etc. - gefertigt in Siliziumtechnik - enthält. Zwischen dem Substrat und der nächsten Elektrode befindet sich vorzugsweise eine Isolierschicht; insbesondere dann, wenn das Substrat elektrisch leitend ist.- Jedoch können auch zwischen dem Substrat und der nächsten Elektrode mehrere Schichten sein. Das Substrat kann nur als Trägermaterial dienen oder aber eine elektrische Funktion (Auswertung, Steuerung) füllen. Für den letztgenannten Fall gibt es elektrische Kontakte zwischen dem Substrat und den Elektroden, die auf das Substrat aufgebracht werden. Diese elektrischen Kontakte sind beispielsweise mit einem elektrischen Leiter gefüllte Kontaktlöcher (Vias) . Es ist jedoch auch möglich, dass die Kontakte von unteren in die oberen Lagen, durch Metallisierungen in den Randbereichen des Substrats bzw. der Chips erfolgen.The substrate can be silicon, germanium, galium arsenide, galium nitride; any material that contains any compound of silicon, germanium or galium; a polymer (ie plastic; filled or unfilled, e.g. as a molded part or film), ceramic, glass or metal. This substrate can also be a material that has already been processed and can contain one or more layers of contacts, conductor tracks, insulating layers and other microelectronic components. The substrate is, in particular, silicon, which is already processed in accordance with the front end of line (FEOL), that is to say already contains electrical components such as transistors, capacitors, etc. — manufactured using silicon technology. There is preferably an insulating layer between the substrate and the next electrode; especially if the substrate is electrically conductive. However, there may also be several layers between the substrate and the next electrode. The substrate can only serve as a carrier material or fill an electrical function (evaluation, control). In the latter case, there are electrical contacts between the substrate and the electrodes that are on the substrate be applied. These electrical contacts are, for example, contact holes (vias) filled with an electrical conductor. However, it is also possible for the contacts to be made from the lower to the upper layers by means of metallizations in the edge regions of the substrate or the chips.
Ein bevorzugtes Device der Erfindung ist der sog Hybridspeicher, wobei das Substrat in der gängigen Front-End- of-the-Line (FEOL) CMOS Siliziumtechnik prozessiert wird und anschließend die Speicherlage (n) darauf aufgebracht werden. Jedoch ist das Substrat, wie oben erwähnt, nicht nur darauf beschränkt.A preferred device of the invention is the so-called hybrid memory, the substrate being processed in the conventional front-end-of-the-line (FEOL) CMOS silicon technology and the memory layer (s) then being applied to it. However, as mentioned above, the substrate is not limited to this.
Die oben beschriebene Sandwich Struktur der Speicherzelle (n) , bestehend aus zwei Elektroden und dem dazwischen liegenden organischen Material bzw. der gebildeten Verbindung, kann nicht nur einmal sondern mehrere Male in übereinander gestapelter Form auf das Substrat aufgebracht werden. Dabei entstehen mehrere „Ebenen" für die Speicherzellen, wobei jede Ebene aus zwei Elektroden und der dazwischen liegenden Verbindung besteht (die Elektroden grenzen an die beiden Flächen der Verbindung) . Natürlich können auch mehrere Zellen in einer Ebene sein (Zeil Array) . Die verschiedenen Ebenen können mit einem Isolator voneinander getrennt sein. Es ist auch möglich, dass für zwei übereinander liegende Ebenen nicht vier, sondern nur drei Elektroden verwendet werden; d. h. die „mittlere" Elektrode wird gemeinsam genutzt.The sandwich structure of the memory cell (s) described above, consisting of two electrodes and the organic material or the compound formed between them, can be applied to the substrate not only once but several times in a stacked form. This creates several "levels" for the memory cells, each level consisting of two electrodes and the connection between them (the electrodes adjoin the two surfaces of the connection). Of course, there can also be several cells in one level (Zeil array). The different Layers can be separated from one another with an insulator. It is also possible that two, but not three, electrodes are used for two layers lying one above the other, ie the “middle” electrode is shared.
Es wurde überraschenderweise festgestellt, dass die erfindungsgemäße Zelle in der Halbleiteranordnung den angelegten Zustand ohne eine angelegte Spannung sehr lange behalten kann, so dass die Zelle daher als ein nichtflüchtiger Speicher dienen kann. Es konnte gezeigt werden, dass die erfindungsgemäße Halbleiteranordnung mit der erfindungsgemäßen Zelle auch nach mehreren Tausend Zyklen des ON-/OFF-Wechsels immer noch deutlich lesbar bzw. auch funktionsfähig ist und sogar mehrere Monate lang den angelegten Zustand behalten kann. Die Elektrode, die dem Substrat zugewandt ist (im Folgenden als untere Elektrode gekennzeichnet) , besteht vorzugsweise aus mindestens zwei Lagen, wobei die Lage, die unmittelbar in Kontakt mit dem Substrat steht (im Folgenden als Lage 1 der unteren Elektrode gekennzeichnet) , Titan (Ti) , Titannitrid (TiN) , Tantal (Ta) , Tantalnitrid (TaN) , Wolfram (W) , weiterhin TiW, TaW, WN oder WCN sowie IrO, RuO, SrRuO bzw. eine beliebige Kombination dieser Materialien - auch in zwei oder mehr Lagen - sein kann. Weiterhin können, in Kombination mit den oben genannten Schichten bzw. Materialien, auch dünne Schichten aus Si, TiNSi, SiON, SiO, SiC, SiN oder SiCN vorhanden sein. Somit kann die Lage 1 der unteren Elektrode selbst aus mehr wie einer Lage bestehen.It was surprisingly found that the cell according to the invention in the semiconductor arrangement can keep the applied state for a very long time without an applied voltage, so that the cell therefore as one non-volatile memory can serve. It could be shown that the semiconductor arrangement according to the invention with the cell according to the invention is still clearly legible or functional even after several thousand cycles of the ON / OFF change and can even maintain the applied state for several months. The electrode which faces the substrate (hereinafter referred to as the lower electrode) preferably consists of at least two layers, the layer which is in direct contact with the substrate (hereinafter referred to as layer 1 of the lower electrode), titanium ( Ti), titanium nitride (TiN), tantalum (Ta), tantalum nitride (TaN), tungsten (W), further TiW, TaW, WN or WCN as well as IrO, RuO, SrRuO or any combination of these materials - also in two or more Layers - can be. Furthermore, in combination with the above-mentioned layers or materials, thin layers of Si, TiNSi, SiON, SiO, SiC, SiN or SiCN can also be present. Thus, the layer 1 of the lower electrode itself can consist of more than one layer.
Die Abkürzungen TiN, TaN etc. sind nur symbolisch, d. h. sie geben keine exakten stöchiometrischen Verhältnisse wieder (z. B. wird hier Siliziumdioxid auch nicht als Si02, sondern als SiO gekennzeichnet) . Das Verhältnis der Komponenten kann in möglichen Grenzen beliebig geändert werden. Die andere Lage (im Folgenden als Lage 2 der unteren Elektrode gekennzeichnet) weist ein Metall auf, vorzugsweise Kupfer, das mit dem organischen Material (Material X) die oben genannte Verbindung bildet. Diese Lage (Lage 2), die die Verbindung bildet kann entweder reines Metall sein oder eine Legierung aus mehreren Metallen. Entscheidend ist aber, dass diese Lage ein Metall enthält, das mit dem organischen Material die Verbindung bilden kann. Das bevorzugte Material ist Kupfer sowie seine Legierungen mit anderen Metallen. Daneben ist Silber bzw. seine Legierungen mit anderen Metallen geeignet.The abbreviations TiN, TaN etc. are only symbolic, ie they do not reflect exact stoichiometric relationships (e.g. silicon dioxide is not identified as Si02 here, but rather as SiO). The ratio of the components can be changed as desired within possible limits. The other layer (hereinafter referred to as layer 2 of the lower electrode) has a metal, preferably copper, which forms the above-mentioned connection with the organic material (material X). This layer (layer 2) that forms the connection can either be pure metal or an alloy of several metals. It is crucial, however, that this layer contains a metal that can form the connection with the organic material. The preferred material is copper and its alloys with other metals. Silver and its alloys with other metals are also suitable.
Zur Abscheidung der oben genannten Schichten sind verschiedene Verfahren geeignet. Diese können z. B. PVD, CVD, PECVD, Aufdampfen, Electroplating, Electroless plating oder Atomic Layer CVD (ALCVD) sein; jedoch sind die Methoden nicht nur auf diese beschränkt.Various methods are suitable for depositing the above-mentioned layers. These can e.g. B. PVD, CVD, PECVD, vapor deposition, electroplating, electroless plating or atomic layer CVD (ALCVD); however, the methods are not limited to these.
Die zweite Elektrode (obere Elektrode) kann aus einer oder mehreren Lagen bestehen. Als zweite Elektrode sind vorzugsweise Aluminium, Kupfer, Silber, AlCu, AlSiCu, Titan, (Ti) , Titannitrid (TiN) , Tantal (Ta) , Tantalnitrid (TaN) , Wolfram (W) , weiterhin TiW, TaW, WN oder WCN sowie IrO, RuO, SrRuO bzw. eine beliebige Kombination dieser Materialien - auch in zwei oder mehr Lagen - sein kann. Weiterhin können, in Kombination mit den oben genannten Schichten bzw. Materialien, auch dünne Schichten aus Si, TiNSi, SiON, SiO, SiC, SiN oder SiCN vorhanden sein. Somit kann die Lage 1 der unteren Elektrode selbst aus mehr wie einer Lage bestehen.The second electrode (upper electrode) can consist of one or more layers. The second electrode is preferably aluminum, copper, silver, AlCu, AlSiCu, titanium, (Ti), titanium nitride (TiN), tantalum (Ta), tantalum nitride (TaN), tungsten (W), furthermore TiW, TaW, WN or WCN as well IrO, RuO, SrRuO or any combination of these materials - also in two or more layers - can be. In combination with the layers or materials mentioned above, thin layers of Si, TiNSi, SiON, SiO, SiC, SiN or SiCN can also be present. Thus, the layer 1 of the lower electrode itself can consist of more than one layer.
Die Art der geeigneten Elektroden ist jedoch nicht auf die oben genannten Materialien beschränkt. However, the type of electrodes suitable is not limited to the materials mentioned above.
02 = Lage 2 der oberen Elektrode 01 = Lage 1 der oberen Elektrode V = gebildete Verbindung U2 = Lage 2 der unteren Elektrode Ul = Lage 1 der unteren Elektrode02 = layer 2 of the upper electrode 01 = layer 1 of the upper electrode V = formed connection U2 = layer 2 of the lower electrode Ul = layer 1 of the lower electrode
Das organische Material, das zwischen den Elektroden angeordnet ist, ist vorzugsweise ein Elektronenakzeptor, d. h. ein Molekül mit elektronenziehenden Atomen (z. B. -Cl, -F, -Br, -I) bzw. Gruppen (z. B. -CN, -C0-, -N02)und bildet mit der unteren Elektrode die entsprechende Verbindung. Als Elektronenakzeptor werden insbesondere solche Moleküle bevorzugt, die in ihrem Gerüst mindestens eines der oben genannten Atome und/oder der Gruppen enthalten. Natürlich können mehrere der oben genannten Atome bzw. Gruppen ebenfalls vorhanden sein. Die bevorzugten organischen Materialien sind TCNQ und DDQ. Die Verbindung wird durch eine selektive Reaktion vom organischen Material mit Lage 2 der unteren Elektrode, die z. B. kupferhaltig oder silberhaltig ist, gebildet. Die Zusammensetzung der unteren Elektrode und des organischen Materials, ist nicht auf TCNQ und Kupfer beschränkt, sondern kann einerseits aus beliebigen organischen Materialien (die mindestens eines der oben genannten Atome bzw. der Gruppen enthalten und andererseits aus beliebigen Metallen sein. Es ist lediglich notwendig, dass die Elektrode ein Metall enthält, das mit dem organischen Material bzw. mit einer Komponente des organischen Materials die Verbindung bildet. Das geeignete organische Material kann z. B. einer der in Tabelle 1 aufgelisteten Strukturen entsprechen. Es ist auch möglich, dass mehr wie eines der in Tabelle 1 erwähnten Moleküle mit dem Metall die Verbindung bilden. Jedoch ist die Anzahl der Elektronenakzeptoren nicht auf die in Tabelle 1 aufgelisteten Moleküle begrenzt.The organic material which is arranged between the electrodes is preferably an electron acceptor, ie a molecule with electron-withdrawing atoms (e.g. -Cl, -F, -Br, -I) or groups (e.g. -CN, -C0-, -N0 2 ) and forms the corresponding connection with the lower electrode. Molecules which contain at least one of the above-mentioned atoms and / or groups in their framework are particularly preferred as the electron acceptor. Of course, several of the atoms or groups mentioned above can also be present. The preferred organic materials are TCNQ and DDQ. The compound is formed by a selective reaction of the organic material with layer 2 of the lower electrode, which, for. B. contains copper or silver. The composition of the lower electrode and the organic material is not limited to TCNQ and copper, but can on the one hand be made of any organic material (which contains at least one of the above-mentioned atoms or groups and on the other hand can be made of any metal). that the electrode contains a metal that with the organic material or with a component of the organic material forms the connection. The suitable organic material can e.g. B. correspond to one of the structures listed in Table 1. It is also possible for more than one of the molecules mentioned in Table 1 to form the compound with the metal. However, the number of electron acceptors is not limited to the molecules listed in Table 1.
Neben den oben erwähnten Elektronenakzeptoren können auch andere Materialien, wie z. B. Schwefel in elementarer Form oder schwefelhaltige organische Verbindungen, mit der (unteren) Elektrode die Verbindung bilden (z. B. Kupfersulfid) . Weiterhin können beispielsweise auch Selen bzw. selenhaltige Verbindungen oder Tellur bzw. tellurhaltige Verbindungen eine Verbindung mit der unteren Elektrode eingehen.In addition to the electron acceptors mentioned above, other materials such as. B. sulfur in elemental form or sulfur-containing organic compounds with the (lower) electrode form the connection (z. B. copper sulfide). Furthermore, for example, selenium or compounds containing selenium or tellurium or compounds containing tellurium can also form a connection with the lower electrode.
Die vorteilhaften Eigenschaften der erfindungsgemäßen Zelle werden in Tabelle 2 verdeutlicht.The advantageous properties of the cell according to the invention are shown in Table 2.
Erläuterungen: Threshold voltage: SchwellSpannung, an der die Zelle vom OFF (ON) in den ON (OFF) Zustand schaltet. Retention time: Zeitspanne, in der der Speicherzustand (ON oder OFF) ohne angelegte Spannung beibehalten wird Endurance: Anzahl der maximal möglichen Schreib- undExplanations: Threshold voltage: threshold voltage at which the cell switches from OFF to ON. Retention time: Time period in which the memory state (ON or OFF) is maintained without applied voltage Endurance: number of the maximum possible write and
Löschzyklen / PulsenDeletion cycles / pulses
Imprint: Anzahl der maximal möglichen (einseitigen) Schreib- oder Löschpulsen, ohne dass die Eigenschaften (Schwellspannung, Werte für ON und OFFImprint: Number of the maximum possible (one-sided) write or erase pulses without the properties (threshold voltage, values for ON and OFF
Widerstände, Verlauf des U-I-Diagrammes etc.) eine deutliche, bleibende Änderung zeigen.Resistances, course of the U-I diagram etc.) show a clear, permanent change.
Read: Anzahl der maximal möglichen LesepulsenRead: Number of the maximum possible reading pulses
Randbedingung für alle ist, dass die Zellen im Rahmen der Experimente nicht kaputt gehen bzw. die elektrischen Werte bestimmte, erlaubte Toleranzen nicht überschreiten.The basic condition for everyone is that the cells do not break during the experiments or that the electrical values do not exceed certain permitted tolerances.
Die erfindungsgemäße Halbleiteranordnung kann auch mehrere nichtflüchtige Speicherzellen aufweisen und die mehreren Zellen können mit einer hohen Integrationsdichte in die Halbleiteranordnung eingebaut werden.The semiconductor arrangement according to the invention can also have a plurality of non-volatile memory cells and the plurality of cells can be built into the semiconductor arrangement with a high integration density.
Im Nachfolgenden wird das Verfahren zur Herstellung der Halbleiteranordnung beschrieben.The method for producing the semiconductor arrangement is described below.
Zur Herstellung der Halbleiteranordnung mit der erfindungsgemäßen Speicherzelle wird zunächst ein Substrat bereitgestellt .To manufacture the semiconductor arrangement with the memory cell according to the invention, a substrate is first provided.
Das Substrat kann wie oben beschrieben Silizium, Germanium, Galiumarsenid, Galiumnitrid sein; ein beliebiges Material, das eine beliebige Verbindung von Silizium, Germanium oder Galiu enthält; ein Polymer (d. h. Kunststoff; gefüllt oder ungefüllt, z. B. als Formteil oder Folie), Keramik, Glas oder Metall sein. Dieses Substrat kann auch ein bereits prozessiertes Material sein und ein bis mehrere Lagen aus Kontakten, Leiterbahnen, Isolierschichten und weiteren mikroelektronischen Bauteilen enthalten.The substrate can be silicon, germanium, galium arsenide, galium nitride as described above; any material that contains any compound of silicon, germanium or galiu; a polymer (ie plastic; filled or unfilled, e.g. as a molded part or film), ceramic, glass or metal. This substrate can also be an already processed material and one or more layers Contacts, conductor tracks, insulating layers and other microelectronic components included.
Das Substrat ist insbesondere Silizium, das bereits entsprechend Front-End-of-Line (FEOL) prozessiert ist, d. h. bereits elektrische Bauteile wie Transistoren, Kondensatoren etc. - gefertigt in Siliziumtechnik - enthält. Zwischen dem Substrat und der nächsten Elektrode befindet sich vorzugsweise eine Isolierschicht; insbesondere dann, wenn das Substrat elektrisch leitend ist. Jedoch können auch zwischen dem Substrat und der nächsten Elektrode mehrere Schichten sein.The substrate is in particular silicon, which has already been processed in accordance with front-end-of-line (FEOL); H. already contains electrical components such as transistors, capacitors etc. - manufactured using silicon technology. There is preferably an insulating layer between the substrate and the next electrode; especially when the substrate is electrically conductive. However, there may also be multiple layers between the substrate and the next electrode.
Das Substrat kann nur als Trägermaterial dienen oder aber eine elektrische Funktion (Auswertung, Steuerung) füllen. Für den letztgenannten Fall gibt es elektrische Kontakte zwischen dem Substrat und den Elektroden, die auf das Substrat aufgebracht werden. Diese elektrischen Kontakte sind beispielsweise mit einem elektrischen Leiter gefüllte Kontaktlöcher (Vias) . Es ist jedoch auch möglich, dass die Kontakte von unteren in die oberen Lagen, durch Metallisierungen in den Randbereichen des Substrats bzw. der Chips erfolgen.The substrate can only serve as a carrier material or fill an electrical function (evaluation, control). In the latter case, there are electrical contacts between the substrate and the electrodes which are applied to the substrate. These electrical contacts are, for example, contact holes (vias) filled with an electrical conductor. However, it is also possible for the contacts to be made from the lower to the upper layers by means of metallizations in the edge regions of the substrate or the chips.
Auf das Substrat wird zuerst die untere Elektrode aufgebracht. Zwischen dem Substrat und der unteren Elektrode befindet sich optioneil eine Isolierschicht, insbesondere ist dies aber dann eine Notwendigkeit, wenn das Substrat bzw. die oberste Lage des Substrats elektrisch leitend ist. Im Falle von Silizium als Substrat kann diese Isolierschicht z. B. Siliziumoxid sein. Die in das Substrat eingebrachte untere Elektrode besteht aus mindestens zwei Schichten und kann durch die unten beschriebenen Verfahren hergestellt werden. Die Abscheidung der Elektrode kann aus der Gasphase oder aus Lösung erfolgen. Hierzu sind Verfahren wie z. B. PVD, CVD, PECVD, Aufdampfen, Electroplating, Electroless plating oder Atomic Layer CVD (ALCVD) geeignet. Die Lagen Ul und U2 werden beispielsweise hintereinander abgeschieden und anschließend strukturiert. Hierzu bringt man einen Photolack auf die Lage U2 und strukturiert dies entsprechend üblichen Verfahren (Belichtung, Entwicklung etc.). Danach wird diese Struktur mittels Ätzung durch ein Gas bzw. eine Gasmischung oder aber durch eine Flüssigkeit bzw. Flüssigkeitsmischung in die beiden Lagen übertragen. Die Ätzung der beiden Lagen kann mit dem gleichen Reagenz (Gas oder Flüssigkeit) erfolgen oder aber unterschiedliche Reagenzien erfordern.The lower electrode is first applied to the substrate. An insulating layer is optionally located between the substrate and the lower electrode, but this is particularly necessary if the substrate or the top layer of the substrate is electrically conductive. In the case of silicon as a substrate, this insulating layer can, for. B. be silicon oxide. The lower electrode introduced into the substrate consists of at least two layers and can be produced by the methods described below. The electrode can be deposited from the gas phase or from solution. For this purpose, methods such. B. PVD, CVD, PECVD, vapor deposition, electroplating, electroless plating or atomic layer CVD (ALCVD) are suitable. The layers U1 and U2 are, for example, deposited one behind the other and then structured. For this purpose, a photoresist is placed on the layer U2 and this is structured in accordance with customary processes (exposure, development, etc.). This structure is then transferred into the two layers by means of etching through a gas or a gas mixture or else through a liquid or liquid mixture. The two layers can be etched with the same reagent (gas or liquid) or require different reagents.
Außer der Strukturierung durch Ätzung können die Lagen auch mittels der sog. Da ascene Technik strukturiert werden. Hierzu wird beispielsweise eine über dem Substrat liegende Isolierschicht (vorzugsweise Siliziumoxid) durch Lithographie und Ätzung strukturiert. Nach dem Strippen des Photolacks werden die beiden Lagen abgeschieden, so dass die während der Strukturierung entstandenen Gräben oder Löcher in der Isolierschicht vollständig mit den Elektrodenmaterialien gefüllt sind. Anschließend wird der Teil dieser Materialien, der oberhalb der Oberfläche der Isolierschicht steht, zurückgeschliffen. Der Schleifprozess kann mittels der sog. CMP Technik erfolgen (CMP = Chemisch-Mechanische Planarisierung bzw. Chemical-Mechanical Polishing) . Es entstehen dabei beispielsweise Leiterbahnen und/oder Kontaktlöcher, die mit den Elektrodenmaterialien gefüllt und in die Isolierschicht eingebettet sind bzw. exakt die gleiche Höhe haben wie die Isolierschicht. Die Lage 2 der unteren Elektrode (U2) ist vorzugsweise Kupfer oder kupferhaltig und bildet mit dem organischen Material, was nachfolgend aufgebracht wird, die entsprechende Verbindung. Sie kann auch silberhaltig sein. Das organische Material kann z. B. in einem Lösungsmittelgemisch auf die Elektrode aufgebracht werden. Wenn das organische Material TCNQ ist, wird vorzugsweise ein Lösungsmittelgemisch aus mindestens zwei Lösungsmitteln verwendet, wobei eines davon vorzugsweise Acetonitril oder Propionitril oder ein anderes Lösungsmittel ist, welches -CN Gruppen enthält. Das zweite Lösungsmittel ist vorzugsweise ein Keton, ein Alkohol, ein Ester, ein Aromat, ein Aliphat bzw. Cycloaliphat oder ein Ether sowie deren Mischungen. Geeignet sind z. B. Aceton, Diethylketon, Cyclohexanon, Cyclopentanon, Butanon, Cyclohexan, gamma-Butyrolacton, Essigsäureethylester,In addition to structuring by etching, the layers can also be structured using the so-called da ascene technique. For this purpose, for example, an insulating layer (preferably silicon oxide) lying above the substrate is structured by means of lithography and etching. After stripping the photoresist, the two layers are deposited, so that the trenches or holes formed during the structuring in the insulating layer are completely filled with the electrode materials. Then the part of these materials that is above the surface of the insulating layer is ground back. The grinding process can be carried out using the so-called CMP technique (CMP = chemical mechanical planarization or chemical mechanical polishing). This results, for example, in conductor tracks and / or contact holes which are filled with the electrode materials and embedded in the insulating layer or have exactly the same height as the insulating layer. The layer 2 of the lower electrode (U2) is preferably copper or copper-containing and forms the corresponding connection with the organic material, which is subsequently applied. It can also contain silver. The organic material can e.g. B. be applied to the electrode in a solvent mixture. If the organic material is TCNQ, a solvent mixture of at least two solvents is preferably used, one of which is preferably acetonitrile or propionitrile or another solvent which contains -CN groups. The second solvent is preferably a ketone, an alcohol, an ester, an aromatic, an aliphatic or cycloaliphatic or an ether and mixtures thereof. Are suitable for. B. acetone, diethyl ketone, cyclohexanone, cyclopentanone, butanone, cyclohexane, gamma-butyrolactone, ethyl acetate,
Ethoxyethylacetat, Methoxypropylacetat, Ethoxyethylpropionat, Ethylalkohol, Propylalkohol, iso-Propanol, Dibutylether, Tetrahydrofuran, Chlorbenzol, Benzylalkohol . Die Dauer dieser Behandlung kann zwischen 10 Sekunden und 10 Minuten liegen. Die Behandlungstemperatur beträgt, je nach Eigenschaften der Lösungsmittel, zwischen -20 und 100°C. Lösungsmittelmischungen eignen sich auch für viele Substanzen, die in der Tabelle 1 erwähnt sind. Der Anteil des Lösungsmittels, das die -CN Gruppe enthält, beträgt 0.01 bis 65 Vol%. Sein Anteil hängt von der Zusammensetzung der gesamten Lösung ab. Diese Lösung kann auch mehr wie zwei Lösungsmittel enthalten, ebenfalls auch mehr wie ein organisches Material (d.h. Material X) .Ethoxyethyl acetate, methoxypropyl acetate, ethoxyethyl propionate, ethyl alcohol, propyl alcohol, iso-propanol, dibutyl ether, tetrahydrofuran, chlorobenzene, benzyl alcohol. The duration of this treatment can be between 10 seconds and 10 minutes. Depending on the properties of the solvent, the treatment temperature is between -20 and 100 ° C. Solvent mixtures are also suitable for many substances mentioned in Table 1. The proportion of the solvent containing the -CN group is 0.01 to 65 vol%. Its share depends on the composition of the entire solution. This solution can also contain more than two solvents, as well as more than one organic material (i.e. material X).
Danach wird mit einem der oben genannten Lösungsmittel, wie zum Beispiel Aceton, gespült. Dieser Spülschritt dient insbesondere dazu, das überschüssiges TCNQ von dem Substrat zu entfernen, so dass nur die gebildete Verbindung im Bereich der Elektrode verbleibt, da nur in diesem Bereich die Verbindung gebildet werden kann.It is then rinsed with one of the solvents mentioned above, such as acetone. This rinsing step serves in particular to remove the excess TCNQ from the substrate, so that only the connection formed in the area the electrode remains because the connection can only be formed in this area.
Das organische Material kann auf die untere Elektrode auch aufgedampft werden. Nach dem Aufdampfen ist es notwendig, das Substrat einer thermischen Behandlung zu unterziehen, um die Verbindung herzustellen. Erst nach dieser Temperaturbehandlung, kann das Substrat mit einem Lösungsmittel gespült werden, um das überschüssige TCNQ zu entfernen. Wenn das organische Material auf die Elektrode aufgedampft wird, ist es vorteilhaft, wenn die Aufdampfzeit zwischen 2 bis 30 Min. liegt. Der zu verwendende Druck liegt in einem Bereich zwischen 0,000001 bis 200 bar und das Aufdampfen wird bei einer Substrattemperatur zwischen -50 bis 150 °C durchgeführt. Es ist auch möglich, dass nicht nur eines, sondern zwei oder mehr organische Materialien X gleichzeitig oder hintereinander auf die Elektrode aufgedampft werden.The organic material can also be evaporated onto the lower electrode. After vapor deposition, it is necessary to subject the substrate to thermal treatment in order to make the connection. Only after this temperature treatment can the substrate be rinsed with a solvent to remove the excess TCNQ. If the organic material is evaporated onto the electrode, it is advantageous if the evaporation time is between 2 and 30 minutes. The pressure to be used is in a range between 0.000001 to 200 bar and the vapor deposition is carried out at a substrate temperature between -50 to 150 ° C. It is also possible for not just one, but two or more organic materials X to be vapor-deposited onto the electrode simultaneously or in succession.
Die Eigenschaften der Halbleiteranordnung mit derThe properties of the semiconductor device with the
Speicherzelle können noch verbessert werden, wenn die gebildete Verbindung bei einer nach dem oben beschriebenen Verfahren hergestellten Zelle nachbehandelt wird, und zwar vorzugsweise unmittelbar nach der Bildung der Verbindung, manchmal auch während der Bildung der Verbindung. DieMemory cells can be further improved if the compound formed is post-treated in a cell produced by the method described above, preferably immediately after the formation of the compound, sometimes also during the formation of the compound. The
Nachbehandlung erfolgt durch Inkontaktbringen einer Lösung eines Nachbehandlungsreagens mit der Verbindung. Als das Nachbehandlungsreagens kommen insbesondere Amine, Amide, Ether, Ketone, Carbonsäuren, Thioether, Ester, Aromaten, Heteroaromaten, Alkohole oder verschiedene schwefel- oder selenhaltige Verbindungen wie z.B. Schwefel-Heterocyclen, Verbindungen mit -SO- Gruppen oder Thiole in Frage, jedoch ist die Anzahl der geeigneten Reagenzien nicht nur auf solche beschränkt. Die Reagenzien können außerdem neben gesättigten auch ungesättigte Gruppen enthalten. Beispiele für Nachbehandlungsreagenzien sind Diethylamin, Triethylamin, Dimethylanilin, Cyclohexylamin, Diphenylamin, Dimethylformamid, Dimethylacetamid, Dimethylsulfoxid, Aceton, Diethylketon, Diphenylketon, Benzoesäurephenylester, Benzofuran, N-Methylpyrrolidon, ga ma-Butyrolacton, Toluol, Xylol, Mesitylen, Naphthalin, Anthracen, Imidazol, Oxazol, Benzimidazol, Benzopxazol, Chinolin, Chinoxalin, Fulvalene, Furan, Pyrrol, Thiophen oder Diphenylsulfid. DiePost-treatment is accomplished by contacting a solution of an after-treatment reagent with the compound. As the aftertreatment reagent, amines, amides, ethers, ketones, carboxylic acids, thioethers, esters, aromatics, heteroaromatics, alcohols or various sulfur- or selenium-containing compounds such as sulfur heterocycles, compounds with -SO groups or thiols are particularly suitable, however the number of suitable reagents not only for those limited. In addition to saturated, the reagents can also contain unsaturated groups. Examples of aftertreatment reagents are diethylamine, triethylamine, dimethylaniline, cyclohexylamine, diphenylamine, dimethylformamide, dimethylacetamide, dimethyl sulfoxide, acetone, diethyl ketone, diphenyl ketone, benzoic acid phenyl ester, benzofuran, N-methylpyrrolidone, gamma-butyl lactone, toluene moleolene, toluene , Oxazole, benzimidazole, benzopxazole, quinoline, quinoxaline, fulvalene, furan, pyrrole, thiophene or diphenyl sulfide. The
Behandlungszeit beträgt vorzugsweise zwischen 15 s bis 15 Min. bei einer Temperatur von vorzugsweise -30 bis 100 °C, entweder in Luft oder unter einem Inertgas, wie z. B. Stickstoff oder Argon.Treatment time is preferably between 15 s to 15 min. At a temperature of preferably -30 to 100 ° C, either in air or under an inert gas, such as. B. nitrogen or argon.
Erfahrungsgemäß kann das Nachbehandlungsreagenz mit in die Speicherzelle eingebaut werden bzw. sie kann sich an die Zelle anlagern. Die Existenz des Nachbehandlungsreagenz kann beispielsweise nach der Thermodesorption bei höheren Temperaturen mittels Gaschromatographie GC bzw. Massenspektroskopie MS nachgewiesen werden.Experience has shown that the aftertreatment reagent can be built into the storage cell or it can attach to the cell. The existence of the aftertreatment reagent can be demonstrated, for example, after thermal desorption at higher temperatures using gas chromatography GC or mass spectrometry MS.
Überraschenderweise können bereits sehr geringe Mengen (ab wenige pp ) des eingebauten oder angelagerten Nachbehandlungsreagenz deutliche Verbesserungen der Eigenschaften der Speicherzelle verursachen. Der Einbau des Nachbehandlungsreagenz ist jedoch keine Notwendigkeit zur Verbesserung der Eigenschaften, u. U. genügt hierzu auch eine Nachbehandlung, ohne dass mittels GC oder MS ein Einbau nachgewiesen wird.Surprisingly, even very small amounts (from a few pp) of the built-in or attached after-treatment reagent can cause significant improvements in the properties of the memory cell. However, the incorporation of the aftertreatment reagent is not necessary to improve the properties, u. An aftertreatment may also suffice for this, without an installation being proven by GC or MS.
Alternativ kann die Verbindung mit gasförmigen (bzw. Dampf) Nachbehandlungsreagens in Kontakt gebracht werden. In Luft oder unter einem Inertgas, wie z. B. Stickstoff oder Argon, verläuft die Nachbehandlung bei einem Druck von 0,00001 bis 1000 bar bei einer Substrat-Temperatur zwischen -30 und 150 °C. Anschließend kann ein Temperaturschritt folgen, ist aber nicht in jedem Fall notwendig.Alternatively, the compound can be contacted with gaseous (or steam) aftertreatment reagent. In air or under an inert gas, such as. B. nitrogen or argon, the aftertreatment runs at a pressure of 0.00001 to 1000 bar at a substrate temperature between -30 and 150 ° C. A temperature step can then follow, but is not necessary in every case.
Eine so nachbehandelte Zelle hat eine verbesserte (d. h. geringere) Schwellspannung beim Schalten der Zelle um bis zu 40 %, ein Verhältnis zwischen dem ON- und OFF-Zustand, das zehnmal so hoch ist als bei einer nicht nachbehandelten Zelle, eine um bis zu hundertfach höhere Endurance sowie verbesserte I print Charakteristik und eine Verbesserung der Schichthaftung um bis zu 20 %.A cell which has been post-treated in this way has an improved (ie lower) threshold voltage when switching the cell by up to 40%, a ratio between the ON and OFF state which is ten times higher than that of a cell which has not been post-treated, and a factor of up to 100 times higher endurance as well as improved I print characteristics and an improvement in shift adhesion by up to 20%.
Einige der „Nachbehandlungsreagenzien" können aber auch gleichzeitig mit dem Material X aufgedampft werden oder auch direkt hintereinander (sie bringen ebenfalls die o.g. Vorteile) , so dass sie gemeinsam dem anschließenden Temperaturschritt unterworfen werden.However, some of the "aftertreatment reagents" can also be evaporated simultaneously with the material X or directly in succession (they also bring the advantages mentioned above), so that they are subjected to the subsequent temperature step together.
In einem weiteren Aspekt betrifft die Erfindung einIn a further aspect, the invention relates to a
Integrationskonzept für eine Halbleiteranordnung mit mehreren erfindungsgemäßen Zellen. Die erfindungsgemäße Zelle kann in der Halbleiteranordnung zwischen einer Wortleitung und einer Bitleitung, die sich senkrecht kreuzen, liegen. Die Schaltung der Zelle in den ON- bzw. OFF-Zustand, erfolgt dann, indem an die Wortleitung und die Bitleitung entsprechende Spannungen angelegt werden. Damit kann der Zustand der Zelle verändert werden. Die ON bzw. OFF Zustände entsprechen beispielsweise den Zuständen mit niedrigerem bzw. höherem elektrischen Widerstand.Integration concept for a semiconductor arrangement with several cells according to the invention. The cell according to the invention can lie in the semiconductor arrangement between a word line and a bit line which cross perpendicularly. The cell is then switched to the ON or OFF state by applying corresponding voltages to the word line and the bit line. This allows the state of the cell to be changed. The ON or OFF states correspond, for example, to the states with lower or higher electrical resistance.
In der Regel werden die Elektroden so hergestellt, dass sie als Wort- oder Bitleitung dienen. Es kann aber auch sein, dass eine (zusätzliche) Lage der oberen und/oder unteren Elektrode nur im Bereich der Zelle - in direktem Kontakt mit der Verbindung - aufgebracht wird, d. h. nicht entlang der ganzen Leiterbahn (Wort oder Bitleitung) . Dies betrifft insbesondere das weiter unten beschriebene Via Konzept.As a rule, the electrodes are manufactured in such a way that they serve as a word or bit line. But it can also be that an (additional) layer of the upper and / or lower electrode is only applied in the area of the cell - in direct contact with the connection - ie not along the entire conductor track (word or bit line). This applies in particular to the via concept described below.
Bei einem "Cross-Poinf'-Aufbau liegen die einzelnen Speicherzellen direkt zwischen sich kreuzenden und Bit- bzw. Wortleitungen bildenden Leiterbahnen. Zur Erzeugung der einzelnen Zellen können beispielsweise die unteren Elektroden vollständig mit der Verbindung bedeckt und die oberen Elektroden darauf aufgebracht werden. Somit entstehen an den Kreuzungspunkten die Cross Point Zellen, deren Größe allein durch die jeweiligen Breiten der Elektroden definiert sind. Es ist aber auch möglich, dass die unteren Elektroden nicht vollständig mit der Verbindung bedeckt werden, sondern nur an den Stellen, wo die Crosspoint-Zelle entsteht. Dies wird entweder durch das Integrationsverfahren, wie später beschrieben wird, oder durch eine direkte Strukturierung der Verbindung möglich.In the case of a "cross-point structure", the individual memory cells lie directly between intersecting conductor tracks and bit lines or word lines. To produce the individual cells, for example, the lower electrodes can be completely covered with the connection and the upper electrodes can be applied thereon The cross point cells, the size of which is defined solely by the width of the electrodes, are created at the intersection points, but it is also possible that the lower electrodes are not completely covered with the connection, but only at the points where the cross point cell This is possible either through the integration process, as will be described later, or through a direct structuring of the connection.
Bei diesem Crosspoint-Aufbau können ohne Weiteres mehrere Ebenen derartiger Speicherzellen in übereinander gestapelten Speicherzellenfeldern vorgesehen werden. Jede „Ebene" eines solchen Speicherzellenfeldes enthält dann die dazugehörigen obere (n) und unteren Elektroden sowie die dazwischen liegende Verbindung. Es ist möglich, dass eine Elektrode von zwei Ebenen gemeinsam genutzt wird, z. B. die obere Elektrode der ersten Ebene kann gleichzeitig als die untere Elektrode der darüber liegenden zweiten Ebene dienen. Voraussetzung ist natürlich, dass diese Elektrode aus mindestens zwei geeigneten Lagen besteht. Zwischen zwei Ebenen kann auch je nach Erfordernis eine Isolierschicht eingebracht werden. Damit sind sehr hohe Integrationsdichten erreichbar, wobei die sog. „Bitgröße" in der Größenordnung von "4F2/n" liegt, wobei n die Anzahl der einzelnen, übereinander gestapelten Ebenen von Speicherzellenfeldern ist und "F" die Breite (kleinstmögliche Struktur der verwendeten Technologie) bedeutet.With this crosspoint structure, several levels of such memory cells can easily be provided in stacked memory cell fields. Each “level” of such a memory cell array then contains the associated upper and lower electrodes and the connection between them. It is possible for an electrode to be shared by two levels, for example the upper electrode of the first level can be used simultaneously serve as the lower electrode of the second level lying above it. The prerequisite is, of course, that this electrode consists of at least two suitable layers. Depending on requirements, an insulating layer can also be introduced between two levels. This enables very high integration densities to be achieved, the so-called “bit size” being of the order of “4F 2 / n”, where n is the number of individual, stacked levels of memory cell arrays and “F” is the width (smallest possible structure of the ones used) Technology) means.
Als Alternative zum oben genannten Cross Point Konzept kann man direkt über der unteren Elektrode - z. B. in einer Isolierschicht - Kontaktlöcher erzeugen und die Verbindung dann in dem Kontaktloch direkt auf der unteren Elektrode bilden. Die Größe der Zelle ist dann durch die Größe des Kontaktloches definiert (sog. „Via Konzept") .As an alternative to the cross point concept mentioned above, you can directly over the lower electrode - z. B. in an insulating layer - create contact holes and then form the connection in the contact hole directly on the lower electrode. The size of the cell is then defined by the size of the contact hole (so-called "via concept").
Die Beispiele für das Integrationskonzept werden in den nachfolgend beschriebenen Figuren erläutert. Es zeigen:The examples for the integration concept are explained in the figures described below. Show it:
Fig. la ein Via-Konzept, bei dem die Größe der Zelle genau definiert ist und von der Größe (d. h. Breite) der sich kreuzenden Leiterbahnen nicht abhängig ist;FIG. 1 a shows a via concept in which the size of the cell is precisely defined and is not dependent on the size (i.e. width) of the crossing conductor tracks;
Fig. lb ein Integrationskonzept, bei dem eine Zellengröße von ca. 4F2 erreichbar ist (Cross-point Konzept) ;1b shows an integration concept in which a cell size of approximately 4F 2 can be achieved (cross-point concept);
Fig. lc ein weiteres Integrationskonzept mit übereinander gestapelten Ebenen und einer Bitgröße von ca. 4F2/n; mit n= Anzahl der Ebenen1c shows a further integration concept with levels stacked one above the other and a bit size of approximately 4F 2 / n; with n = number of levels
Fig. 2 bis 10 Schritte, die zum Integrationskonzept gemäß Fig. la führen.2 to 10 steps leading to the integration concept according to FIG. La.
Fig. 11 bis 22 Schritte, die zum Integrationskonzept gemäß Fig. lb führen Fig. 23 bis 27 Schritte, die zu einem alternativen Crosspoint-Aufbau führen, wobei die Verbindung nur im Bereich der Crosspoint-Zelle erzeugt wird (und nicht entlang einer ganzen Elektrode wie im Fig. 14)11 to 22 steps leading to the integration concept according to FIG. 1b 23 to 27 steps which lead to an alternative crosspoint structure, the connection being produced only in the region of the crosspoint cell (and not along an entire electrode as in FIG. 14)
Fig. 28 bis 44 detaillierte Darstellung des erfindungsgemäßen Verfahrens28 to 44 detailed representation of the method according to the invention
Fig. 2 zeigt eine Siliziumscheibe, bei der die FEOL-Prozesse abgeschlossen und anschließend die dort aufgezeichneten Lagen aufgebracht sind. Kl bezeichnet einen Kontakt (Kontaktloch gefüllt mit einem Leitermaterial, vorzugsweise aus Wolfram) , B die Lage 1 der unteren Elektrode (d. h. Ul entsprechend der vorherigen Skizze) , C eine Deckschicht, I eine Isolierschicht und M eine Leiterbahn. Die Leiterbahnen Ml oder M2 bestehen, beispielsweise aus Lage 1 (=B, z. B. Tantal) und Lage 2 (z . B. Kupfer) .2 shows a silicon wafer in which the FEOL processes have been completed and the layers recorded there have been applied. Kl denotes a contact (contact hole filled with a conductor material, preferably made of tungsten), B the position 1 of the lower electrode (i.e. Ul according to the previous sketch), C a cover layer, I an insulating layer and M a conductor track. The conductor tracks M1 or M2 consist, for example, of layer 1 (= B, for example tantalum) and layer 2 (for example copper).
K2 bezeichnet einen Kontakt, d. h. ein Kontaktloch, das mit den gleichen Materialien gefüllt wurde wie die Leiterbahn M2. Dies erfolgt z. B. im Dual Damascene Prozess, bei dem zuerst die Lage 1 gleichzeitig in Kontaktlöcher K2 und Gräben abgeschieden wird und anschließend die Lage 2. Die ausgefüllten Gräben bilden dann die Leiterbahnen bzw.K2 denotes a contact, i. H. a contact hole that was filled with the same materials as the conductor track M2. This is done e.g. B. in the dual damascene process, in which first the layer 1 is simultaneously deposited in contact holes K2 and trenches and then the layer 2. The filled trenches then form the conductor tracks or
Elektroden. Die Lage 1 kann auch bevorzugt aus zwei oder mehr Schichten bestehen, z. B. Tantalnitrid und Tantal.Electrodes. The layer 1 can also preferably consist of two or more layers, e.g. B. tantalum nitride and tantalum.
Die Deckschicht C ist vorzugsweise Si, TiNSi, SiON, SiO, SiC, SiN, SiCN sowie eine beliebige Kombination dieser Schichten bzw. Materialien. D ist entweder eine Kombination aus zwei aufeinander liegenden Kontakten oder einem Kontakt und einem Pad, um den elektrischen Kontakt zum Substrat und/oder zu den oberen Ebenen herzustellen.The cover layer C is preferably Si, TiNSi, SiON, SiO, SiC, SiN, SiCN and any combination of these layers or materials. D is either a combination of two superimposed contacts or a contact and a pad to make electrical contact with the substrate and / or the upper levels.
Auch wenn das Substrat in Fig. 2 als Siliziumscheibe bezeichnet wurde, kann das Substrat auch eines der früher beschriebenen Alternativen sein. Auf einem solchen Substrat, wie es in Fig. 2 beschrieben ist, wird dann eine Isolierschicht, vorzugsweise Siliziumoxid aufgebracht.Even if the substrate was designated as a silicon wafer in FIG. 2, the substrate can also be one of the alternatives described earlier. An insulating layer, preferably silicon oxide, is then applied to such a substrate, as described in FIG. 2.
Fig. 3 zeigt, wie in dieser Isolierschicht mittels Photolithografie und Ätzung entlang der Leiterbahnen die Kontaktlöcher L geöffnet werden, um zu dem Aufbau wie in der Fig. 3a dargestellt zu gelangen. Die Deckschicht unter den Kontaktlöchern wird ebenfalls geöffnet, so dass dort beispielsweise die Kupferoberfläche frei wird. Nachdem die Kupferoberfläche freigelegt wurde, kann das organische Material aufgebracht werden, um die Verbindung herzustellen.FIG. 3 shows how the contact holes L are opened in this insulating layer by means of photolithography and etching along the conductor tracks in order to arrive at the structure as shown in FIG. 3a. The cover layer under the contact holes is also opened so that, for example, the copper surface is free there. After the copper surface is exposed, the organic material can be applied to make the connection.
Fig. 4 zeigt, wie auf die Substratoberfläche das organische Material, in dem speziellen Fall TCNQ, abgeschieden wird (Fig. 4 bezieht sich auf die Vakuumbedampfung) . Die Abscheidung von TCNQ kann mittels eines Vakuumprozesses, wie zum Beispiel Bedampfung oder durch eine Lösung von TCNQ erfolgen. Die genauen Parameter, wie das organische Material auf die Elektrode aufgebracht wird, sind im allgemeinen Teil der Anmeldung beschrieben. Wenn das organische Material aus dem Lösungsmittel mit der Elektrode kontaktiert wird, bildet sich selektiv nur über der Elektrode die erwünschteFIG. 4 shows how the organic material, in the special case TCNQ, is deposited on the substrate surface (FIG. 4 relates to vacuum evaporation). TCNQ can be deposited using a vacuum process, such as vapor deposition, or by a solution of TCNQ. The exact parameters of how the organic material is applied to the electrode are described in the general part of the application. When the organic material from the solvent is contacted with the electrode, the desired one is selectively formed only over the electrode
Verbindung. Falls das organische Material aber mittels Bedampfung auf die Elektrode abgeschieden wird, muss eine Temperaturbehandlung erfolgen, um die Verbindung herzustellen.Connection. However, if the organic material is deposited on the electrode by means of vapor deposition, a Heat treatment is done to make the connection.
Fig. 5 zeigt, wie die Verbindung entweder nach der Temperaturbehandlung, falls das organische Material aufgedampft wird, oder unmittelbar nach dem Inkontaktbringen der Lösung des organischen Materials mit der Elektrode selektiv in den Kontaktlöchern gebildet wird. Die Isolierschicht reagiert mit TCNQ nicht.Fig. 5 shows how the compound is selectively formed in the contact holes either after the thermal treatment if the organic material is evaporated or immediately after the solution of the organic material is brought into contact with the electrode. The insulation layer does not react with TCNQ.
Fig. 6 zeigt die Substratoberfläche nach der Spülung mit einem Lösungsmittel, wie zum Beispiel Aceton. Das Lösungsmittel entfernt das überschüssige organische Material, das keine Verbindung gebildet hat. Dazu kann die Substratoberfläche durch Tauchen, Sprühen oder Schleudern (im Spincoater) gespült werden. Damit sind die Dimensionen der Zelle eindeutig definiert und benachbarte Zellen voneinander durch die Isolierschicht isoliert.Figure 6 shows the substrate surface after rinsing with a solvent such as acetone. The solvent removes the excess organic material that has not formed a compound. For this purpose, the substrate surface can be rinsed by dipping, spraying or spinning (in the spin coater). The dimensions of the cell are clearly defined and neighboring cells are isolated from each other by the insulating layer.
Fig. 7 zeigt, wie eine weitere Lage Isolierschicht aufgebracht werden kann, und insbesondere wie die - neu entstandene - Substratoberfläche für die Herstellung der Leiterbahnen strukturiert werden kann. Dies kann unter Verwendung gängiger lithographischer Techniken und anschließender Ätzung geschehen. Vorzugsweise erfolgt die Strukturierung durch die gängige Dual-Cu-Damascene- Strukturierung. Hierbei werden die Gräben (Trenches) und Kontaktlöcher gleichzeitig mit den Materialien der entsprechenden Lagen gefüllt und anschließend geschliffen. Nach dem Aufbringen der Deckschicht erhält man den in Fig. 8 dargestellten Aufbau. Die Lage B ist vorzugsweise aus Tantalnitrid oder eine Kombination aus Tantal und Tantalnitrid. Die in der Fig. 8 hergestellten Bahnen M2 und M3 stehen senkrecht zueinander. Dadurch erhält man die Struktur, die in der Fig. la dargestellt wird (mit M2 als Bottom Elektrode, M3 als Top Elektrode) .7 shows how a further layer of insulating layer can be applied, and in particular how the - newly created - substrate surface can be structured for the production of the conductor tracks. This can be done using common lithographic techniques and subsequent etching. The structuring is preferably carried out using the conventional dual Cu damascene structuring. The trenches and contact holes are simultaneously filled with the materials of the corresponding layers and then ground. After the covering layer has been applied, the structure shown in FIG. 8 is obtained. Layer B is preferably made of tantalum nitride or a combination of tantalum and tantalum nitride. The webs M2 and M3 produced in FIG. 8 are perpendicular to one another. This gives the structure shown in FIG. 1 a (with M2 as the bottom electrode, M3 as the top electrode).
Durch Aufbringen einer weiteren Lagen der Isolierschicht und die Wiederholung der Schritte, die in den Fig. 3 bis 8 erläutert wurden, erhält man einen Aufbau, der in Fig. 9 dargestellt ist. Die Leiterbahn M3 kann sowohl als obere Elektrode für die untere Zelle als auch als untere Elektrode für die obere Zelle dienen. M4 ist die obere Elektrode der oberen Zelle und steht senkrecht zu M3. Der in Fig. 9 dargestellte Aufbau ist ähnlich wie Fig. lc, mit demBy applying a further layer of the insulating layer and repeating the steps explained in FIGS. 3 to 8, a structure is obtained which is shown in FIG. 9. The conductor track M3 can serve both as an upper electrode for the lower cell and as a lower electrode for the upper cell. M4 is the top electrode of the top cell and is perpendicular to M3. The structure shown in Fig. 9 is similar to Fig. Lc, with the
Unterschied, dass Fig. lc einen Stack (Aufbau mit mehr als einer Zellebene) auf der Basis des Cross Point Konzepts zeigt und Fig. 9 einen Stack auf der Basis des Via Konzepts. Der Vorteil des letzteren Aufbaus besteht darin, dass die Zellengröße genau definiert ist und, dass die seitliche Isolierung der einzelnen Speicherzellen durch die Isolierschicht das Übersprechen der benachbarten Zellen verhindert. Der Nachteil dieses Aufbaus besteht aber darin, dass die Bitgröße mehr als 4F2/n beträgt (geringere Integrationsdichte) .Difference that Fig. Lc shows a stack (structure with more than one cell level) based on the cross point concept and Fig. 9 shows a stack based on the via concept. The advantage of the latter structure is that the cell size is precisely defined and that the lateral isolation of the individual memory cells by means of the insulating layer prevents crosstalk from the neighboring cells. The disadvantage of this structure is that the bit size is more than 4F 2 / n (lower integration density).
Fig. 10 zeigt, wie weiter prozessiert werden üsste, um zwischen der ersten und zweiten Zellebene eine Isolierschicht anzubringen (d. h. M3 würde dann nicht mehr als gemeinsame Elektrode für zwei Zellen dienen) . Nach dem Aufbringen der Deckschicht auf das Substrat gemäß Fig. 10 würde man entsprechend Fig. 3 bis 8 prozessieren, um die nächste Zellebene herzustellen. Fig. 11 bis 19 zeigen ein Integrationskonzept für die Halbleiteranordnung gemäß der vorliegenden Erfindung, wobei das Integrationskonzept eine Bitgröße von 4F2/n ermöglicht.FIG. 10 shows how further processing should be carried out in order to apply an insulating layer between the first and second cell levels (ie M3 would then no longer serve as a common electrode for two cells). After the cover layer had been applied to the substrate according to FIG. 10, processing would take place according to FIGS. 3 to 8 in order to produce the next cell level. 11 to 19 show an integration concept for the semiconductor arrangement according to the present invention, the integration concept allowing a bit size of 4F 2 / n.
Fig. 11 zeigt ein Substrat ähnlich wie in der Fig. 2. Fig. 2 und Fig. 11 machen deutlich, dass das Substrat unterschiedlich sein kann. Es ist auch möglich, mit einem Substrat wie in Fig. 2 dargestellt, anzufangen. Fig. 11a zeigt die Draufsicht der in Fig. 11 dargestellten Struktur.11 shows a substrate similar to that in FIG. 2. FIGS. 2 and 11 make it clear that the substrate can be different. It is also possible to start with a substrate as shown in FIG. 2. Fig. 11a shows the top view of the structure shown in Fig. 11.
Wie in Fig. 2 schon beschrieben kann das Substrat entweder eine Siliziumscheibe oder Silizium, Germanium, Galiumarsenid, Galiumnitrid; ein beliebiges Material, das eine beliebige Verbindung von Silizium, Germanium oder Galium enthält; ein Polymer, Keramik, Glas oder Metall sein.As already described in FIG. 2, the substrate can either be a silicon wafer or silicon, germanium, galium arsenide, galium nitride; any material that contains any compound of silicon, germanium or galium; be a polymer, ceramic, glass or metal.
Wie in der Fig. Ϊ2 dargestellt, wird die Deckschicht C mittels Fotolithografie und Ätzung geöffnet, um die Leiterbahnen freizulegen. Über diesen Leiterbahnen soll später die Verbindung gebildet werden.As shown in Fig. Ϊ2, the cover layer C is opened by means of photolithography and etching in order to expose the conductor tracks. The connection is to be formed later via these conductor tracks.
Die Fig. 13 zeigt den Aufbau, nachdem das organische Material X abgeschieden wird. Die Verbindung hat sich über der Leiterbahn noch nicht gebildet, da das organische Material mittels eines Vakuumverfahrens aufgedampft wurde. Erst nachdem das so erhaltene Substrat einer Temperaturbehandlung unterzogen wurde, bildet sich über der Leiterbahn die Verbindung. Da die Verbindung zwischen dem Metall, z. B. Kupfer, und dem organischen Material selektiv nur über dem Metall gebildet wird (Fig. 14), kann die Öffnung in der Deckschicht größer sein als die Breite der Leiterbahn Ml wobei auch die Overlay-Toleranzen bei der Photolithographie berücksichtigt werden sollen. Das organische Material kann, wie vorstehend beschrieben, entweder mittels eines Vakuumprozesses oder durch die Behandlung mit einem Lösungsmittel aufgebracht werden. Falls das organische Material im Lösungsmittel auf das Substrat aufgebracht wird, entfällt die in der Fig. 13 gezeichnete Struktur.13 shows the structure after the organic material X is deposited. The connection has not yet formed over the conductor track, since the organic material was evaporated using a vacuum process. Only after the substrate obtained in this way has been subjected to a temperature treatment does the connection form over the conductor track. Since the connection between the metal, e.g. B. copper, and the organic material is selectively formed only over the metal (Fig. 14), the opening in the cover layer can be larger than the width of the conductor track Ml and the overlay tolerances in photolithography should also be taken into account. The organic material can as described above, either by means of a vacuum process or by treatment with a solvent. If the organic material is applied to the substrate in the solvent, the structure shown in FIG. 13 is omitted.
Das Substrat wird dann zum Beispiel mit Aceton gespült, um das überschüssige organische Material zu entfernen. Das Ergebnis dieses Schrittes ist in der Fig. 15 beschrieben. Die trapezförmige Struktur der Verbindung ist nur schematisch. Nachdem sich die Verbindung über die gesamte Länge der Leiterbahn gebildet hat, wird eine Lage Isolierung aufgebracht und geschliffen, z. B. mittels CMP, um zum in Fig. 16a gezeichneten Aufbau zu gelangen.The substrate is then rinsed with acetone, for example, to remove the excess organic material. The result of this step is described in FIG. 15. The trapezoidal structure of the connection is only schematic. After the connection has formed over the entire length of the conductor track, a layer of insulation is applied and ground, e.g. B. using CMP to get to the structure shown in Fig. 16a.
Dann können entsprechend Fig. 16b unter Verwendung gängiger Litho- und Ätztechniken Kontaktlöcher für die Kontakte sowie Gräben für die Leiterbahnen geöffnet werden. Die Leiterbahnen, die nun gebildet werden sollen, verlaufen quer zu den in der Fig. 11 als Ml gezeichneten Leiterbahnen. Die Strukturierung kann zum Beispiel mittels Dual-Cu-Damascene- Strukturierung erfolgen. In der Fig. 16b ist Tl entweder ein Kontaktloch oder ein Graben für ein Pad und L ein Kontaktloch. T2 ist ein Graben für eine Leiterbahn, die über dem Kontaktloch eine Aufweitung mindestens um den Betrag der Justiertoleranzen zeigen muss. Fig 16c zeigt die Draufsicht der in Fig. 16b dargestellten Struktur. Der schraffierte Bereich zeigt die Fläche, wo die ausgebildete Verbindung durch den erzeugten Graben T2 sichtbar wird.Then, according to FIG. 16b, contact holes for the contacts and trenches for the conductor tracks can be opened using common lithography and etching techniques. The conductor tracks which are now to be formed run transversely to the conductor tracks drawn as Ml in FIG. 11. The structuring can take place, for example, by means of dual Cu damascene structuring. In Fig. 16b, T1 is either a contact hole or a trench for a pad and L is a contact hole. T2 is a trench for a conductor track, which must show an expansion at least by the amount of the adjustment tolerances above the contact hole. 16c shows the top view of the structure shown in FIG. 16b. The hatched area shows the area where the formed connection is visible through the created trench T2.
Wie in Fig. 17 gezeigt, können durch die Dual Cu-Damascene- Technik die Gräben und Löcher gefüllt und planarisiert werden. B ist hier die Lage 1 der oberen Elektrode, die vorzugsweise aus Tantalnitrid oder einer Kombination aus Tantal und Tantalnitrid besteht. Vorzugsweise Kupfer bildet die Lage 2 der oberen Elektrode. In der Fig. 17 stehen die Bahnen Ml und M2 senkrecht zueinander. Somit werden die Speicherzellen überall an den Stellen definiert, wo sich die Bahnen kreuzen. D ist entweder eine Kombination aus zwei Kontakten K oder aus einem Kontakt und einem Pad, und dient dazu, die verschiedenen Leiterbahnen in verschiedenen Ebenen mit dem Substrat zu verdrahten -.As shown in FIG. 17, the trenches and holes can be filled and planarized using the dual Cu damascene technique. B here is position 1 of the upper electrode, the preferably consists of tantalum nitride or a combination of tantalum and tantalum nitride. The layer 2 of the upper electrode preferably forms copper. 17, the webs M1 and M2 are perpendicular to one another. The memory cells are thus defined wherever the paths intersect. D is either a combination of two contacts K or a contact and a pad, and is used to wire the different conductor tracks in different planes with the substrate -.
Durch Aufbringung einer weiteren Deckschicht und anschließender Wiederholung der in den Fig. 12 bis 17 dargestellten Schritte erhält man den Aufbau, der in der Fig. 18 dargestellt ist. In dieser Fig. kann die Leiterbahn M2 (bestehend beispielsweise aus Ta und Cu oder Ta, TaN und Cu) sowohl als obere Elektrode für die untere Zelle als auch als untere Elektrode für die obere Zelle dienen. M3 ist, die obere Elektrode der oberen Zelle und steht senkrecht zu M2. Der in Fig. 18 dargestellte Aufbau entspricht Fig. lc.By applying a further cover layer and then repeating the steps shown in FIGS. 12 to 17, the structure shown in FIG. 18 is obtained. In this figure, the conductor track M2 (consisting for example of Ta and Cu or Ta, TaN and Cu) can serve both as an upper electrode for the lower cell and as a lower electrode for the upper cell. M3 is the top electrode of the top cell and is perpendicular to M2. The structure shown in FIG. 18 corresponds to FIG. 1c.
Wie die Fig. 19 zeigt, muss eine Leiterbahn, wie zum Beispiel M2, nicht unbedingt als Elektrode für obere und untere Zellen dienen. Es ist auch möglich, dass man auf der Leiterbahn M2 keine Verbindung bildet, sondern eine Deckschicht und dann eine Isolierschicht aufbringt und zuerst die Leiterbahnebene M3 erzeugt und kontaktiert. Nach Aufbringen einer weiteren Deckschicht kann man entsprechend Fig. 12 fortfahren. In einem solchen Aufbau dient jede Leiterbahn entweder nur als obere oder als untere Elektrode, d. h. keine gemeinsamen Elektroden für zwei übereinander liegende Zellebenen.As FIG. 19 shows, a conductor track, such as M2, does not necessarily have to serve as an electrode for upper and lower cells. It is also possible that one does not form a connection on the conductor track M2, but instead applies a cover layer and then an insulating layer and first generates and contacts the conductor track plane M3. After applying a further cover layer, one can continue as shown in FIG. 12. In such a construction, each trace serves only as an upper or a lower electrode, i.e. H. no common electrodes for two superimposed cell levels.
Der Vorteil dieses Konzepts ist, dass eine Bitgröße von 4F2/n erreicht werden kann. Der Nachteil ist aber, dass das organische Material über die gesamte Leiterbahn abgeschieden wird, so dass die Zellen nicht durch ein Dielektrikum voneinander getrennt sind. Das führt dazu, dass die Zellen nur in einer Richtung (z. B. x-Richtung) voneinander durch Dielektrikum getrennt sind, aber nicht in y-Richtung, d. h. entlang der Leiterbahn.The advantage of this concept is that a bit size of 4F 2 / n can be achieved. The disadvantage, however, is that organic material is deposited over the entire conductor track so that the cells are not separated from one another by a dielectric. This means that the cells are separated from each other by dielectric only in one direction (e.g. x direction), but not in the y direction, ie along the conductor track.
Die nachfolgende Ausführungsform zeigt eine Alternative zur Herstellung des Integrationskonzepts gemäß Fig. 11 bis 18 bzw. 19. In dieser Ausführungsform wird, nach dem in der Fig. 15 dargestellten Schritt eine Isolierschicht abgeschieden und bis auf die Höhe der gebildeten Verbindung zurückgeschliffen, was den Aufbau ergibt, der in Fig. 19a dargestellt ist. Danach wird das Substrat mittels z. B. Argonplasma, für ca. 20 s bis 5 Min. geätzt. Dabei wird die Verbindung wesentlich schneller geätzt als die Isolierschicht, so dass zwischen der Verbindungsschicht und der Isolierung ein Höhenunterschied erzeugt wird wie in Fig. 20 dargestellt. Diese selektive Ätzung kann auch auf nasschemischem Wege erfolgen, zum Beispiel durch Behandlung des Substrats mit einer Mischung aus Ammoniak und einem Lösungsmittel, wie zum Beispiel Dimethylformamid. Der Zweck dieses Schritts ist, Platz zu schaffen für eine weitere Schutzschicht SC, die auf die Verbindung abgeschieden wird. Diese Schutzschicht wird zunächst vollflächig abgeschieden, wie in Fig. 21a dargestellt, aber nach chemisch-mechanischem Planarisieren (CMP) bleibt diese Schicht nur über der Leiterbahn Ml bzw. über der Verbindung erhalten (Fig. 21b) . Diese Schicht besteht vorzugsweise aus dem gleichen Material wie die obere Elektrode bzw. die Lage 1 der oberen Elektrode, falls die obere Elektrode aus mehreren Lagen besteht. Sie kann jedoch auch aus einer der anderen bereits erwähnten Elektrodenmaterialien bestehen. Anschließend wird eine weitere Isolierschicht aufgebracht, um zum Aufbau wie in Fig. 21c dargestellt zu gelangen.The following embodiment shows an alternative to producing the integration concept according to FIGS. 11 to 18 or 19. In this embodiment, after the step shown in FIG. 15, an insulating layer is deposited and ground back to the level of the connection formed, which is the structure which is shown in Fig. 19a. Then the substrate is z. B. argon plasma, etched for about 20 s to 5 min. The connection is etched much faster than the insulation layer, so that a height difference is generated between the connection layer and the insulation, as shown in FIG. 20. This selective etching can also be carried out by wet chemical means, for example by treating the substrate with a mixture of ammonia and a solvent, such as, for example, dimethylformamide. The purpose of this step is to make room for another protective layer SC which is deposited on the connection. This protective layer is initially deposited over the entire area, as shown in FIG. 21 a, but after chemical-mechanical planarization (CMP), this layer is only retained over the conductor track M 1 or over the connection (FIG. 21 b). This layer preferably consists of the same material as the upper electrode or the layer 1 of the upper electrode if the upper electrode consists of several layers. However, it can also consist of one of the other electrode materials already mentioned. Then one another insulating layer applied to get to the structure as shown in Fig. 21c.
Wie in Fig. 22 dargestellt, können mittels gängiger Litho- und Ätztechniken, wie z. B. Dual Damascene-Technik, Kontaktlöcher für die Kontakte sowie Gräben für die Leiterbahnen bzw. Pads geöffnet werden, wie schon in der Fig. 16b beschrieben ist. Nach Abscheidung und Schleifen der Elektrodenmaterialien erhält man die Struktur ähnlich wie in Fig. 17, mit dem Unterschied, dass im vorliegenden Fall (Fig. 22a) die Schicht B über der Verbindung etwas dicker ist. Für weiteren Aufbau kann man wieder eine Deckschicht aufbringen und dann entsprechend Fig. 15 weiter verfahren und zu einem Aufbau kommen wie z. B. in Fig. 18 bzw. 22b dargestellt. Wenn als Schutzschicht SC das gleiche Material verwendet wird wie für die Schicht B, ist die Schicht B in Fig. 22b dicker als in Fig. 18. Wenn für die Schichten B und SC verschiedene Materialien verwendet werden, erhält man zwei Schichten, wie in Fig. 22b dargestellt. Der in Fig. 22b gezeichnete Aufbau entspricht dem Aufbau der Fig. 18 mit einer zusätzlichen SC- Schicht .As shown in Fig. 22, using conventional lithography and etching techniques such. B. Dual Damascene technology, contact holes for the contacts and trenches for the conductor tracks or pads can be opened, as already described in Fig. 16b. After deposition and grinding of the electrode materials, the structure is obtained in a manner similar to that in FIG. 17, with the difference that in the present case (FIG. 22a), layer B is somewhat thicker over the connection. For further construction, a covering layer can be applied again and then proceed according to FIG. 15 and a construction such as e.g. B. in Fig. 18 and 22b. If the same material as the protective layer SC is used as for the layer B, the layer B in FIG. 22b is thicker than in FIG. 18. If different materials are used for the layers B and SC, two layers are obtained, as in FIG 22b. The structure shown in FIG. 22b corresponds to the structure of FIG. 18 with an additional SC layer.
Das Integrationskonzept gemäß Fig. 19a bis 22b bzw. 22c unterscheidet sich von dem in Fig. 11a bis 19 dargestellten Verfahren durch das Aufbringen der Schutzschicht selektiv auf die Verbindung. Das hat insbesondere den Vorteil, dass die Verbindung durch diese Schutzschicht, z. B. während der Ätzprozesse geschützt ist.The integration concept according to FIGS. 19a to 22b or 22c differs from the method shown in FIGS. 11a to 19 in that the protective layer is applied selectively to the connection. This has the particular advantage that the connection through this protective layer, for. B. is protected during the etching processes.
Die nachfolgende Ausführungsform zeigt eine Alternative zur Herstellung eines Integrationskonzeptes für die erfindungsgemäße Halbleiteranordnung. In dieser Ausführungsform wird auf die erste Leiterbahn, die auch die untere Elektrode für die erfindungsgemäße Zelle darstellt, eine Isolierschicht abgeschieden, und erst danach die Verbindung gebildet (d. h. der in der Fig. 16a durchgeführte Schritt erfolgt vor dem in der Fig. 13 bzw. 14 durchgeführten Schritt) . Dieses Konzept resultiert in einer Verringerung der Prozesskomplexität .The following embodiment shows an alternative to producing an integration concept for the semiconductor arrangement according to the invention. In this embodiment, the first conductor track, which is also the represents the lower electrode for the cell according to the invention, an insulating layer is deposited, and only then is the connection formed (ie the step carried out in FIG. 16a takes place before the step carried out in FIGS. 13 and 14). This concept results in a reduction in process complexity.
Auf das Substrat in der Fig. 23, das näherungsweise den Fig. 2 und 11a entspricht, wird zuerst eine Deckschicht C(Cap), dann eine Isolierschicht, vorzugsweise aus Siliziumdioxid aufgebracht, um zu dem Aufbau wie in Fig. 24 dargestellt zu gelangen. Anschließend werden in diese Isolierschicht mittels Fotolithographie und Ätzung die Gräben für die späteren Leiterbahnen geöffnet, wie in der Fig. 25 dargestellt. Die Deckschicht unter den Gräben wird ebenfalls geöffnet, so dass an den Stellen, wo sich die (oberen) Gräben mit den (darunter liegenden) Kupferbahnen kreuzen, die Kupferoberfläche frei wird.23, which corresponds approximately to FIGS. 2 and 11a, a cover layer C (cap) is applied first, then an insulating layer, preferably made of silicon dioxide, in order to arrive at the structure as shown in FIG. 24. The trenches for the later conductor tracks are then opened in this insulating layer by means of photolithography and etching, as shown in FIG. 25. The cover layer under the trenches is also opened, so that the copper surface is free at the points where the (upper) trenches intersect with the (underneath) copper tracks.
Auf diese Substratoberfläche wird dann entweder mittels eines Vakuumprozesses oder durch Behandlung mit einer Lösung des organischen Materials das organische Material auf die Kupferoberfläche abgeschieden bzw. die Verbindung gebildet. Falls das Abscheiden des organischen Materials durch eine Vakuumtechnik durchgeführt wird, uss eineThe organic material is then deposited on the copper surface or the connection is formed on this substrate surface either by means of a vacuum process or by treatment with a solution of the organic material. If the deposition of the organic material is carried out by a vacuum technique, then one
Temperaturbehandlung erfolgen, die z. B. auf einer Heizplatte oder im Ofen durchgeführt werden kann, so dass selektiv über Kupfer die Verbindung gebildet wird, wie in der Fig. 26 dargestellt, da die Isolierschicht mit dem organischen Material nicht reagiert.Heat treatment take place, the z. B. can be carried out on a hot plate or in the oven, so that the connection is formed selectively over copper, as shown in Fig. 26, since the insulating layer does not react with the organic material.
Die Substratoberfläche wird dann mit einem Lösungsmittel, wie zum Beispiel Aceton, gespült. Das kann durch Tauchen, Sprühen oder im Spincoater erfolgen. Somit sind die Dimensionen der Zelle eindeutig definiert und benachbarte Zellen voneinander durch die Isolierschicht getrennt, wie in der Fig. 26 dargestellt. In diesem Fall wird die Verbindung nicht entlang der ganzen Leiterbahnen, sondern nur örtlich an den Kreuzungspunkten gebildet.The substrate surface is then rinsed with a solvent such as acetone. This can be done by diving, spraying or in the spin coater. Thus, the dimensions of the cell are clearly defined and neighboring cells are separated from one another by the insulating layer, as shown in FIG. 26. In this case, the connection is not made along the entire conductor tracks, but only locally at the crossing points.
Anschließend werden die Gräben mit dem Elektrodenmaterial bzw. -materialien (wenn die Elektrode aus mehr wie einer Schicht besteht) gefüllt. Danach kann optioneil geschliffen werden. Fig. 27a und 27b zeigen die beiden Möglichkeiten, d. h. mit und ohne Schleifen (Polieren) der oberen Elektrode.The trenches are then filled with the electrode material or materials (if the electrode consists of more than one layer). After that, grinding can be done as an option. 27a and 27b show the two possibilities, i. H. with and without grinding (polishing) the upper electrode.
Durch Aufbringen einer Deckschicht und anschließender Wiederholung der in den Fig. 24 bis 27 dargestellten Schritte kommt man zum Aufbau, der im Wesentlichen dem in Fig. lc dargestellten Integrationskonzept entspricht.By applying a cover layer and then repeating the steps shown in FIGS. 24 to 27, a structure is obtained which essentially corresponds to the integration concept shown in FIG. 1c.
Der Vorteil dieses Integrationskonzepts ist, dass eine exakte Definition der Zelldimensionen der Speicherzellen möglich ist, so dass das Übersprechen zwischen den Zellen weitgehend unterbunden ist. Damit ist es möglich, ein Integrationskonzept mit der Bitgröße 4F2/n zu erzielen.The advantage of this integration concept is that an exact definition of the cell dimensions of the memory cells is possible, so that crosstalk between the cells is largely prevented. This makes it possible to achieve an integration concept with the 4F 2 / n bit size.
Es ist anzumerken, dass die in der Beschreibung offenbartenIt should be noted that those disclosed in the description
Einzelschichten aus mehreren Schichten bestehen können, falls es wünschenswert ist. Die in den Fig. 28 bis 36 dargestellten Strukturen erläutern näher, wie die Einzelschichten aufgebaut werden können.Single layers can consist of several layers if it is desirable. The structures shown in FIGS. 28 to 36 explain in more detail how the individual layers can be built up.
Fig. 28 zeigt den Unterbau, bei dem FEOL- und MOL-Prozesse durchgeführt sind und als Abschluss mit Kontakten Kl versehen sind. Die Kontakte Kl bestehen vorzugsweise aus Wolfram. Der Aufbau entsprechend Fig. 28 ist lediglich eine Alternative, die als Substrat für den angestrebten Aufbau mit den erfindungsgemäßen Speicherzellen dienen kann.Fig. 28 shows the substructure in which FEOL and MOL processes are carried out and are provided with contacts K1 at the end. The contacts Kl are preferably made of tungsten. The structure according to FIG. 28 is merely an alternative that can serve as a substrate for the desired structure with the memory cells according to the invention.
Auf das Substrat wird eine Isolierschicht (Jl) , vorzugsweise SiO aufgebracht. Gegebenenfalls kann auf die Isolierschicht Jl noch eine Cu CMP-Stopp-Schicht Sl aus z. B. Siliziumcarbid (SiC) und zu deren Schutz während des Lithographieprozesses noch eine weitere Schutzschicht J2, die vorzugsweise wieder aus SiO besteht, aufgebracht werden. Der Zustand nach dem Abscheiden der Schichten Jl, Sl und J2 ist in Fig. 29 dargestellt.An insulating layer (Jl), preferably SiO, is applied to the substrate. If necessary, a Cu CMP stop layer S1 made of z. B. silicon carbide (SiC) and to protect them during the lithography process, another protective layer J2, which preferably again consists of SiO, are applied. The state after the layers J1, S1 and J2 have been deposited is shown in FIG.
Die Schichten Jl, Sl und J2 werden mittels Fotolithographie und RIE (Reactive Ion Etching) strukturiert, wodurch die Freilegung der Kontakte Kl erfolgt, wie in Fig. 30 dargestellt .The layers J1, S1 and J2 are structured by means of photolithography and RIE (reactive ion etching), as a result of which the contacts K1 are exposed, as shown in FIG. 30.
Über einen Standard Cu-Damascene-Prozess wird die zweilagige untere Elektrode aufgebracht. Zuerst erfolgt die Abscheidung der Barrierelage Bl, die aus gängigen Barrierematerialien oder deren Kombination besteht. Nach dem Aufbringen der Cu Seed Layer wird Kupfer über einen ECD (Electrochemical Deposition) -Prozess abgeschieden und unter Umständen anschließend thermisch nachbehandelt. Daraufhin erfolgt das chemisch mechanische Polieren von Kupfer und von der Barriereschicht, wobei eine hohe Selektivität zwischen dem Kupfer und dem Barriere-CMP notwendig ist. Die CMP- Stoppschicht Sl ist notwendig, um einen selektiven Barriere- CMP-Prozess zur gewährleisten. Anderenfalls muss der CMP- Prozess unselektiv durchgeführt werden. Die so erhaltene Struktur ist in Fig. 31 dargestellt. Auf die so generierte Lage der Leiterbahn (Ml) kann eine Kupfer-Diffusionsbarriere S4, vorzugsweise aus HDP (High Density Plasma) Si und N aufgebracht werden (in Figs . 31 bzw. 32 nicht gezeigt, jedoch später in Fig. 41) . Es wird dann eine Isolierschicht J3, die vorzugsweise aus SiO aufgebracht. Gegebenenfalls kann auf die Dielektrikumslage eine CMP- Stoppschicht S2 aus z. B. SiC aufgebracht, und zu deren Schutz während des Lithographieprozesses noch eine weitere Schutzschicht J4 abgeschieden werden. Die Schutzschicht J4 besteht ebenfalls aus SiO. Die so erhaltenen Struktur ist in Fig. 32 dargestellt.The two-layer lower electrode is applied using a standard Cu damascene process. The barrier layer B1, which consists of common barrier materials or a combination thereof, is first deposited. After the Cu Seed Layer has been applied, copper is deposited using an ECD (electrochemical deposition) process and, if necessary, subsequently thermally treated. This is followed by the chemical mechanical polishing of copper and of the barrier layer, a high selectivity between the copper and the barrier CMP being necessary. The CMP stop layer S1 is necessary in order to ensure a selective barrier CMP process. Otherwise the CMP process must be carried out unselectively. The structure thus obtained is shown in Fig. 31. A copper diffusion barrier S4, preferably made of HDP (high density plasma) Si and N, can be applied to the position of the conductor track (M1) generated in this way (not shown in FIGS. 31 and 32, but later in FIG. 41). There is then an insulating layer J3, which is preferably applied from SiO. If necessary, a CMP stop layer S2 made of z. B. SiC applied, and another protective layer J4 are deposited to protect them during the lithography process. The protective layer J4 is also made of SiO. The structure thus obtained is shown in Fig. 32.
In folgenden Schritt werden Gräben erzeugt, die in dieser Ebene im 90° Winkel zu den Ml-Bahnen in der vorhergehenden Ebene stehen. Die erzeugten Gräben werden in der Fig. 33 abgebildet. Die Schichten S2 und J3 und gegebenenf lls J4 werden mittels Lithographie und RIE (Reactive Ion Etching) strukturiert, wodurch die Ml-Bahnen teilweise freigelegt werden. Auf den freigelegten Stellen der Ml-Bahnen wird nun das organische Material durch ein Verfahren wie in den vorhergehenden Ausführungsformen beschrieben abgeschieden, um die erfinduhgsgemäße Verbindung zu erreichen. Die so erzeugte Struktur ist in Fig. 34 dargestellt. Sie entspricht Fig. 26, mit dem Unterschied, dass in Fig. 34 mehr Details der Schichten gezeigt sind. Anschließend kann z.B. wie in Fig. 27a fortgefahren werden. Nach Aufbringen der benötigten Anzahl von Ebenen entspr. Figs. 24-27a kann der Aufbau einer abschließenden (obersten) Leiterbahn M2 erfolgen, z.B. über eine ganzflächige Abscheidung von geeigneten Elektrodenmaterialien. Als Elektrodenmaterialien können in diesem Fall gängige Materialien, wie z. B. Ti/AICu/TiN verwendet werden. Die erhaltene Struktur ist in Fig. 35 abgebildet. Die Strukturierung wird hier durch einen RIE- Prozess .In the following step, trenches are created that are at a 90 ° angle in this plane to the MI tracks in the previous plane. The trenches produced are shown in FIG. 33. The layers S2 and J3 and possibly J4 are structured by means of lithography and RIE (reactive ion etching), as a result of which the Ml tracks are partially exposed. The organic material is now deposited on the exposed areas of the Ml tracks by a method as described in the previous embodiments in order to achieve the connection according to the invention. The structure thus generated is shown in Fig. 34. It corresponds to FIG. 26, with the difference that more details of the layers are shown in FIG. 34. Then, for example, it can continue as in FIG. 27a. After applying the required number of levels according to Figs. 24-27a, a final (uppermost) conductor track M2 can be built up, for example by depositing suitable electrode materials over the entire surface. In this case, common materials, such as. B. Ti / AICu / TiN can be used. The structure obtained is in Fig. 35 displayed. The structuring is done here by an RIE process.
Als letzte Lage wird eine Standard-Passivierungsschicht P (z.B. SiO, SiN, SiON, SiC sowie beliebige Kombinationen dieser Schichten) abgeschieden und die Bondpads geöffnet. Die erhaltene Struktur ist in Fig. 36 abgebildet.The last layer is a standard passivation layer P (e.g. SiO, SiN, SiON, SiC and any combination of these layers) deposited and the bond pads opened. The structure obtained is shown in Fig. 36.
Die nachfolgenden Figuren zeigen eine Variante des in Fig. 11 bis 19 beschriebenen Konzepts, wobei nachfolgend ein detaillierter Schichtaufbau gezeigt wird.The following figures show a variant of the concept described in FIGS. 11 to 19, a detailed layer structure being shown below.
Auf das Substrat wird eine Isolierschicht Jl aufgebracht, vorzugsweise aus SiO. Gegebenenfalls kann auf die Isolierschicht Jl noch eine Cu-CMP-Stoppschicht Sl, z. B. aus SiC und zu deren Schutz während des Lithographieprozesses noch Schutzschicht J2, vorzugsweise wieder aus SiO abgeschieden werden. Die so erhaltene Struktur entspricht der in Fig. 37 abgebildeten Anordnung. Das Dielektrikum wird strukturiert, um zu einem Aufbau wie in Fig. 38 dargestellt zu gelangen.An insulating layer J1, preferably made of SiO, is applied to the substrate. If necessary, a Cu-CMP stop layer S1, z. B. from SiC and for their protection during the lithography process protective layer J2, preferably again deposited from SiO. The structure thus obtained corresponds to the arrangement shown in FIG. 37. The dielectric is structured in order to achieve a structure as shown in FIG. 38.
Über einen Standard Cu-Damascene-Prozess wird die Leiterbahn, die die untere Elektrode bildet abgeschieden. Die untere Elektrode besteht, wie oben beschrieben, aus mindestens zwei Lagen. Zur Herstellung der Leiterbahn Ml erfolgt die Abscheidung der Barrierelage Bl aus gängigenThe conductor track that forms the lower electrode is separated using a standard Cu damascene process. As described above, the lower electrode consists of at least two layers. In order to produce the conductor track Ml, the barrier layer B1 is separated from common ones
Barrierematerialien bzw. deren Kombination. Nach Aufbringung der Cu Seed Layer wird Cu über einen ECD (Electrochemical Deposition) -Prozess abgeschieden und unter Umständen anschließend thermisch nachbehandelt. Daraufhin erfolgt das chemisch mechanische Polieren der Kupferschicht und der Barriereschicht, wobei eine hohe Selektivität zwischen dem Kupfer- bzw. Barrieren-CMP notwendig ist. Der Aufbau ist in Fig. 39 dargestellt.Barrier materials or their combination. After the application of the Cu seed layers, Cu is deposited using an ECD (Electrochemical Deposition) process and possibly subsequently thermally treated. This is followed by the chemical mechanical polishing of the copper layer and the barrier layer, with a high selectivity between the Copper or barrier CMP is necessary. The structure is shown in Fig. 39.
Das organische Material kann nun selektiv auf die Leiterbahn abgeschieden werden, wie schon bei Figs. 13-15 erläutert. Die so erhaltenen Struktur ist in Fig. 40 abgebildet. Die Abscheidung des organischen Materials kann wie in Fig. 13 beschrieben erfolgen. Danach kann eine Schicht abgeschieden werden, die z. B. aus HDP (High Density Plasma) SiN besteht. Diese Schicht dient als Kupfer-Diffusionsbarriere S4. Auf diese Schicht kann nun eine weitere Isolierschicht J3 abgeschieden werden, die vorzugsweise aus SiO besteht. Gegebenenfalls kann auf die Dielektrikumslage eine CMP- Stoppschicht S3 abgeschieden werden, die z.B. aus SiC besteht. Zum Schutz der S3-Schicht während derThe organic material can now be selectively deposited on the conductor track, as already shown in Figs. 13-15 explained. The structure thus obtained is shown in Fig. 40. The organic material can be deposited as described in FIG. 13. Then a layer can be deposited, the z. B. consists of HDP (High Density Plasma) SiN. This layer serves as a copper diffusion barrier S4. A further insulating layer J3, which preferably consists of SiO, can now be deposited on this layer. If necessary, a CMP stop layer S3 can be deposited on the dielectric layer, which e.g. consists of SiC. To protect the S3 layer during the
Lithographieprozessschritte kann noch eine weitere Schutzschicht J4, vorzugsweise auch aus SiO abgeschieden werden. Die so erhaltene Struktur ist in Fig. 41 abgebildet.A further protective layer J4, preferably also made of SiO, can be deposited in the lithography process steps. The structure thus obtained is shown in Fig. 41.
Der nächste Schritt ist, die Gräben für die Leiterbahnen zur Erzeugung der oberen Elektroden zu generieren. Die Struktur nach dem Ätzen ist in Fig. 42 dargestellt. Die zu generierenden Gräben stehen im 90° Winkel zu den Ml-Bahnen in den vorhergehenden Ebenen.The next step is to generate the trenches for the conductor tracks to create the top electrodes. The structure after the etching is shown in FIG. 42. The trenches to be generated are at a 90 ° angle to the Ml tracks in the previous levels.
Nach Aufbringen der erforderlichen Anzahl von Ebenen kann der Aufbau der abschließenden (obersten) Leiterbahn M2 erfolgen, wie in Fig. 43 dargestellt. Nach deren Strukturierung wird als letzte Lage eine Passivierungsschicht P abgeschieden um zu dem in Fig. 44 dargestellten Aufbau zu gelangen. Die Passivierungsschicht P kann SiO, SiN, SiON oder SiC sowie eine beliebige Kombination dieser Schichten sein. Bei der letzten Ebene wird die Leiterbahn Ml nach dem CMP- Prozess mit dem darauf angeordnetem organischen Material behandelt, wobei selektiv auf den Kupferbahnen die Verbindung zwischen dem organischen Material und dem Metall erzeugt wird. Der Aufbau einer abschließenden Leiterbahn M2, die als Elektrode dient, erfolgt über eine ganzflächige Abscheidung von geeigneten Elektrodenmaterialien, wie bereits in Fig. 34 beschrieben.After the required number of levels has been applied, the final (uppermost) conductor track M2 can be constructed, as shown in FIG. 43. After structuring, a passivation layer P is deposited as the last layer in order to arrive at the structure shown in FIG. 44. The passivation layer P can be SiO, SiN, SiON or SiC as well as any combination of these layers. At the last level, the conductor track M1 is treated with the organic material arranged thereon after the CMP process, the connection between the organic material and the metal being produced selectively on the copper tracks. A final conductor track M2, which serves as an electrode, is constructed by depositing suitable electrode materials over the entire surface, as already described in FIG. 34.
Als Isolierschicht I bzw. J kann an Stelle von Siliziumdioxod auch ein sog. „low k" Material eingesetzt werden. Dabei bedeutet k die Dielektrizitätskonstante. Es geht dabei um Isolierschichten, die wegen der niedrigeren k Werte im Vergleich zu Siliziumdioxid eine höhere Signalgeschwindigkeit erlauben.Instead of silicon dioxide, a so-called “low k” material can also be used as the insulating layer I or J. Here, k means the dielectric constant. These are insulating layers which, because of the lower k values, allow a higher signal speed in comparison to silicon dioxide.
Beispiele für solche Materialien sind:Examples of such materials are:
Polymere wie Polyimide, Polychinoline, Polychinoxaline,Polymers such as polyimides, polyquinolines, polyquinoxalines,
Polybenzoxazole, Polyimidazole, aromatische Polyether., Polyarylene einschließlich des kommerziellen Dielektrikums SILK, Polynorbornene; weiterhin Mischpolymere (Copolymere) der genannten Materialien; poröse siliziumhaltige Materialien, poröse organische Materialien (poröse Polymere) , poröse anorganisch-organische Materialien. Polybenzoxazoles, polyimidazoles, aromatic polyethers., Polyarylenes including the commercial dielectric SILK, polynorbornenes; furthermore copolymers of these materials; porous silicon-containing materials, porous organic materials (porous polymers), porous inorganic-organic materials.
BezugszeichenlisteLIST OF REFERENCE NUMBERS
S SubstratS substrate
K Kontakt D DeckschichtK contact D cover layer
I Isolierschicht, die mehrere Schichten aufweistI insulating layer, which has several layers
IJ Einzelschichten der Isolierschicht IIJ individual layers of the insulating layer I
M LeiterbahnM conductor track
T Graben für eine Leiterbahn B untere Lage der unteren Elektrode T trench for a conductor track B lower layer of the lower electrode

Claims

Patentansprüche claims
1. Eine Halbleiteranordnung mit mindestens einer nichtflüchtigen Speicherzelle, die eine erste Elektrode, die mindestens aus zwei Lagen besteht und ein organisches Material aufweist, wobei das organische Material mit der ' im unmittelbaren Kontakt stehenden Lage der ersten Elektrode eine Verbindung bildet .1. A semiconductor device comprising at least one nonvolatile memory cell comprising a first electrode, which consists of at least two layers and comprising an organic material, the organic material forms a connection with the 'standing posture in direct contact the first electrode.
2. Halbleiteranordnung mit einer nichtflüchtigen Speicherzelle nach Anspruch 1, d a d u r c h g e k e n n z e i c h n e t, dass das organische Material mindestens ein der folgenden Materialien bzw. Verbindungen aufweist: Schwefel, Selen oder Tellur sowohl in reiner, als auch in gebundener Form insbesondere als organo-Verbindungen von Schwefel, Selen oder Tellur sowie Schwefel, Selen oder Tellur enthaltende Oligo- oder Polymere, und/oder eine der folgenden Verbindungen:2. Semiconductor arrangement with a non-volatile memory cell according to claim 1, characterized in that the organic material comprises at least one of the following materials or compounds: sulfur, selenium or tellurium both in pure and in bound form, in particular as organo compounds of sulfur, selenium or tellurium and oligomers or polymers containing sulfur, selenium or tellurium, and / or one of the following compounds:
wobei Ri, R2, R3, R4, R5, Rβr Rir und Rs unabhängig voneinander die folgende Bedeutung haben: H, F, Cl, Br, I (Jod), Alkyl, Alkenyl, Alkinyl, O-Alkyl, 0- Alkenyl, O-Alkinyl, S-Alkyl, S-Alkenyl, S-Alkinyl, OH, SH, Aryl, Heteroaryl, O-Aryl, S-Aryl, NH-Aryl, O-Heteroaryl, S'- Heteroaryl, CN, N02, —(CF2) n-^F3, —CF ( (CF2) nCF3) 2, — Q— (CF2)n-^F3, — CF(CF3)2, - (CF3)3 sowie where Ri, R2, R 3 , R 4 , R5, Rβ r Ri r and Rs independently of one another have the following meaning: H, F, Cl, Br, I (iodine), alkyl, alkenyl, alkynyl, O-alkyl, 0 Alkenyl, O-alkynyl, S-alkyl, S-alkenyl, S-alkynyl, OH, SH, aryl, heteroaryl, O-aryl, S-aryl, NH-aryl, O-heteroaryl, S'-heteroaryl, CN, N0 2 , - (CF 2 ) n - ^ F 3 , —CF ((CF 2 ) n CF 3 ) 2 , - Q— (CF 2 ) n - ^ F 3 , - CF (CF 3 ) 2 , - ( CF 3 ) 3 as well
n: n = 0 bis 10 n: n = 0 to 10
Q: -S — Rg, Rio, Rn, 12 können unabhängig voneinander sein: F, Cl, Br, I, CN, N023, R14, R15, Riβ, R17 können unabhängig voneinander sein: H, F, Cl, Br, I, CN, N02 Q: -S - Rg, Rio, Rn, 12 can be independent of one another: F, Cl, Br, I, CN, N0 23 , R 14 , R 15 , Riβ, R 17 can be independent of one another: H, F, Cl, Br, I, CN, N0 2
Xi und X2 kann unabhängig voneinander sein:Xi and X 2 can be independent of each other:
Y: O, S, Se ist und Zi und Z2 unabhängig voneinander: CN, N02 sind. Y: O, S, Se and Zi and Z 2 are independently: CN, N0 2 .
3. Halbleiteranordnung mit einer nicht flucht igen Speicherzelle nach Anspruch 1 oder 2, d a d u r c h g e k e n n z e i c h n e t, dass das organische Material ein Elektronenakzeptor ist. 3. Semiconductor arrangement with a non-volatile memory cell according to claim 1 or 2, characterized in that the organic material is an electron acceptor.
4. Halbleiteranordnung mit einer nichtflüchtigen Speicherzelle nach Ansprüche 3, d a d u r c h g e k e n n z e i c h n e t, dass der Elektronakzeptor elektronenziehende Atomen bzw. Gruppen aufweist, die ausgewählt sind aus: -Cl, -F, -Br, -I, -CN, -CO-, -N02.4. Semiconductor arrangement with a non-volatile memory cell according to claims 3, characterized in that the electron acceptor has electron-withdrawing atoms or groups which are selected from: -Cl, -F, -Br, -I, -CN, -CO-, -N0 2 ,
5. Halbleiteranordnung mit einer nichtflüchtigen Speicherzelle nach einem der Ansprüche 1 bis 4, d a d u r c h g e k e n n z e i c h n e t, dass das organische Material mit der unteren Elektrode einen Charge-Transfer Komplex bildet.5. Semiconductor arrangement with a non-volatile memory cell according to one of claims 1 to 4, d a d u r c h g e k e n n z e i c h n e t that the organic material forms a charge transfer complex with the lower electrode.
6. Halbleiteranordnung mit einer nichtflüchtigen Speicherzelle nach einem der Ansprüche 1 bis 5, d a d u r c h g e k e n n z e i c h n e t, dass die im Kontakt mit dem organischen Material stehende6. Semiconductor arrangement with a non-volatile memory cell according to one of claims 1 to 5, d a d u r c h g e k e n n z e i c h n e t that that in contact with the organic material
Lage der ersten Elektrode kupfer- oder silberhaltig ist.The position of the first electrode contains copper or silver.
7. Halbleiteranordnung mit einer nichtflüchtigen Speicherzelle nach einem der vorhergehenden Ansprüche, d a d u r c h g e k e n n z e i c h n e t, dass das organische Material in einer Filmstärke zwischen 30 und 1000 nm, vorzugsweise zwischen 30 und 300 nm, vorliegt.7. Semiconductor arrangement with a non-volatile memory cell according to one of the preceding claims, so that the organic material is present in a film thickness of between 30 and 1000 nm, preferably between 30 and 300 nm.
8. Halbleiteranordnung mit einer nichtflüchtigen Speicherzelle nach einem der vorhergehenden Ansprüche, d a d u r c h g e k e n n z e i c h n e t, dass die Zelle bis zu einer Fläche von 40 nm2 skalierbar ist.8. Semiconductor arrangement with a non-volatile memory cell according to one of the preceding claims, characterized in that the cell is scalable up to an area of 40 nm 2 .
9. Halbleiteranordnung mit einer nichtflüchtigen Speicherzelle nach einem der vorhergehenden Ansprüche, d a d u r c h g e k e n n z e i c h n e t, dass die mit dem organischen Material nicht in Kontakt stehende Lage der ersten Elektrode Titan (Ti) , Titannitrid (TiN) , Tantal (Ta) , Tantalnitrid (TaN) , Wolfram (W) , TiW, TaW, WN, WCN, IrO, RuO, SrRuO bzw. eine Kombination dieser Schichten und/oder Materialen ist und gegebenenfalls zusätzlich mit einer Schicht aus Si, TiNSi, SiON, SiO, SiC oder SiCN versehen ist.9. Semiconductor arrangement with a non-volatile memory cell according to one of the preceding claims, characterized in that the position of the first electrode which is not in contact with the organic material is titanium (Ti), titanium nitride (TiN), tantalum (Ta), tantalum nitride (TaN), tungsten (W), TiW, TaW, WN, WCN, IrO, RuO, SrRuO or a combination of these Layers and / or materials and is optionally additionally provided with a layer made of Si, TiNSi, SiON, SiO, SiC or SiCN.
10. Halbleiteranordnung mit einer nichtflüchtigen Speicherzelle nach einem der vorhergehenden Ansprüche, d a d u r c h g e k e n n z e i c h n e t, dass die zweite Elektrode aus Aluminium, Kupfer, AlCu, AlSiCu, Silber (Ag) , Titan (Ti) , Titannitrid (TiN), Tantal (Ta), Tantalnitrid (TaN), Wolfram (W) , TiW, TaW, WN, WCN, IrO, RuO, SrRuO bzw. eine Kombination dieser Schichten und/oder Materialen ist und gegebenenfalls zusätzlich mit einer Schicht aus Si, TiNSi, SiON, SiO, SiC oder SiCN versehen ist.10. Semiconductor arrangement with a non-volatile memory cell according to one of the preceding claims, characterized in that the second electrode made of aluminum, copper, AlCu, AlSiCu, silver (Ag), titanium (Ti), titanium nitride (TiN), tantalum (Ta), tantalum nitride ( TaN), tungsten (W), TiW, TaW, WN, WCN, IrO, RuO, SrRuO or a combination of these layers and / or materials and optionally additionally with a layer of Si, TiNSi, SiON, SiO, SiC or SiCN is provided.
11. Halbleiteranordnung mit einer nichtflüchtigen Speicherzelle nach einem der vorhergehenden Ansprüche, d a d u r c h g e k e n n z e i c h n e t, dass die Zelle zwischen einem ON- und einem OFF-Zustand schaltbar ist.11. A semiconductor arrangement with a non-volatile memory cell according to one of the preceding claims, that the cell is switchable between an ON and an OFF state.
12. Halbleiteranordnung mit einer nichtflüchtigen Speicherzelle nach einem der vorhergehenden Ansprüche, d a d u r c h g e k e n n z e i c h n e t, dass der ON- und OFF-Zustand verschiedene elektrische Widerstände aufweist.12. Semiconductor arrangement with a non-volatile memory cell according to one of the preceding claims, d a d u r c h g e k e n n z e i c h n e t that the ON and OFF state has different electrical resistances.
13. Halbleiteranordnung mit einer nichtflüchtigen Speicherzelle nach Anspruch 12, d a d u r c h g e k e n n z e i c h n e t, dass das Verhältnis zwischen den ON- und OFF-Zuständen mehr als 66 beträgt. 13. A semiconductor device with a non-volatile memory cell according to claim 12, characterized in that the ratio between the ON and OFF states is more than 66.
14. Verfahren zur Herstellung einer nichtflüchtigen Speicherzelle nach einem der vorhergehenden Ansprüche, gekennzeichnet durch folgende Schritte:14. A method for producing a non-volatile memory cell according to one of the preceding claims, characterized by the following steps:
- Bereitstellung einer ersten Elektrode, die mindestens aus zwei Lagen besteht und eine Lage der ersten Elektrode mit einem organischen Material eine Verbindung bilden kann;Provision of a first electrode, which consists of at least two layers and one layer of the first electrode can form a connection with an organic material;
- Inkontaktbringung der Elektrode mit einem organischen Material um eine Verbindung zu bilden;Contacting the electrode with an organic material to form a connection;
- und Ausbildung einer zweiten Elektrode auf der gebildeten Verbindung.- And formation of a second electrode on the connection formed.
15. Verfahren zur Herstellung einer nichtflüchtigen Speicherzelle nach Anspruch 14, d a d u r c h g e k e n n z e i c h n e t, dass das organische Material unter reduziertem Druck auf die Elektrode aufgedampft wird.15. The method for producing a non-volatile memory cell according to claim 14, so that the organic material is evaporated under reduced pressure on the electrode.
16. Verfahren zur Herstellung einer nichtflüchtigen Speicherzelle nach Anspruch 14, d a d u r c h g e k e n n z e i c h n e t, dass das organische Material bei der Inkontaktbringung der ersten Elektrode in einem Lösungsmittel gelöst ist.16. The method for producing a non-volatile memory cell according to claim 14, which also means that the organic material is dissolved in a solvent when the first electrode is brought into contact.
17. Verfahren nach einem der vorhergehenden Ansprüche 14 bis 16, d a d u r c h g e k e n n z e i c h n e t, dass das organische Material vor Ausbildung der zweiten17. The method according to any one of the preceding claims 14 to 16, that the organic material prior to formation of the second
Elektrode einer thermischen Behandlung unterzogen wird.Electrode is subjected to a thermal treatment.
18. Verfahren nach einem der Ansprüche 14 bis 17, d a d u r c h g e k e n n z e i c h n e t, dass vor Ausbildung der zweiten Elektrode das überschüssige organische Material mit einem Lösungsmittel gespült wird. 18. The method according to any one of claims 14 to 17, characterized in that the excess organic material is rinsed with a solvent before forming the second electrode.
19. Verfahren nach Anspruch 15, d a d u r c h g e k e n n z e i c h n e t, dass das organische Material bei einem Druck zwischen 0,00001 bis 200 mbar aufgedampft wird.19. The method according to claim 15, so that the organic material is evaporated at a pressure between 0.00001 to 200 mbar.
20. Verfahren nach einem der Ansprüche 14-19, d a d u r c h g e k e n n z e i c h n e t, dass die Inkontaktbringung des organischen Materials bei einer Substrat-Temperatur zwischen -50 °C und 150 °C stattfindet.20. The method according to any one of claims 14-19, that the contacting of the organic material takes place at a substrate temperature between -50 ° C and 150 ° C.
21. Verfahren nach einem der Ansprüche 14, 15, 17 bis 20, d a d u r c h g e k e n n z e i c h n e t, dass das organische Material in der Gasphase mit einem Trägergas vermischt wird.21. The method according to any one of claims 14, 15, 17 to 20, d a d u r c h g e k e n n e e i c h n e t that the organic material is mixed in the gas phase with a carrier gas.
22. Verfahren nach einem der Ansprüche 14 bis 21, d a d u r c h g e k e n n z e i c h n e t, dass vor Anbringung der zweiten Elektrode, die gebildete Verbindung mit einem Nachbehandlungsreagens behandelt wird.22. The method according to any one of claims 14 to 21, so that the compound formed is treated with an aftertreatment reagent before the second electrode is attached.
23. Verfahren nach Anspruch 22, d a d u r c h g e k e n n z e i c h n e t, dass das Nachbehandlungsreagens aus folgender Gruppe ausgewählt wird: Amine, Amide, Ether, Ketone, Carbonsäuren, Thioether, Ester, Aromaten, Heteroaromaten, Alkohole oder schwefel- oder selenhaltige Verbindungen.23. The method according to claim 22, so that the post-treatment reagent is selected from the following group: amines, amides, ethers, ketones, carboxylic acids, thioethers, esters, aromatics, heteroaromatics, alcohols or compounds containing sulfur or selenium.
24. Verfahren nach Anspruch 23, d a d u r c h g e k e n n z e i c h n e t, dass die schwelhaltige Verbindungen ausgewählt sind aus der Gruppe enthaltend: Schwefel-Heterocyclen, -SO- enthaltende Verbindungen und Thiole. 24. The method according to claim 23, characterized in that the smoldering compounds are selected from the group comprising: sulfur heterocycles, -SO- containing compounds and thiols.
25. Verfahren nach einem der Ansprüche 22-24, d a d u r c h g e k e n n z e i c h n e t, dass das Nachbehandlungsreagenz ausgewählt ist aus der Gruppe enthaltend: Diethylamin, Triethylamin, Dimethylanilin, Cyclohexylamin, Diphenylamin, Dimethylformamid, Dimethylacetamid, Dimethylsulfoxid, Aceton, Diethylketon, Diphenylketon, Benzoesäurephenylester, Benzofuran, N- Methylpyrrolidon, gamma-Butyrolacton, Toluol, Xylol, Mesitylen, Naphthalin, Anthracen, Imidazol, Oxazol, Benzimidazol, Benzopxazol, Chinolin, Chinoxalin, Fulvalene, Furan, Pyrrol, Thiophen oder Diphenylsulfid.25. The method according to any one of claims 22-24, characterized in that the aftertreatment reagent is selected from the group comprising: diethylamine, triethylamine, dimethylaniline, cyclohexylamine, diphenylamine, dimethylformamide, dimethylacetamide, dimethyl sulfoxide, acetone, diethyl ketone, diphenyl ketone, benzoic acid, phenyl ester, benzofuran - Methylpyrrolidone, gamma-butyrolactone, toluene, xylene, mesitylene, naphthalene, anthracene, imidazole, oxazole, benzimidazole, benzopxazole, quinoline, quinoxaline, fulvalenes, furan, pyrrole, thiophene or diphenyl sulfide.
26. Verfahren nach einem der Ansprüche 22 bis 25, d a d u r c h g e k e n n z e i c h n e t, dass das Nachbehandlungsreagenz in einer Lösung vorliegt.26. The method according to any one of claims 22 to 25, so that the aftertreatment reagent is in a solution.
27. Verfahren nach einem der Ansprüche 22-25, d a d u r c h g e k e n n z e i c h n e t, dass das Nachbehandlungsreagenz als Dampf vorliegt.27. The method according to any one of claims 22-25, so that the aftertreatment reagent is present as a vapor.
28. Verfahren nach einem der Ansprüche 22-27, d a d u r c h g e k e n n z e i c h n e t, dass die Nachbehandlungszeit zwischen 15 Sekunden und 15 Minuten beträgt.28. The method according to any one of claims 22-27, so that the after-treatment time is between 15 seconds and 15 minutes.
29. Verfahren nach einem der Ansprüche 22 bis 28, d a d u r c h g e k e n n z e i c h n e t, dass die Nachbehandlung bei einer Temperatur von -30 °C bis 150 °C stattfindet.29. The method according to any one of claims 22 to 28, so that the aftertreatment takes place at a temperature of -30 ° C to 150 ° C.
30. Verfahren nach einem der Ansprüche 14-21, dadurch gekennzeichnet, dass beim Inkontaktbringen der ersten Elektrode mit dem organischen material das Nachbehandlungsreagens gemäß einem der Ansprüche 22-25 der das organische Material enthaltenden Lösung oder dem das organische Material enthaltenden Dampf beigemischt wird. 30. The method according to any one of claims 14-21, characterized in that when the first electrode is brought into contact with the organic material, the aftertreatment reagent according to one of claims 22-25 is added to the solution containing the organic material or to the vapor containing the organic material.
31. Halbleiteranordnung nach einem der Ansprüche 1-13, aufweisend das Nachbehandlungsreagenz gemäß einem der Ansprüche 22-25, und/oder eine Reaktionsprodukt des Nachbehandlungsreagenz mit dem organischen Material und/oder dem Elektrodenmaterial.31. Semiconductor arrangement according to one of claims 1-13, comprising the aftertreatment reagent according to one of claims 22-25, and / or a reaction product of the aftertreatment reagent with the organic material and / or the electrode material.
32. Halbleiteranordnung mit einer Bitleitung und einer Wortleitung aufweisend nichtflüchtige Speicherzelle nach einem der Ansprüche 1-13 und/oder 31, wobei sich die nichtflüchtigen Speicherzellen direkt zwischen sich kreuzenden Bit- bzw. Wortleitungen befindet.32. Semiconductor arrangement with a bit line and a word line having a non-volatile memory cell according to one of claims 1-13 and / or 31, the non-volatile memory cells being located directly between intersecting bit or word lines.
33. Halbleiteranordnung nach Anspruch 32, d a d u r c h g e k e n n z e i c h n e t, dass die nichtflüchtigen Speicherzellen in mehreren Lagen vorliegen.33. The semiconductor device as claimed in claim 32, so that the non-volatile memory cells are present in a plurality of layers.
34. Halbleiteranordnung nach Anspruch 32 oder 33, herstellbar durch folgende Schritte in beliebiger Reihenfolge:34. Semiconductor arrangement according to claim 32 or 33, producible by the following steps in any order:
- Ausbilden mindestens einer ersten Leiterbahn auf einem Substrat, die als erste Elektrode für die Speicherzelle gemäß einem der Ansprüche 1-13 oder 31 dient;- Forming at least one first conductor track on a substrate, which serves as the first electrode for the memory cell according to one of claims 1-13 or 31;
- Abscheiden einer Isolierschicht; - Strukturieren der Isolierschicht, so dass in der Isolierschicht Gräben für mindestens eine Leiterbahn quer zu den ersten angelegten Leiterbahnen strukturiert werden;- depositing an insulating layer; Structuring of the insulating layer so that trenches for at least one conductor track are structured in the insulating layer transversely to the first conductor tracks applied;
- Abscheiden eines organischen Materials gemäß einem der Ansprüche 2 bis 5; - Abscheiden mindestens einer zweiten Elektrode, die quer zu der ersten angelegten Leiterbahn angeordnet ist und als zweite Elektrode für die Speicherzelle dient.- depositing an organic material according to one of claims 2 to 5; - Deposition of at least one second electrode, which is arranged transversely to the first applied conductor track and serves as a second electrode for the memory cell.
35. Halbleiteranordnung nach Anspruch 34, d a d u r c h g e k e n n z e i c h n e t, dass das Abscheiden der Isolierschicht nach dem Abscheiden des organischen Materials erfolgt. 35. Semiconductor arrangement according to claim 34, characterized in that the deposition of the insulating layer takes place after the deposition of the organic material.
36. Halbleiteranordnung nach Anspruch 33, herstellbar durch folgende Schritte in dieser Reihenfolge:36. The semiconductor arrangement according to claim 33, which can be produced by the following steps in this order:
- Ausbilden mindestens einer ersten Leiterbahn auf einem Substrat; - Abscheiden einer Isolierschicht;- Forming at least a first conductor track on a substrate; - depositing an insulating layer;
- Strukturieren der Kontaktlöcher über der ersten Elektrode;- structuring the contact holes over the first electrode;
- Abscheiden eines organischen Materials gemäß einem der Ansprüche 2-5 in die Kontaktlöcher über die erste Elektrode; - Abscheiden einer zweiten Isolierschicht;Depositing an organic material according to any one of claims 2-5 into the contact holes via the first electrode; - depositing a second insulating layer;
- Strukturieren der zweiten Isolierschicht, so dass in der Isolierschicht Gräben für mindestens eine zweite Leiterbahn, die quer zu den ersten angelegten Leiterbahnen verläuft und im Zellenfeld die Kontaktlöcher abdeckt, strukturiert werden;Structuring of the second insulating layer so that trenches for at least one second conductor track, which runs transversely to the first applied conductor tracks and covers the contact holes in the cell field, are structured in the insulating layer;
- Abscheiden mindestens einer zweiten Leiterbahn, die als zweite Elektrode für die Speicherzelle gemäß einem der Ansprüche 1-13 und/oder 31 dient.- Deposition of at least one second conductor track, which serves as a second electrode for the memory cell according to one of claims 1-13 and / or 31.
37. Halbleiteranordnung nach einem der Ansprüche 32 bis 34, d a d u r c h g e k e n n z e i c h n e t, dass sie durch eine Cu-Damascene-Technik hergestellt ist.37. The semiconductor device as claimed in one of claims 32 to 34, that it is produced by a Cu damascene technique.
38. Verfahren zur Herstellung einer Halbleiteranordnung nach einem der Ansprüche 32-37, g e k e n n z e i c h n e t d u r c h38. A method for producing a semiconductor arrangement according to any one of claims 32-37, g e k e n n z e i c h n e t d u r c h
- Ausbilden mindestens einer ersten Leiterbahn auf einem Substrat, die als erste Elektrode für die Speicherzelle gemäß einem der Ansprüche 1-13 und/oder 31 dient; - das Abscheiden einer Isolierschicht;- Forming at least one first conductor track on a substrate, which serves as the first electrode for the memory cell according to one of claims 1-13 and / or 31; - the deposition of an insulating layer;
- das Strukturieren der Isolierschicht, so dass in der Isolierschicht Gräben für mindestens eine Leiterbahn quer zu den ersten angelegten Leiterbahnen strukturiert werden;structuring of the insulating layer so that trenches for at least one conductor track are structured in the insulating layer transversely to the first conductor tracks applied;
- das Abscheiden eines organischen Materials gemäß einem der Ansprüche 2-5;- depositing an organic material according to any one of claims 2-5;
- das Abscheiden mindestens einer zweiten Elektrode, die quer zu der ersten angelegten Leiterbahn angeordnet ist und als zweite Elektrode für die Speicherzelle gemäß einem der Ansprüche 1-13 und/oder 31 dient.- The deposition of at least one second electrode, which is arranged transversely to the first applied conductor track and as second electrode for the memory cell according to one of claims 1-13 and / or 31 is used.
39. Verfahren nach Anspruch 38, d a d u r c h g e k e n n z e i c h n e t, dass das Abscheiden der Isolierschicht nach dem Abscheiden des organischen Materials erfolgt.39. The method of claim 38, so that the insulating layer is deposited after the organic material has been deposited.
40. Verfahren zur Herstellung einer Halbleiteranordnung nach einem der Ansprüche 32-37, g e k e n n z e i c h n e t d u r c h40. A method for producing a semiconductor arrangement according to any one of claims 32-37, g e k e n n z e i c h n e t d u r c h
- das Anlegen mindestens einer ersten Leiterbahn auf einem Substrat;- The application of at least a first conductor track on a substrate;
- das Abscheiden einer Isolierschicht; - das Strukturieren der Kontaktlöcher über der ersten Elektrode;- the deposition of an insulating layer; - structuring the contact holes over the first electrode;
- das Abscheiden eines organischen Materials gemäß einem der Ansprüche 2-5 in die Kontaktlöcher über die erste Elektrode; - das Abscheiden einer zweiten Isolierschicht;- depositing an organic material according to any one of claims 2-5 into the contact holes via the first electrode; - depositing a second insulating layer;
- das Strukturieren der zweiten Isolierschicht, so dass in der Isolierschicht Gräben für mindestens eine zweite Leiterbahn, die quer zu den ersten angelegten Leiterbahnen verläuft und im Zellenfeld die Kontaktlöcher abdeckt, strukturiert werden;the structuring of the second insulating layer, so that trenches for at least one second conductor track, which runs transversely to the first applied conductor tracks and covers the contact holes in the cell field, are structured in the insulating layer;
- das Abscheiden mindestens einer zweiten Leiterbahn, die als zweite Elektrode für die Speicherzelle gemäß einem der Anspruch 1-13 oder und/oder 31 dient.- The deposition of at least one second conductor track, which serves as a second electrode for the memory cell according to one of claims 1-13 or and / or 31.
41. Verfahren nach einem der Ansprüche 38-40, d a d u r c h g e k e n n z e i c h n e t, dass nach dem Abscheiden des organischen Materials auf dem organischen Material vor der weiteren Prozessierung eine Schutzschicht abgeschieden wird. 41. The method according to any one of claims 38-40, characterized in that after the deposition of the organic material on the organic material, a protective layer is deposited before further processing.
42. Speichervorrichtung enthaltend eine Mehrzahl der nicht flüchtigen Speicherzellen gemäß einem der Ansprüche 1-13 und/oder 31.42. Memory device containing a plurality of the non-volatile memory cells according to one of claims 1-13 and / or 31.
43. Speichervorrichtung nach Anspruch 39, d a d u r c h g e k e n n z e i c h n e t, dass eine Mehrzahl von Speicherzellen in einer Ebene angeordnet ist.43. Memory device according to claim 39, so that a plurality of memory cells is arranged in one plane.
44. Speichervorrichtung nach Anspruch 42 oder 43, d a d u r c h g e k e n n z e i c h n e t, dass eine Mehrzahl von Speicherzellen gemäß einem der Ansprüche 1 bis 13 und/oder 31 in XY- und in XZ- bzw. YZ- Ebene angeordnet sind. 44. Memory device according to claim 42 or 43, so that a plurality of memory cells according to one of claims 1 to 13 and / or 31 are arranged in the XY and in the XZ or YZ plane.
EP04802810A 2003-11-28 2004-11-24 Semiconductor arrangement with non-volatile memories Withdrawn EP1702369A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
DE10355561A DE10355561A1 (en) 2003-11-28 2003-11-28 Semiconductor device with nonvolatile memories
PCT/DE2004/002601 WO2005053027A1 (en) 2003-11-28 2004-11-24 Semiconductor arrangement with non-volatile memories

Publications (1)

Publication Number Publication Date
EP1702369A1 true EP1702369A1 (en) 2006-09-20

Family

ID=34625322

Family Applications (1)

Application Number Title Priority Date Filing Date
EP04802810A Withdrawn EP1702369A1 (en) 2003-11-28 2004-11-24 Semiconductor arrangement with non-volatile memories

Country Status (4)

Country Link
US (1) US20070194301A1 (en)
EP (1) EP1702369A1 (en)
DE (1) DE10355561A1 (en)
WO (1) WO2005053027A1 (en)

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102004037151A1 (en) * 2004-07-30 2006-03-23 Infineon Technologies Ag Method of forming memory layers
KR20140015128A (en) * 2004-10-18 2014-02-06 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Semiconductor device
US7935958B2 (en) * 2004-10-22 2011-05-03 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
CN101044624A (en) 2004-10-22 2007-09-26 株式会社半导体能源研究所 Semiconductor device
CN100576557C (en) * 2004-11-26 2009-12-30 株式会社半导体能源研究所 Semiconductor device and manufacture method thereof
US7265381B2 (en) * 2004-12-30 2007-09-04 Infineon Technologies, Ag Opto-electronic memory element on the basis of organic metalloporphyrin molecules
US7926726B2 (en) * 2005-03-28 2011-04-19 Semiconductor Energy Laboratory Co., Ltd. Survey method and survey system
US7700984B2 (en) * 2005-05-20 2010-04-20 Semiconductor Energy Laboratory Co., Ltd Semiconductor device including memory cell
US7868320B2 (en) * 2005-05-31 2011-01-11 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
DE102005031517A1 (en) * 2005-07-06 2007-02-01 Infineon Technologies Ag Electronic component in hybrid structural design e.g. for high density stores and sensors, has molecular film between first and second electrodes
US7405462B2 (en) * 2006-01-31 2008-07-29 Hewlett-Packard Development Company, L.P. FPGA architecture at conventional and submicron scales
WO2007105575A1 (en) * 2006-03-10 2007-09-20 Semiconductor Energy Laboratory Co., Ltd. Memory element and semiconductor device
EP1850378A3 (en) * 2006-04-28 2013-08-07 Semiconductor Energy Laboratory Co., Ltd. Memory device and semicondutor device
US7879263B2 (en) * 2006-07-24 2011-02-01 Imec Method and solution to grow charge-transfer complex salts
JP2010503194A (en) * 2006-08-31 2010-01-28 アイメック Method of manufacturing resistance switching device and device obtained by the method
EP2062307B1 (en) 2006-08-31 2015-08-19 Imec Method for controlled formation of the resistive switching material in a resistive switching device and devices obtained thereof
US20080073751A1 (en) * 2006-09-21 2008-03-27 Rainer Bruchhaus Memory cell and method of manufacturing thereof
US7994564B2 (en) * 2006-11-20 2011-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Non-volatile memory cells formed in back-end-of line processes
ITMI20070671A1 (en) 2007-04-02 2008-10-03 St Microelectronics Srl CIRCUIT ARCHITECTURE ON ORGANIC BASIS AND RELATIVE METHOD OF IMPLEMENTATION
KR20140069342A (en) 2008-05-16 2014-06-09 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Light-emitting element and electronic device
DE102009035419B4 (en) * 2009-07-31 2018-03-08 Globalfoundries Dresden Module One Llc & Co. Kg A method of fabricating a CMOS device with molecular memory elements in a via layer
US9183927B2 (en) 2009-10-02 2015-11-10 The University Of Memphis Research Foundation Memory devices, methods of storing and reading data, SMM junctions, and methods of preparing alumina substrates
WO2011136795A1 (en) * 2010-04-30 2011-11-03 Hewlett-Packard Development Company, L.P. Connection and addressing of multi-plane crosspoint devices
US8253443B2 (en) * 2010-10-26 2012-08-28 Hewlett-Packard Development Company, L.P. Interconnection architectures for multilayer crossbar circuits
WO2013066342A1 (en) 2011-11-04 2013-05-10 Hewlett-Packard Development Company, L.P. Structure of a switching device in an array
US8941089B2 (en) * 2012-02-22 2015-01-27 Adesto Technologies Corporation Resistive switching devices and methods of formation thereof
US11158788B2 (en) * 2018-10-30 2021-10-26 International Business Machines Corporation Atomic layer deposition and physical vapor deposition bilayer for additive patterning

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4652894A (en) * 1980-03-14 1987-03-24 The Johns Hopkins University Electrical organic thin film switching device switching between detectably different oxidation states
US4371883A (en) * 1980-03-14 1983-02-01 The Johns Hopkins University Current controlled bistable electrical organic thin film switching device
US4871236A (en) * 1985-09-18 1989-10-03 Kabushiki Kaisha Toshiba Organic thin film display element
US4806995A (en) * 1987-02-02 1989-02-21 Olin Corporation Optical and electrical switching devices and a polymer composition containing pendant organic charge transfer salt moieties useful in switching devices
US5185208A (en) * 1987-03-06 1993-02-09 Matsushita Electric Industrial Co., Ltd. Functional devices comprising a charge transfer complex layer
US5161149A (en) * 1991-07-22 1992-11-03 The Johns Hopkins University Electron density storage device and method using STM
US5943154A (en) * 1996-09-17 1999-08-24 Kabushiki Kaisha Toshiba Optically-controlled light control element
US6693821B2 (en) * 2001-06-28 2004-02-17 Sharp Laboratories Of America, Inc. Low cross-talk electrically programmable resistance cross point memory
JP2003283004A (en) * 2002-03-26 2003-10-03 Rohm Co Ltd Switching device and method of manufacturing the same
JP4928045B2 (en) * 2002-10-31 2012-05-09 大日本印刷株式会社 Phase change type memory device and manufacturing method thereof
US20050227382A1 (en) * 2004-04-02 2005-10-13 Hui Angela T In-situ surface treatment for memory cell formation

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
None *
See also references of WO2005053027A1 *

Also Published As

Publication number Publication date
DE10355561A1 (en) 2005-06-30
US20070194301A1 (en) 2007-08-23
WO2005053027A1 (en) 2005-06-09

Similar Documents

Publication Publication Date Title
WO2005053027A1 (en) Semiconductor arrangement with non-volatile memories
DE60030761T2 (en) VOLATILE AND NON-VOLATILE SWITCHES ON MOLECULAR BASE
DE102007004639B4 (en) A method of manufacturing a solid electrolyte storage device and solid electrolyte storage device
DE102007040826B9 (en) Integrated circuit having a cell with a layer of variable resistivity and method of manufacture
DE102007035611A1 (en) Method of manufacturing an integrated circuit, integrated circuit and memory module
DE102013103503A1 (en) Resistive Random Access Memory (RRAM) and method of making the same
DE112004001855T5 (en) Self-structuring arrangement of a conductive polymer for producing a polymer memory cell
DE102004009600B4 (en) Self-organizing organic dielectric layers based on phosphonic acid derivatives
DE102005025209A1 (en) Semiconductor memory device, for electronic system, comprises phase changeable material pattern overlying protrusion portion of molding layer, and oxidation barrier layer covering the phase-changeable material and protrusion portion
EP1743389A2 (en) Ultra-thin dielectric and use thereof in organic field-effect transistors
DE102021110748A1 (en) TRANSISTOR THAT HAS A HYDROGEN DIFFUSION BARRIER FILM AND PROCEDURE FOR ITS EDUCATION
DE102006003572A1 (en) Hybrid silicon-molecular memory cell based on Fc-BzCN and Por-BzCN molecular complexes
DE102021101648A1 (en) Semiconductor device and method of forming the same
DE102004025675B4 (en) Integrated semiconductor memory with organic selection transistor
DE102004004047B3 (en) Resistive memory for low-voltage applications
DE102009035419B4 (en) A method of fabricating a CMOS device with molecular memory elements in a via layer
DE102004004863B4 (en) Resistive memory cell
DE10340610B4 (en) Connection with at least one storage unit made of organic storage material, in particular for use in CMOS structures, semiconductor component and a method for producing a semiconductor component
DE102004041555B4 (en) Process for the preparation of molecular memory fields with self-assembled monolayers and printed electrodes
DE102006031339A1 (en) Solid electrolyte memory structure, useful in conductive bridging random access memory, dynamic random access memory and programmable metallization cell, comprises a solid electrolyte layer, a metal layer, and a corrosion resistance layer
EP1771859A1 (en) Resistive memory for low voltage applications
DE102023102405A1 (en) ULTRA-THIN BARRIER RESISTIVE MEMORY DEVICE AND METHOD OF MANUFACTURE THEREOF
DE102007050604A1 (en) Integrated circuit for use in memory module, has intermediate layer arranged between electrolyte and reactive layers, where parameter of intermediate layer is selected such that crystallization of electrolyte layer is partially suppressed
DE102004025676B4 (en) Integrated semiconductor memory with organic selection transistor
DE10361713B4 (en) Use of charge-transfer complexes of an electron donor and an electron acceptor as a basis for resistive storage and storage cell containing these complexes

Legal Events

Date Code Title Description
PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

17P Request for examination filed

Effective date: 20060510

AK Designated contracting states

Kind code of ref document: A1

Designated state(s): DE FR GB

17Q First examination report despatched

Effective date: 20061011

RIN1 Information on inventor provided before grant (corrected)

Inventor name: KASKO, IHAR

Inventor name: SITARAM, ARKALGUD

Inventor name: ENGL, REIMUND

Inventor name: WALTER, ANDREAS

Inventor name: SEZI, RECAI

Inventor name: MALTENBERGER, ANNA

Inventor name: NUETZEL, JOACHIM

Inventor name: MIKOLAJICK, THOMAS

Inventor name: PINNOW, CAY-UWE

Inventor name: DEHM, CHRISTINE

Inventor name: KRIZ, JAKOB

DAX Request for extension of the european patent (deleted)
RBV Designated contracting states (corrected)

Designated state(s): DE FR GB

17Q First examination report despatched

Effective date: 20061011

RAP1 Party data changed (applicant data changed or rights of an application transferred)

Owner name: INFINEON TECHNOLOGIES AG

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: THE APPLICATION IS DEEMED TO BE WITHDRAWN

18D Application deemed to be withdrawn

Effective date: 20100601