EP1382230A1 - Method and device for generating extreme ultraviolet radiation in particular for lithography - Google Patents

Method and device for generating extreme ultraviolet radiation in particular for lithography

Info

Publication number
EP1382230A1
EP1382230A1 EP02738200A EP02738200A EP1382230A1 EP 1382230 A1 EP1382230 A1 EP 1382230A1 EP 02738200 A EP02738200 A EP 02738200A EP 02738200 A EP02738200 A EP 02738200A EP 1382230 A1 EP1382230 A1 EP 1382230A1
Authority
EP
European Patent Office
Prior art keywords
xenon
liquid
nozzle
rare gas
pressure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
EP02738200A
Other languages
German (de)
French (fr)
Inventor
Martin Schmidt
Olivier Sublemontier
Tiberio Ceccotti
Marc Segers
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Commissariat a lEnergie Atomique et aux Energies Alternatives CEA
Original Assignee
Commissariat a lEnergie Atomique CEA
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Commissariat a lEnergie Atomique CEA filed Critical Commissariat a lEnergie Atomique CEA
Publication of EP1382230A1 publication Critical patent/EP1382230A1/en
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • H05G2/003X-ray radiation generated from plasma being produced from a liquid or gas
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • H05G2/003X-ray radiation generated from plasma being produced from a liquid or gas
    • H05G2/006X-ray radiation generated from plasma being produced from a liquid or gas details of the ejection system, e.g. constructional details of the nozzle
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • H05G2/008X-ray radiation generated from plasma involving a beam of energy, e.g. laser or electron beam in the process of exciting the plasma

Definitions

  • the present invention relates to a method and a device for generating light in the extreme ultraviolet range, in particular intended for lithography by means of such light.
  • the increase in the power of integrated circuits and the integration of more and more functions in a small space require a significant technological leap in the lithography technique, traditionally used for the manufacture of these integrated circuits.
  • EUV extreme ultraviolet
  • this radiation whose wavelength is between 10 nm and 15 n, many techniques have already been proposed.
  • irradiating a target with focused laser radiation seems to be the most promising technique for obtaining good performance in the medium term both in terms of average power, spatial and temporal stability, and reliability. Optimization of these performances is obtained by using a fog jet as target. dense and directional of micrometric droplets.
  • the use of this target produces very little debris, and the directivity of the jet makes it possible to considerably reduce the amount of debris produced indirectly by erosion of the nozzle emitting the jet, erosion which is caused by the plasma formed by the impact of laser radiation on the target.
  • EUV radiation for example that which consists in irradiating with a laser beam a target placed under vacuum.
  • Xenon “clusters” on which a beam emitted by a nan second laser is focused is known from the following documents:
  • the xenon aggregates are grains of average size much less than 1 ⁇ m, which are obtained by gaseous xenon aggregation during an adiabatic expansion of the latter through a nozzle, in a vacuum enclosure.
  • a significant part of the laser light is thus absorbed, which promotes the creation of a plasma by heating of the aggregates.
  • the local density of atoms in each aggregate is relatively high, which therefore involves a large number of atoms.
  • the large number of aggregates having a sufficiently high average number of atoms, and being in the focusing zone of the laser beam makes the emission in the extreme ultraviolet relatively intense.
  • significant material debris can result from erosion of the nozzle when it is placed too close to the area illuminated by the laser.
  • the proximity of the illuminated area and the nozzle can cause the nozzle to heat up, deteriorating the characteristics of the jet.
  • the average size of the aggregates thus formed by condensation from gaseous xenon can not be more than 1 order of a few hundred nanometers and in all cases remains well below 1 ⁇ m due to the training method used.
  • the interaction with a YAG type pulse laser, which is typically used for this application and whose pulse duration is between 3 ns and 80 ns, is optimal, in terms of intensity of the EUV radiation produced, with grains of material having an average size greater than 1 ⁇ m, and typically lying in the range from 5 ⁇ m to 50 ⁇ m.
  • the present invention relates to a dense fog generator of micrometric droplets of a rare gas, in particular xenon, and more particularly the use of this fog for the production of light in the extreme ultraviolet (10 nm to 15 nm), by laser irradiation of this dense fog.
  • the invention is based on the production of a dense and directive jet of mist of micrometric droplets under vacuum, from a liquefied rare gas, in particular liquid xenon.
  • a liquefied rare gas in particular liquid xenon.
  • the inventors have found that the use of this liquefied rare gas, in particular liquid xenon, gives the best performance in terms of intensity of the EUV radiation produced in a wavelength range from 13 nm to 14 nm, corresponding perfectly suited to the characteristics of reflective optics used in industrial photo-repeaters.
  • the jet of dense xenon fog propagates in a vacuum at a speed of the order of several tens of m / s.
  • the target is therefore renewed quickly enough to allow irradiation of this target by a pulse laser with a high repetition rate (greater than or equal to 10 kHz).
  • a laser of this type is required to obtain the average power necessary for the industrial production of integrated circuits using an industrial photo-repeater.
  • vacuum we mean a pressure which is low enough not to hinder the propagation of this jet, and which can be of the order of a few Pa. However, to avoid the reabsorption of light, it is necessary, as we will see later, having a much deeper vacuum than that which is necessary here.
  • cryogenic means are used in order to produce the liquefied rare gas, in particular liquid xenon.
  • the xenon is transported in gaseous form to a reservoir adjoining an outlet nozzle.
  • the gaseous xenon injected into the tank is locally liquefied by cryogenic means. Spraying the liquid xenon at the outlet of the nozzle gives rise to the formation of a dense and directive jet of xenon droplets.
  • the jet can be continuous or pulsed by electromechanical or piezoelectric means.
  • the pressure of the injected gas and the temperature of the liquid contained in the tank can be regulated.
  • the irradiation of the jet thus formed - by a focused laser generates the creation of a plasma capable of exhibiting an EUV radiation emission peak between 13 and 14 nm, this radiation being usable as a light source for lithography.
  • the present invention provides a technique for generating EUV radiation which does not have the drawbacks mentioned above. More generally, the present invention relates to a method and a device for generating a dense mist of droplets of a liquid, this method and this device being usable for the production of EUV radiation and also having a high reliability as well as a large simplicity, which is essential for industrial use.
  • the subject of the present invention is a method of generating light in the extreme ultraviolet by creating an interaction plasma between a laser beam and a target, this method being characterized in that: the target is constituted a dense mist composed of micro-droplets of liquid, this liquid being a liquefied rare gas, in particular liquid xenon, this liquid is produced by liquefaction of the rare gas, the liquid is pressurized by this rare gas, at a pressure included in the range of 5 ⁇ 10 5 Pa to 50 ⁇ 10 5 Pa in the case of xenon, while maintaining this liquid xenon at a temperature ranging from -70 ° C to -20 ° C, the pressure and the temperature gas is also chosen so that the rare gas is in liquid form, the liquid thus pressurized is injected into a nozzle whose minimum internal diameter is in the range from 60 ⁇ m to 600 ⁇ , this nozzle opens out ant in an area where the pressure is equal to or less than 10 "1 Pa, and thus generates, in the area, at the outlet
  • the rare gas is xenon and the liquid xenon is pressurized by gaseous xenon at a pressure ranging from 15 ⁇ 10 5 Pa to 25 ⁇ 10 5 Pa and this liquid xenon is maintained at a temperature in the range from -45 ° C to -30 ° C.
  • the rare gas is preferably xenon
  • the light generated in the extreme ultraviolet range can be used for the exposure of a substrate on which a layer of photosensitive resin is deposited.
  • the present invention also relates to a device for generating light in the extreme ultraviolet by breaking a plasma for interaction between a laser beam and a dense fog composed of micro-droplets of a liquid, this device being characterized in that the liquid is a liquefied rare gas, in particular liquid xenon, and in that the device comprises: a reservoir intended to contain the liquid, means for injecting the rare gas under pressure into the tank, provided for pressurizing, by this rare gas, the liquid contained in the tank and subjecting this liquid to a pressure ranging from 5 ⁇ 10 5 Pa to 50 ⁇ 10 5 Pa in the case of xenon, means for producing the liquid contained in the tank, by liquefying the rare gas which is injected into this tank, the liquid, when the rare gas is xenon, being maintained at a temperature included in the range from -70 ° C to -20 ° C, a nozzle whose minimum internal diameter is in the range from 60 ⁇ m to 600 ⁇ m and which is connected to the tank,
  • - means allowing a laser beam capable of interacting with the mist to enter the vacuum chamber, - means making it possible to recover the light produced, with a view to the use of this light, and first pumping means provided for establish in this vacuum chamber a first pressure approximately equal to or less than 10 "1 Pa, the injection means and the means for producing the liquid being placed in operating conditions maintaining the liquid rare gas in the nozzle and allowing generate, in the vacuum chamber, at the outlet of the nozzle, a dense and directive mist of droplets of the liquefied rare gas whose average size is greater than 1 ⁇ m, in particular lying in the range from 5 ⁇ m to 50 ⁇ m in the case of xenon, this dense mist forming a jet which is directed along the axis of the nozzle.
  • the rare gas is xenon and the pressure to which the liquid xenon contained in the tank is subjected is in the range from 15 ⁇ 10 5 Pa to 25 ⁇ 10 5 Pa and the temperature at which the liquid xenon is maintained is in the range from -45 ° C to -30 ° C.
  • the device which is the subject of the invention may further comprise: a wall which delimits a secondary zone and which is provided with a hole facing the nozzle, this hole being located on the axis of this nozzle, and
  • the wall comprises a knife (English "ski sea”) whose axis coincides with the axis of the nozzle and the orifice of which v is the drilling of the wall.
  • the device which is the subject of the invention may further comprise a heat shield which is pierced opposite the nozzle to allow the jet formed by the dense mist to pass.
  • the resistivity of the material of the nozzle is greater than or equal to 10 8 ⁇ .cm
  • the thermal conductivity of this material is greater than or equal to 40 W / mK
  • the Vickers hardness index of the material is greater than or equal to 8000 N / mm 2 .
  • This material is for example a ceramic.
  • This ceramic is preferably aluminum nitride.
  • the device which is the subject of the invention may further comprise a collector capable of directing or focusing the light generated, towards means of using this light.
  • This collector may include at least one concave reflector.
  • this device further comprises means for protecting the optics which may be contained in the device against possible debris.
  • these protection means are: means for circulating the rare gas from the vacuum chamber in front of the surface of these optics which is exposed to this debris,
  • the present invention further relates to an apparatus for lithography of semiconductor substrates, this apparatus comprising: - means for supporting a semiconductor substrate on which a layer of photosensitive resin which is intended to be exposed in a specific pattern,
  • a mask comprising the pattern determined in an enlarged form, a device for generating light in the extreme ultraviolet range according to the invention
  • optical means for transmitting light to the mask, the latter providing an image of the pattern in enlarged form
  • FIG. 1 is a view schematic of a particular embodiment of the device which is the subject of the invention, for generating a dense mist of xenon droplets
  • FIGS. 2 and 3 are schematic views of an example of nozzles usable in the device of FIG. 1,
  • Figure 4 is a part of the phase diagram of the xenon, showing above the saturated vapor pressure curve the operating range of the device of Figure 1 (hatched) and the range of optimal functioning of this device (cross hatching),
  • FIG. 5 is an experimental curve representing the evolution of the relative intensity of the EUV radiation produced as a function of the temperature of the nozzle and of the reservoir of the device of FIG. 1
  • Figure 6 is a schematic view of a lithography apparatus according to the invention.
  • the device A for generating fog according to the invention which is schematically represented in FIG. 1, comprises a reservoir 2 and a nozzle 4. This nozzle 4 is arranged near the reservoir 2 and communicates with it.
  • This tank 2 is intended to contain liquid xenon 6.
  • Cryogenic means 8 are provided to produce this liquid xenon 6 from gaseous xenon 10.
  • the liquid xenon 6 is pressurized by this gaseous xenon 10.
  • the latter is injected in the tank 2 via a line 12 and liquefied by the cryogenic means 8 to form the liquid xenon 6.
  • these cryogenic means comprise a pipe 8a which encloses the tank and the nozzle, only portions of this pipe being shown in phantom in Figure 1, and this pipe is traversed by a cryogenic fluid, for example nitrogen.
  • cryogenic means 8 comprise regulating means (not shown), capable of maintaining the liquid xenon at a fixed temperature T, with -70 ° C ⁇ T ⁇ -20 ° C and preferably -45 ° C ⁇ T ⁇ -30 ° C.
  • the temperature conditions of the nozzle 4 and of the tank 2 and the pressure conditions of the gaseous xenon 10 injected into the tank 2 constitute the essential parameters determining the size of the droplets of liquid xenon coming from the nozzle 4.
  • This nozzle 4 opens into a vacuum chamber 14 which is provided with pumping means 16 making it possible to establish there a pressure much lower than the pressure of the gaseous xenon 10.
  • the dense mist 20 forms a jet which is strongly confined on the axis X of the nozzle which is also the axis of the hole 18 of this nozzle.
  • a pulsed laser 22 of the YAG type is used, for example, whose energy per pulse is preferably between 0.2 J and 2 J, and whose pulse duration is preferably between 3 ns and 80 ns.
  • focusing means must allow the beam laser to reach, on the target, sufficient illumination to ignite the plasma, that is to say, for xenon, an illumination equal to or greater than 5 ⁇ 10 11 W / cm 2 .
  • the beam 24 supplied by the laser 22 is focused, using a lens 26 or a mirror, on the fog 20.
  • the laser beam 24 is introduced into the vacuum chamber 14 through a window 28 transparent to this laser beam and mounted on a wall of the vacuum chamber.
  • the EUV radiation emitted by the droplets of liquid xenon is symbolized by the arrows 30 oriented in all directions.
  • the greatest amount of EUV light is produced by the plasma hemisphere facing the laser beam, this plasma resulting from the interaction between the dense fog and the laser beam.
  • One or more portholes are provided on one or more walls of the chamber.
  • the enclosure 14 to recover EUV radiation for use.
  • the function of the enclosure 14 is fulfilled by the enclosure of the entire apparatus.
  • the average droplet size is adjusted by acting on the pressure of the xenon gas injected and on the temperature of the nozzle 4 and of the reservoir 2.
  • the pressure of the xenon gas injected can be between 15 bars (15xl0 5 Pa) and 25 bars (25xl0 5 Pa) and the temperature of the nozzle and the tank between -45 ° C and -30 ° C so that the average droplet size is between 5 ⁇ m and 50 ⁇ m.
  • Regulation of the nozzle and reservoir temperature can be accomplished by using liquid nitrogen and any means of heat supply to maintain a given temperature. It can also be carried out using one or more Peltier effect modules or using a conventional cooling system, or even a system operating as a heat pump.
  • the material of the nozzle 4 through which the liquid xenon passes from the reservoir 2 to the vacuum chamber 14 while being sprayed in droplets must have the physical properties mentioned below.
  • This material must be electrically insulating, to avoid possible phenomena of electric discharge between the nozzle 4 and the plasma, formed by interaction. between the laser beam and the target
  • the electrical resistivity of this material must be greater than 10 8 ⁇ .cm and may preferably be of the order of 10 14 ⁇ .cm.
  • This material must be a good thermal conductor, so as to keep the xenon in the liquid state between the inlet and the outlet of the nozzle 4.
  • the thermal conductivity of this material must be greater than 40 W / mK. Preferably, it can be of the order of 180 W / mK.
  • This material must be sufficiently hard to resist the flow of liquid xenon through the nozzle 4 and the abrasion possibly caused by the plasma which results from the interaction between the laser beam and the target formed by the fog. dense. Its “Vickers” hardness index must be greater than 8000 N / mm 2 and may preferably be of the order of 12000 N / mm 2 .
  • the material preferably used for the nozzle is a ceramic, preferably aluminum nitride (AlN).
  • AlN aluminum nitride
  • other ceramics can be used, for example alumina or silicon nitride.
  • a diaphragm that is to say a simple membrane provided with a calibrated orifice, or a debarker 32 can be provided in the vacuum chamber 14 and placed opposite the nozzle 4 in order to facilitate the pumping of the vacuum chamber 14 , by separating it into two distinct parts 34 and 36, the debarker being distinguished from the diaphragm in that its pointed shape allows it to intercept less EUV radiation, which makes it more advantageous.
  • a wall 38 is provided to delimit the part 36 relative to the other part 34 and the debarker 32 extends this wall 38.
  • the axis of this debarker 32 is coincident with the axis X of the nozzle 4.
  • this debarker is placed at a distance D from the nozzle 4, which is between the vicinity of the illuminated area and a distance from the nozzle of 10 mm, and the internal diameter of this debarker is between 1 mm and 4 mm.
  • the part 34 of the vacuum chamber 14, part which contains the nozzle 4 as well as the plasma formed by interaction between the laser beam and the jet of droplets, is pumped, by the pumping means 16, until obtaining d '' a pressure less than or equal to 10 "1 Pa in this part 34.
  • This value of 10 " 1 Pa is a maximum admissible value to avoid a phenomenon of excessive reabsorption of EUV radiation by the xenon gas present in this part 34, or upper part of the vacuum chamber 14.
  • the part of the fog which has not undergone the interaction with the laser beam passes through the debarker 32 to be pumped ⁇ into the part * 36, or lower part, of the vacuum chamber 14.
  • the pressure can reach approximately 10 Pa without the operation of the EUV radiation source being deteriorated.
  • the pumping of the two parts 34 and 36 of the chamber 14 does not generate any hydrocarbon, so as not to pollute chemically the EUV radiation collection optics (not shown).
  • the means 16 for pumping the upper part 34 of the vacuum chamber 14 may consist, for example, of one or more pumps of the turbomolecular type with magnetic bearings, associated with dry primary pumps.
  • the means 16a for pumping the lower part 36 of the vacuum chamber 14 may consist of one or more dry primary pumps.
  • the material of the debarker has the physical properties mentioned above with respect to the nozzle 4, in order to avoid erosion of this debarker.
  • the material preferably used for this debarker is aluminum nitride (AIN) or other ceramics such as alumina or silicon nitride.
  • the debarker 32 can be replaced by a simple diaphragm formed by a flat plate closing the wall 38 and provided with a bore located on the axis X, opposite the hole 18 of the nozzle 4, this plate being made of the same material as the debarker.
  • a heat shield 39 may be provided between the nozzle 4 and the point 0 of interaction of the beam 24 with the target 20, so as to reduce the heating of the nozzle which could be induced by the plasma resulting from this interaction.
  • this heat shield 39 is formed from a material having the same physical characteristics as the material of the nozzle. (for example AIN), and fixed on a part 4a of the means for generating the mist, this part being cooled by the cryogenic means 8. This part surrounds the nozzle 4 in the example shown.
  • the thermal screen is cooled by the cryogenic means 8. More generally, this thermal screen is preferably provided with cooling means which can be the means used to liquefy the xenon gas but which can also be distinct from the latter.
  • the geometry of the nozzle 4 is one of the parameters influencing the directivity of the jet 20.
  • FIGS. 2 and 3 respectively represent two examples of this geometry of the nozzle. Under the pressure conditions of the injected xenon gas 10 (between 5 ⁇ 10 5 Pa and 50 ⁇ 10 5 Pa) and the temperature conditions of the nozzle and of the reservoir
  • the minimum diameter d of the nozzle or more precisely the minimum diameter of the hole 18 thereof is between 60 ⁇ m and 600 ⁇ m.
  • the hole 18 of the nozzle 4 may generally have the shape of a cone over the entire length of the nozzle, as shown in FIG. 2.
  • the diameter of this cone increases in the direction of propagation of the jet 20
  • the half-angle at the top ⁇ of this cone can be between 1 degree and 10 degrees.
  • the hole • 18 of the nozzle 4 has a cylindrical shape of revolution around the axis X.
  • the end 18a of this hole which opens into the vacuum chamber can have a flared shape, over a length 1 of between 0.2 mm and 2 mm, leading to a local increase in the diameter of the nozzle, as shown in FIG. 3.
  • This flared shape can follow (in longitudinal section along the X axis) a circular, parabolic, hyperbolic, exponential or logarithmic curve.
  • a nozzle of cylindrical interior shape with an average diameter of 150 ⁇ m and comprising a flaring of circular shape at its end 18a, over a length 1 of 1 mm, makes it possible to obtain a mist of droplets having a half-angle of divergence ⁇ approximately 3 degrees, for a nozzle temperature of approximately -35 ° C and a pressure of the xenon gas injected of approximately 20 ⁇ 10 5 Pa.
  • This half-angle of divergence is very small compared with that of a conventional aggregate jet (of the order of 20 degrees - see documents [1] and [2]) and makes it possible to keep a sufficiently large distance between the outlet of the nozzle and the point of impact of the laser beam on the fog, without reducing the intensity of the EUV radiation produced.
  • the jet of dense mist of liquid xenon droplets can be sufficiently directive to be able to maintain a distance of between 1 mm and 5 mm, between the outlet of the nozzle and the point of impact of the laser beam on this jet, which allows '' obtain a more intense source of EUV radiation and practically free of material debris.
  • the EUV light source according to the invention also preferably includes an EUV light collector.
  • Such a collector consists of a reflective optic such as for example one or more concave mirrors placed around the source, so as to receive as much EUV radiation as possible and to direct or focus it towards the means of using this light .
  • Such a collector well known to those skilled in the art, will not be described further. It is also not shown in the drawings, since its position depends on the position of the means of using this light, and since these means, also known to those skilled in the art, have not been shown in Figure 1.
  • the invention also preferably includes means intended to protect the optics of the device (for example portholes, focusing devices) from any debris from the source, even if the source according to the invention generates very little.
  • These means may be means for causing a slight blowing, in front of the surface exposed to EUV radiation, of the ambient gas of the enclosure, even if it is under very low pressure. They can also consist of means capable of generating a slight heating of these optics.
  • they can also be made up of means capable of generating a positive polarization of the metal layer that these optics generally comprise, at a voltage sufficient to remove ionic debris, for example a few hundred volts or more.
  • Figure 4 is a part of the xenon phase diagram, showing the operating field of the invention (hatched) whose pressure is between 5 ⁇ 10 5 Pa and 50 ⁇ 10 ⁇ Pa and the temperature between -70 ° C and -20 ° C, and which is also located above the saturation vapor pressure curve. It also shows the optimal operating range (cross hatching) corresponding to a pressure between 15xl0 5 Pa and 25xl0 5 Pa and at a temperature between -45 ° C and -30 ° C. The curve of the saturated vapor pressure P variations is expressed in bars (1 bar being equal to 10 5 Pa), as a function of the temperature t expressed in ° C.
  • the part of the diagram, located at the top left of this curve corresponds to liquid xenon (L) while the part located at the bottom and right corresponds to gaseous xenon.
  • FIG. 5 shows, for a point of impact of the laser located 3 mm from the nozzle and for a pressure of xenon gas injected of approximately 24 ⁇ 10 5 Pa, the evolution of the relative intensity Ir of the EUV radiation produced, of wavelength close to 13.5 nm, in function of the measured temperature T (in ° C) of the tank and the nozzle.
  • This FIG. 5 makes it possible to highlight the difference in intensity of the EUV radiation produced with a jet of conventional xenon aggregates and a dense mist of liquid xenon droplets.
  • Part I The measured temperature of tank 2 and nozzle 4 is less than -25 ° C.
  • the phase diagram of the xenon clearly shows that the xenon is liquid under these conditions of temperature and pressure.
  • Tank 2 contains only liquid xenon. We are therefore in the presence of a jet of dense mist of xenon droplets, formed by the spraying of the liquid xenon present upstream of the nozzle 4. The flow of EUV radiation produced is high.
  • Part II The measured temperature of the tank and the nozzle is between -25 ° C and about -21.3 ° C.
  • the phase diagram of the xenon shows that the xenon goes from the liquid state to the gaseous state.
  • the reservoir 2 contains both liquid xenon and gaseous xenon. This is the liquid-vapor phase transition.
  • the EUV radiation flux produced decreases.
  • FIG. 6 very schematically illustrates the use of EUV radiation obtained with a device according to the invention for nanolithography.
  • the nanolithography apparatus schematically represented in this FIG. 6 comprises a device 40 for generating EUV radiation of the kind of the EUV radiation source which has been described with reference to FIG. 1.
  • this device also operating under very low pressure, it may have certain elements in common with the source, in particular pumping means. It can also include elements such as the EUV light collector, which functionally belongs to the source, but which can mechanically be fixed to the engraving device without departing from the scope of the invention.
  • the optional means for cleaning the optics with respect to debris from the source can also be mechanically installed on the nanolithography apparatus.
  • the nanolithography apparatus of FIG. 6 also includes a support 42 for the semiconductor substrate 44 which we want to process and which is covered with a layer 46 of photosensitive resin intended to be exposed in a specific pattern.
  • the device also includes:
  • optics 50 provided for shaping EUV radiation referenced 52, coming from device 40, and bringing this radiation 52 to mask 48 which then provides an image of the pattern in enlarged form, and optics 54 provided for reducing this enlarged image and projecting the reduced image onto the layer 46 of photosensitive resin.
  • the support 42, the mask 48 and the optics 50 and 54 are arranged in a vacuum chamber (not shown) which, for the sake of simplification, is preferably the vacuum chamber in which the EUV irradiation radiation 52 is formed.
  • the invention does not only apply to lithography, in order to manufacture integrated circuits with a very high degree of integration: the EUV radiation produced by the present invention has many other applications, in particular in materials science and microscopy. Furthermore, the invention is not limited to xenon. Other rare gases can be used, such as argon to form dense fog and produce EUV radiation.
  • the invention aims to produce light
  • EUV Extreme-V
  • it produces a large number of lines ranging from the visible range to soft X-rays, and can be used for all the wavelengths produced.

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Optics & Photonics (AREA)
  • Plasma & Fusion (AREA)
  • General Physics & Mathematics (AREA)
  • X-Ray Techniques (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

The invention concerns a method which consists in causing a laser beam (24) to interact with a dense mist (20) of micro-droplets of a liquefied rare gas. In particular liquid xenon (6) is used, the latter being produced by liquefying a gaseous xenon (10) whereby the liquid xenon is pressurised to a pressure of 5x10<5> Pa to 50x10<5> Pa, and said liquid xenon is maintained at a temperature between -70 DEG C and -20 DEG C, said pressurised liquid xenon is injected into a nozzle (4) whereof the minimum internal diameter ranges between 60 mu m and 600 mu m, said nozzle emerging into a zone where the pressure is not less than 10<-1> Pa.

Description

PROCEDE ET DISPOSITIF DE GENERATION DE LUMIERE DANS L'EXTRÊME ULTRAVIOLET NOTAMMENT POUR LA LITHOGRAPHIE. METHOD AND DEVICE FOR GENERATING LIGHT IN EXTREME ULTRAVIOLET, PARTICULARLY FOR LITHOGRAPHY.
DESCRIPTIONDESCRIPTION
DOMAINE TECHNIQUETECHNICAL AREA
La présente invention concerne un procédé et un dispositif de génération de lumière dans le domaine extrême ultraviolet, notamment destinés à la lithographie au moyen d'une telle lumière. L'accroissement de la puissance des circuits intégrés et l'intégration de fonctions de plus en plus nombreuses dans un faible espace requièrent un saut technologique important dans la technique de lithographie, traditionnellement utilisée pour la fabrication de ces circuits intégrés.The present invention relates to a method and a device for generating light in the extreme ultraviolet range, in particular intended for lithography by means of such light. The increase in the power of integrated circuits and the integration of more and more functions in a small space require a significant technological leap in the lithography technique, traditionally used for the manufacture of these integrated circuits.
L'industrie de la micro-électronique prévoit notamment l'utilisation d'un rayonnement du domaine extrême ultraviolet (EUV) pour l'insolation de résines photosensibles afin d'atteindre, sur le silicium, des dimensions critiques inférieures ou égales à 50 nanomètres .The microelectronics industry plans in particular the use of extreme ultraviolet (EUV) radiation for the exposure of photosensitive resins in order to reach, on silicon, critical dimensions less than or equal to 50 nanometers.
Pour produire ce rayonnement, dont la longueur d'onde est comprise entre 10 nm et 15 n , de nombreuses techniques ont déjà été proposées. En particulier, l'irradiation d'une cible par un rayonnement laser focalisé semble être la technique la plus prometteuse pour obtenir à moyen terme de bonnes performances à la fois en termes de puissance moyenne, de stabilité spatiale et temporelle, et de fiabilité. L'optimisation de ces performances est obtenue en utilisant comme cible un jet de brouillard dense et directif de gouttelettes micrométriques. En outre, l'utilisation de cette cible produit très peu de débris, et la directivité du jet permet de réduire considérablement la quantité de débris produits indirectement par érosion de la buse émettant le jet, érosion qui est provoquée par le plasma formé par l'impact du rayonnement laser sur la cible.To produce this radiation, whose wavelength is between 10 nm and 15 n, many techniques have already been proposed. In particular, irradiating a target with focused laser radiation seems to be the most promising technique for obtaining good performance in the medium term both in terms of average power, spatial and temporal stability, and reliability. Optimization of these performances is obtained by using a fog jet as target. dense and directional of micrometric droplets. In addition, the use of this target produces very little debris, and the directivity of the jet makes it possible to considerably reduce the amount of debris produced indirectly by erosion of the nozzle emitting the jet, erosion which is caused by the plasma formed by the impact of laser radiation on the target.
ETAT DE LA TECHNIQUE ANTERIEURESTATE OF THE PRIOR ART
On connaît diverses techniques de production d'un rayonnement EUV, par exemple celle qui consiste à irradier par un faisceau laser une cible placée sous vide.Various techniques are known for producing EUV radiation, for example that which consists in irradiating with a laser beam a target placed under vacuum.
En particulier, dans le domaine de la lithographie des circuits intégrés, il faut trouver une cible qui soit susceptible d'être irradiée par un laser pour la production de lumière dans l'extrême ultraviolet et qui soit compatible avec une exploitation industrielle de la lithographie.In particular, in the field of lithography of integrated circuits, it is necessary to find a target which is capable of being irradiated by a laser for the production of light in the extreme ultraviolet and which is compatible with an industrial exploitation of lithography.
La génération d'un rayonnement EUV, par irradiation d'un jet dense d'agrégats (en anglaisGeneration of EUV radiation, by irradiation of a dense jet of aggregates (in English
« clusters ») de xénon sur lequel on focalise un faisceau émis par un laser nan seconde, est connue par les documents suivants :Xenon “clusters” on which a beam emitted by a nan second laser is focused, is known from the following documents:
[1] Paul D. Roc ett et al . , « A high-po er laser-produced plasma UVL source for ETS », 2nd International Workshop on EUV Lithography (San Francisco, octobre 2000)[1] Paul D. Roc ett et al. , "A high-po er laser-produced plasma UVL source for ETS", 2 nd International Workshop on EUV Lithography (San Francisco, October 2000)
[2] Kubiak et Richardson, « Cluster beam targets for laser plasma extrême ultraviolet and soft x-ray sources », US 5 577 092 A.[2] Kubiak and Richardson, "Cluster beam targets for laser plasma extreme ultraviolet and soft x-ray sources", US 5,577,092 A.
On se reportera aussi au document suivant : [3] Haas et al., « Energy Emission System for Photolithography », WO 99 51357 A.We also refer to the following document: [3] Haas et al., "Energy Emission System for Photolithography", WO 99 51357 A.
Dans ce document [3], on ne mentionne pas précisément l'utilisation d'un jet d'agrégats de xénon comme cible mais on suppose clairement que la formation de la cible est obtenue par agrégation d'atomes d'un gaz .In this document [3], no specific mention is made of the use of a jet of xenon aggregates as a target, but it is clearly assumed that the formation of the target is obtained by aggregation of atoms of a gas.
On rappelle que les agrégats de xénon sont des grains de taille moyenne bien inférieure à 1 μm, qui sont obtenus par agrégation de xénon gazeux lors d'une expansion adiabatique de celui-ci à travers une buse, dans une enceinte à vide.It is recalled that the xenon aggregates are grains of average size much less than 1 μm, which are obtained by gaseous xenon aggregation during an adiabatic expansion of the latter through a nozzle, in a vacuum enclosure.
L'irradiation de ces agrégats par un faisceau laser dans le proche infrarouge produit un plasma qui émet un rayonnement plus énergétique dont la longueur d'onde est située dans l'extrême ultraviolet. Le couplage entre le laser et la cible, et donc l'efficacité de ce processus de conversion, peuvent être importants dans le cas de l'irradiation d'un jet d'agrégats de xénon dans la gamme de longueur d'onde d' intérêt .The irradiation of these aggregates by a laser beam in the near infrared produces a plasma which emits a more energetic radiation whose wavelength is located in the extreme ultraviolet. The coupling between the laser and the target, and therefore the efficiency of this conversion process, can be important in the case of the irradiation of a jet of xenon aggregates in the wavelength range of interest. .
Une partie importante de la lumière laser est ainsi absorbée, ce qui favorise la création d'un plasma par échauffement des agrégats . De plus, la densité locale des atomes dans chaque agrégat est relativement élevée, ce qui fait donc intervenir un grand nombre d'atomes. En outre, le grand nombre d'agrégats comportant un nombre moyen d'atomes suffisamment élevé, et se trouvant dans la zone de focalisation du faisceau laser, rend l'émission dans l'extrême ultraviolet relativement intense. Par contre, des débris matériels importants peuvent résulter de l'érosion de la buse lorsque celle- ci est placée trop près de la zone illuminée par le laser . En outre, la proximité de la zone illuminée et de la buse peut provoquer un échauffement de celle- ci, détériorant les caractéristiques du jet.A significant part of the laser light is thus absorbed, which promotes the creation of a plasma by heating of the aggregates. In addition, the local density of atoms in each aggregate is relatively high, which therefore involves a large number of atoms. In addition, the large number of aggregates having a sufficiently high average number of atoms, and being in the focusing zone of the laser beam, makes the emission in the extreme ultraviolet relatively intense. However, significant material debris can result from erosion of the nozzle when it is placed too close to the area illuminated by the laser. In addition, the proximity of the illuminated area and the nozzle can cause the nozzle to heat up, deteriorating the characteristics of the jet.
L'utilisation d'un jet, qui constitue une cible renouvelable, permet de travailler à haute cadence (de l'ordre de 10 kHz et au-delà), ce qui est parfaitement adapté aux appareils de lithographie pour la fabrication de circuits intégrés à très haut degré d' intégration.The use of a jet, which constitutes a renewable target, makes it possible to work at high speed (of the order of 10 kHz and beyond), which is perfectly suited to lithography apparatus for the manufacture of integrated circuits at very high degree of integration.
L'utilisation de xénon comme gaz d'agrégation donne les meilleurs résultats en ce qui concerne l'émission de rayonnement extrême ultraviolet car ce gaz dispose d'un grand nombre de raies d'émission dans la gamme spectrale considérée, notamment entre 13 nm et 14 nm. La source de rayonnement EUV, qui est connue par les documents [1] et [2], présente cependant un certain nombre d'inconvénients que l'on mentionne ci-après.The use of xenon as an aggregation gas gives the best results with regard to the emission of extreme ultraviolet radiation since this gas has a large number of emission lines in the spectral range considered, in particular between 13 nm and 14 nm. The EUV radiation source, which is known from documents [1] and [2], has however a certain number of drawbacks which are mentioned below.
- Selon ces documents [1] et [2], la densité des agrégats décroît fortement lorsqu'on s'éloigne de la buse que comporte la source, ce qui est le signe d'une divergence trop importante du jet d'agrégats. C'est pourquoi l'excitation par le faisceau laser doit avoir lieu à proximité immédiate de la buse, ce qui entraîne une érosion importante de cette buse par l'impact d'ions issus du plasma engendré ou par décharge électrique. L'érosion de la buse réduit de façon significative sa durée de vie, et donc la fiabilité de la source de rayonnement EUV, et engendre d'importantes quantités de débris, susceptibles de détériorer prématurément les optiques d'un appareil de lithographie utilisant une telle source .- According to these documents [1] and [2], the density of the aggregates decreases sharply when one moves away from the nozzle that the source has, which is a sign of too great a divergence of the jet of aggregates. This is why the excitation by the laser beam must take place in the immediate vicinity of the nozzle, which leads to significant erosion of this nozzle by the impact of ions from the generated plasma or by shock. The erosion of the nozzle significantly reduces its lifespan, and therefore the reliability of the EUV radiation source, and generates large amounts of debris, which can prematurely damage the optics of a lithography device using such a source.
- La mauvaise directivité du jet d'agrégats de xénon induit un phénomène de réabsorption du rayonnement EUV par le jet d'agrégats lui-même, l'interaction avec le laser ayant lieu au centre du jet d'agrégats, ce qui diminue sensiblement l'intensité du rayonnement EUV effectivement utilisable.- The poor directivity of the jet of xenon aggregates induces a phenomenon of reabsorption of EUV radiation by the jet of aggregates itself, the interaction with the laser taking place at the center of the jet of aggregates, which significantly reduces the intensity of EUV radiation actually usable.
— La taille moyenne des agrégats ainsi formés par condensation à partir de xénon gazeux ne peut être au maximum que de 1 ' ordre de quelques centaines de nanomètres et reste dans tous les cas bien inférieure à 1 μm du fait de la méthode de formation utilisée. Or, l'interaction avec un laser impulsionnel de type YAG, qui est typiquement utilisé pour cette application et dont la durée d'une impulsion est comprise entre 3 ns et 80 ns, est optimale, en terme d'intensité du rayonnement EUV produit, avec des grains de matière ayant une taille moyenne supérieure à 1 μm, et typiquement comprise dans l'intervalle allant de 5 μm à 50 μm.- The average size of the aggregates thus formed by condensation from gaseous xenon can not be more than 1 order of a few hundred nanometers and in all cases remains well below 1 μm due to the training method used. However, the interaction with a YAG type pulse laser, which is typically used for this application and whose pulse duration is between 3 ns and 80 ns, is optimal, in terms of intensity of the EUV radiation produced, with grains of material having an average size greater than 1 μm, and typically lying in the range from 5 μm to 50 μm.
On se reportera aussi au document suivant : [4] Richardson et al., « Water laser plasma x-ray point sources », US 5 577 091 A. Ce document [4] divulgue une source de rayonnement EUV qui utilise, en tant que cible, un jet de microcristaux de glace. Il s'agit d'une succession de microcristaux à très haute cadence de répétition où chaque microcristal a typiquement un diamètre moyen supérieur à 50 μm.Reference is also made to the following document: [4] Richardson et al., “Water laser plasma x-ray point sources”, US 5,577,091 A. This document [4] discloses an EUV radiation source which uses, as target, a throw of ice microcrystals. It is a succession of microcrystals with a very high repetition rate where each microcrystal typically has an average diameter greater than 50 μm.
De tels microcristaux sont trop gros pour que la pénétration du faisceau laser d'excitation soit complète. Une réduction du diamètre de chaque microcristal permet d'améliorer l'interaction avec le laser, mais on réduit alors le nombre d'émetteurs de photons EUV dans le plasma. La technique décrite dans le document [4] ne satisfait donc pas aux critères d'obtention d'une source de rayonnement EUV suffisamment intense.E D such microcrystals are too large for the penetration is complete excitation laser beam. A reduction in the diameter of each microcrystal makes it possible to improve the interaction with the laser, but the number of EUV photon emitters in the plasma is then reduced. The technique described in document [ 4 ] therefore does not meet the criteria for obtaining a sufficiently intense EU V radiation source.
On se reportera en outre au document suivant : Reference is also made to the following document:
[5] Hertz et al., « Me hod and apparatus for generating x-ray or EUV radiation » WO 97 40650 A. [ 5 ] Hertz et al., “Me hod and apparatus for generating x-ray or EUV radiation” W O 97 40650 A.
On connaît par ce document [5] une autre source de rayonnement EUV, fondée sur l'irradiation d'un micro-jet continu de xénon liquide. Ce genre de cible présente également l'inconvénient de contenir une quantité de matière beaucoup trop faible pour avoir un nombre suffisant d'émetteurs EUv potentiels. Ceci est dû au diamètre relativement faible (environ 10 μm) du jet de xénon liquide.It is known from this document [5] Another source of EUV radiation, based on irra iation of a micro-jet of continuous xenon liquid. This kind of target also has the disadvantage of containing a quantity of material which is far too small to have a sufficient number of potential EUv emitters. This is due to the relatively small diameter (approximately 10 μm ) of the liquid xenon jet.
De plus, les sources connues par les documents [4] et [5] sont peu stables du point de vue de l'intensité. Dans le cas du document [4], il est difficile d'irradier de la même façon chaque microcristal de glace du fait d'un problème de synchronisation avec le laser. Dans le cas du document [5], les variations d'intensité EUV sont dues aux instabilités du jet continu de xénon.In addition, the sources known from documents [4] and [5] are not very stable from the point of view of intensity. In the case of document [4], it is difficult to irradiate each ice microcrystal in the same way due to a problem of synchronization with the laser. In the case of the document [5], EUV intensity variations are due to the instabilities of the continuous jet of xenon.
EXPOSÉ DE L'INVENTIONSTATEMENT OF THE INVENTION
La présente invention concerne un générateur de brouillard dense de gouttelettes micrométriques d'un gaz rare, en particulier le xénon, et plus particulièrement l'utilisation de ce brouillard pour la production de lumière dans l'extrême ultraviolet (10 nm à 15 nm) , par irradiation laser de ce brouillard dense.The present invention relates to a dense fog generator of micrometric droplets of a rare gas, in particular xenon, and more particularly the use of this fog for the production of light in the extreme ultraviolet (10 nm to 15 nm), by laser irradiation of this dense fog.
L'invention est fondée sur la production d'un jet dense et directif de brouillard de gouttelettes micrométriques sous vide, à partir d'un gaz rare liquéfié, en particulier le xénon liquide. Les inventeurs ont trouvé que l'utilisation de ce gaz rare liquéfié, en particulier le xénon liquide, donne les meilleures performances en terme d'intensité du rayonnement EUV produit dans un intervalle de longueur d'onde allant de 13 nm à 14 nm, correspondant parfaitement aux caractéristiques des optiques réflectives utilisées dans des photo-répéteurs industriels .The invention is based on the production of a dense and directive jet of mist of micrometric droplets under vacuum, from a liquefied rare gas, in particular liquid xenon. The inventors have found that the use of this liquefied rare gas, in particular liquid xenon, gives the best performance in terms of intensity of the EUV radiation produced in a wavelength range from 13 nm to 14 nm, corresponding perfectly suited to the characteristics of reflective optics used in industrial photo-repeaters.
Le jet de brouillard dense de xénon se propage dans le vide à une vitesse de l'ordre de plusieurs dizaines de m/s. La cible est donc renouvelée suffisamment rapidement pour permettre l'irradiation de cette cible par un laser à impulsions à haute cadence de répétition (supérieure ou égale à 10 kHz) . Un laser de ce type est requis pour obtenir la puissance moyenne nécessaire à la production industrielle de circuits intégrés à l'aide d'un photo-répéteur industriel.The jet of dense xenon fog propagates in a vacuum at a speed of the order of several tens of m / s. The target is therefore renewed quickly enough to allow irradiation of this target by a pulse laser with a high repetition rate (greater than or equal to 10 kHz). A laser of this type is required to obtain the average power necessary for the industrial production of integrated circuits using an industrial photo-repeater.
Par "vide" nous entendons une pression qui est suffisamment faible pour ne pas entraver la propagation de ce jet, et qui peut être de l'ordre de quelques Pa. Toutefois, pour éviter la réabsorption de la lumière, il faut, comme on le verra plus loin, avoir un vide beaucoup plus poussé que celui qui est nécessaire ici. Dans l'invention, on utilise des moyens cryogéniques afin de produire le gaz rare liquéfié, en particulier le xénon liquide.By "vacuum" we mean a pressure which is low enough not to hinder the propagation of this jet, and which can be of the order of a few Pa. However, to avoid the reabsorption of light, it is necessary, as we will see later, having a much deeper vacuum than that which is necessary here. In the invention, cryogenic means are used in order to produce the liquefied rare gas, in particular liquid xenon.
Le xénon est acheminé sous forme gazeuse jusqu'à un réservoir jouxtant une buse de sortie. Le xénon gazeux injecté dans le réservoir y est localement liquéfié par les moyens cryogéniques . La pulvérisation du xénon liquide à la sortie de la buse donne lieu à la formation d'un jet dense et directif de gouttelettes de xénon. Le jet peut être continu ou puisé par des moyens électromécaniques ou piézoélectriques. La pression du gaz injecté et la température du liquide contenu dans le réservoir peuvent être régulées.The xenon is transported in gaseous form to a reservoir adjoining an outlet nozzle. The gaseous xenon injected into the tank is locally liquefied by cryogenic means. Spraying the liquid xenon at the outlet of the nozzle gives rise to the formation of a dense and directive jet of xenon droplets. The jet can be continuous or pulsed by electromechanical or piezoelectric means. The pressure of the injected gas and the temperature of the liquid contained in the tank can be regulated.
L'irradiation du jet ainsi formé -par un laser focalisé engendre la création d'un plasma susceptible de présenter un pic d'émission de rayonnement EUV entre 13 et 14 nm, ce rayonnement étant utilisable comme source de lumière pour la lithographie.The irradiation of the jet thus formed - by a focused laser generates the creation of a plasma capable of exhibiting an EUV radiation emission peak between 13 and 14 nm, this radiation being usable as a light source for lithography.
La présente invention propose une technique de génération de rayonnement EUV qui ne présente pas les inconvénients mentionnés plus haut. Plus généralement, la présente invention concerne un procédé et un dispositif de génération d'un brouillard dense de gouttelettes d'un liquide, ce procédé et ce dispositif étant utilisables pour la production de rayonnement EUV et ayant aussi une grande fiabilité ainsi qu'une grande simplicité, ce qui est essentiel pour une utilisation industrielle.The present invention provides a technique for generating EUV radiation which does not have the drawbacks mentioned above. More generally, the present invention relates to a method and a device for generating a dense mist of droplets of a liquid, this method and this device being usable for the production of EUV radiation and also having a high reliability as well as a large simplicity, which is essential for industrial use.
De façon précise, la présente invention a pour objet un procédé de génération de lumière dans l'extrême ultraviolet par création d'un plasma d'interaction entre un faisceau laser et une cible, ce procédé étant caractérisé en ce que : la cible est constituée d'un brouillard dense composé de micro-gouttelettes de liquide, ce liquide étant un gaz rare liquéfié, en particulier le xénon liquide, on produit ce liquide par liquéfaction du gaz rare, on pressurise le liquide par ce gaz rare, à une pression comprise dans l'intervalle allant de 5xl05 Pa à 50xl05 Pa dans le cas du xénon, tout en maintenant ce xénon liquide à une température comprise dans l'intervalle allant de -70°C à -20°C, la pression et la température du gaz étant en outre choisies pour que le gaz rare soit sous forme liquide, on injecte le liquide ainsi pressurisé dans une buse dont le diamètre intérieur minimal est compris dans l'intervalle allant de 60 μm à 600 μ , cette buse débouchant dans une zone où la pression est égale ou inférieure à 10"1 Pa, et l'on engendre ainsi, dans la zone, à la sortie de la buse, un brouillard dense et directif de gouttelettes du gaz rare liquéfié dont la taille moyenne est supérieure à 1 μm, en particulier comprise dans l'intervalle allant de 5 μm à 50 μm dans le cas du xénon, ce brouillard dense formant un jet qui est dirigé suivant l'axe de la buse, et on focalise en outre un faisceau laser sur le brouillard dense ainsi obtenu, ce faisceau laser étant apte à interagir avec ce brouillard dense pour engendrer une lumière dans le domaine extrême ultraviolet.Specifically, the subject of the present invention is a method of generating light in the extreme ultraviolet by creating an interaction plasma between a laser beam and a target, this method being characterized in that: the target is constituted a dense mist composed of micro-droplets of liquid, this liquid being a liquefied rare gas, in particular liquid xenon, this liquid is produced by liquefaction of the rare gas, the liquid is pressurized by this rare gas, at a pressure included in the range of 5 × 10 5 Pa to 50 × 10 5 Pa in the case of xenon, while maintaining this liquid xenon at a temperature ranging from -70 ° C to -20 ° C, the pressure and the temperature gas is also chosen so that the rare gas is in liquid form, the liquid thus pressurized is injected into a nozzle whose minimum internal diameter is in the range from 60 μm to 600 μ, this nozzle opens out ant in an area where the pressure is equal to or less than 10 "1 Pa, and thus generates, in the area, at the outlet of the nozzle, a dense and directive mist of droplets of liquefied rare gas whose average size is greater than 1 μm, in particular included in the interval going from 5 μm to 50 μm in the case of xenon, this dense mist forming a jet which is directed along the axis of the nozzle, and a laser beam is further focused on the dense mist thus obtained, this beam laser being able to interact with this dense fog to generate light in the extreme ultraviolet range.
Selon un mode de mise en œuvre préféré du procédé objet de l'invention, le gaz rare est le xénon et l'on pressurise le xénon liquide par le xénon gazeux à une pression comprise dans l'intervalle allant de 15xl05 Pa à 25xl05 Pa et l'on maintient ce xénon liquide à une température comprise dans l'intervalle allant de -45°C à -30°C.According to a preferred embodiment of the process which is the subject of the invention, the rare gas is xenon and the liquid xenon is pressurized by gaseous xenon at a pressure ranging from 15 × 10 5 Pa to 25 × 10 5 Pa and this liquid xenon is maintained at a temperature in the range from -45 ° C to -30 ° C.
Lorsque le gaz rare est de préférence le xénon, on peut utiliser la lumière engendrée dans le domaine extrême ultraviolet pour l'insolation d'un substrat sur lequel est déposée une couche de résine photosensible.When the rare gas is preferably xenon, the light generated in the extreme ultraviolet range can be used for the exposure of a substrate on which a layer of photosensitive resin is deposited.
La présente invention a également pour objet un dispositif de génération de lumière dans l'extrême ultraviolet par bréation d'un - plasma d'interaction entre un faisceau laser et un brouillard dense composé de micro-gouttelettes d'un liquide, ce dispositif étant caractérisé en ce que le liquide est un gaz rare liquéfié, en particulier le xénon liquide, et en ce que le dispositif comprend : un réservoir destiné à contenir le liquide, - des moyens d'injection du gaz rare sous pression dans le réservoir, prévus pour pressuriser, par ce gaz rare, le liquide contenu dans le réservoir et soumettre ce liquide à une pression comprise dans l'intervalle allant de 5xl05 Pa à 50xl05 Pa dans le cas du xénon, des moyens de production du liquide contenu dans le réservoir, par liquéfaction du gaz rare qui est injecté dans ce réservoir, le liquide, lorsque le gaz rare est le xénon, étant maintenu à une température comprise dans l'intervalle allant de -70°C à -20°C, une buse dont le diamètre intérieur minimal est compris dans l'intervalle allant de 60 μm à 600 μm et qui est reliée au réservoir,The present invention also relates to a device for generating light in the extreme ultraviolet by breaking a plasma for interaction between a laser beam and a dense fog composed of micro-droplets of a liquid, this device being characterized in that the liquid is a liquefied rare gas, in particular liquid xenon, and in that the device comprises: a reservoir intended to contain the liquid, means for injecting the rare gas under pressure into the tank, provided for pressurizing, by this rare gas, the liquid contained in the tank and subjecting this liquid to a pressure ranging from 5 × 10 5 Pa to 50 × 10 5 Pa in the case of xenon, means for producing the liquid contained in the tank, by liquefying the rare gas which is injected into this tank, the liquid, when the rare gas is xenon, being maintained at a temperature included in the range from -70 ° C to -20 ° C, a nozzle whose minimum internal diameter is in the range from 60 μm to 600 μm and which is connected to the tank,
- une chambre à vide contenant la buse,- a vacuum chamber containing the nozzle,
- des moyens permettant de faire pénétrer dans la chambre à vide un faisceau laser apte à interagir avec le brouillard, - des moyens permettant de récupérer la lumière produite, en vue de l'utilisation de cette lumière, et des premiers moyens de pompage prévus pour établir dans cette chambre à vide une première pression environ égale ou inférieure à 10"1 Pa, les moyens d'injection et les moyens de production du liquide étant placés dans des conditions de fonctionnement maintenant le gaz rare liquide dans la buse et permettant d'engendrer , dans la chambre à vide, à la sortie de la buse, un brouillard dense et directif de gouttelettes du gaz rare liquéfié dont la taille moyenne est supérieure à 1 μm, en particulier comprise dans l'intervalle allant de 5 μm à 50 μm dans le cas du xénon, ce brouillard dense formant un jet qui est dirigé suivant l'axe de la buse. Selon un mode de réalisation préféré du dispositif objet de l'invention, le gaz rare est le xénon et la pression à laquelle est soumis le xénon liquide contenu dans le réservoir est comprise dans l'intervalle allant de 15xl05 Pa à 25xl05 Pa et la température à laquelle est maintenu le xénon liquide est comprise dans l'intervalle allant de -45°C à -30°C.- means allowing a laser beam capable of interacting with the mist to enter the vacuum chamber, - means making it possible to recover the light produced, with a view to the use of this light, and first pumping means provided for establish in this vacuum chamber a first pressure approximately equal to or less than 10 "1 Pa, the injection means and the means for producing the liquid being placed in operating conditions maintaining the liquid rare gas in the nozzle and allowing generate, in the vacuum chamber, at the outlet of the nozzle, a dense and directive mist of droplets of the liquefied rare gas whose average size is greater than 1 μm, in particular lying in the range from 5 μm to 50 μm in the case of xenon, this dense mist forming a jet which is directed along the axis of the nozzle. According to a preferred embodiment of the device which is the subject of the invention, the rare gas is xenon and the pressure to which the liquid xenon contained in the tank is subjected is in the range from 15 × 10 5 Pa to 25 × 10 5 Pa and the temperature at which the liquid xenon is maintained is in the range from -45 ° C to -30 ° C.
Le dispositif objet de l'invention peut comprendre en outre : une paroi qui délimite une zone secondaire et qui est pourvue d'un perçage en regard de la buse, ce perçage se trouvant sur l'axe de cette buse, etThe device which is the subject of the invention may further comprise: a wall which delimits a secondary zone and which is provided with a hole facing the nozzle, this hole being located on the axis of this nozzle, and
- des deuxièmes moyens de pompage prévus pour établir dans cette zone secondaire une deuxième pression supérieure à la première pression.- second pumping means provided to establish in this secondary zone a second pressure greater than the first pressure.
De préférence, la paroi comporte un écorceur (en anglais « ski mer ») dont l'axe coïncide avec l'axe de la buse et dontv l'orifice constitue le perçage de la paroi. Le dispositif objet de l'invention peut comprendre en outre un écran thermique qui est percé en regard de la buse pour laisser passer le jet formé par le brouillard dense.Preferably, the wall comprises a knife (English "ski sea") whose axis coincides with the axis of the nozzle and the orifice of which v is the drilling of the wall. The device which is the subject of the invention may further comprise a heat shield which is pierced opposite the nozzle to allow the jet formed by the dense mist to pass.
De préférence, la résistivité du matériau constitutif de la buse est supérieure ou égale à 108 Ω.cm, la conductivité thermique de ce matériau est supérieure ou égale à 40 W/mK et l'indice de dureté Vickers du matériau est supérieure ou égale à 8000 N/mm2.Preferably, the resistivity of the material of the nozzle is greater than or equal to 10 8 Ω.cm, the thermal conductivity of this material is greater than or equal to 40 W / mK and the Vickers hardness index of the material is greater than or equal to 8000 N / mm 2 .
Ce matériau est par exemple une céramique. Cette céramique est de préférence du nitrure d'aluminium.This material is for example a ceramic. This ceramic is preferably aluminum nitride.
Le dispositif objet de l'invention peut comprendre en outre un collecteur apte à diriger ou à focaliser la lumière engendrée, vers des moyens d'utilisation de cette lumière.The device which is the subject of the invention may further comprise a collector capable of directing or focusing the light generated, towards means of using this light.
Ce collecteur peut comporter au moins un réflecteur concave.This collector may include at least one concave reflector.
Selon un mode de réalisation particulier du dispositif objet de l'invention, ce dispositif comprend en outre des moyens de protection des optiques susceptibles d'être contenues dans le dispositif vis-à- vis d'éventuels débris.According to a particular embodiment of the device which is the subject of the invention, this device further comprises means for protecting the optics which may be contained in the device against possible debris.
Selon divers modes de réalisation particuliers, ces moyens de protection sont : - des moyens de circulation du gaz rare de la chambre à vide devant la surface de ces optiques qui est exposée à ces débris,According to various particular embodiments, these protection means are: means for circulating the rare gas from the vacuum chamber in front of the surface of these optics which is exposed to this debris,
- ou des moyens de chauffage de la -surface de ces optiques qui est exposée à ces débris, - ou des moyens de polarisation positive d'une couche métallique que comportent ces optiques.- Or means for heating the surface of these optics which is exposed to this debris, - or means for positive polarization of a metallic layer which these optics comprise.
La présente invention concerne en outre un appareil de lithographie de substrats semiconducteurs, cet appareil comprenant : - des moyens de support d'un substrat semiconducteur sur lequel est déposée une couche de résine photosensible qui est destinée à être insolée suivant un motif déterminé,The present invention further relates to an apparatus for lithography of semiconductor substrates, this apparatus comprising: - means for supporting a semiconductor substrate on which a layer of photosensitive resin which is intended to be exposed in a specific pattern,
- un masque comprenant le motif déterminé sous une forme agrandie, - un dispositif de génération de lumière dans le domaine extrême ultraviolet conforme à 1' invention,a mask comprising the pattern determined in an enlarged form, a device for generating light in the extreme ultraviolet range according to the invention,
- des moyens optiques de transmission de la lumière au masque, ce dernier fournissant une image du motif sous forme agrandie, etoptical means for transmitting light to the mask, the latter providing an image of the pattern in enlarged form, and
- des moyens optiques de réduction de cette image et de projection de l'image réduite sur la couche de résine photosensible.- Optical means for reducing this image and for projecting the reduced image onto the layer of photosensitive resin.
BRÈVE DESCRIPTION DES DESSINS La présente invention sera mieux comprise à la lecture de la description d'exemples de réalisation donnés ci-après, à titre purement indicatif et nullement limitatif, en faisant référence aux dessins annexés sur lesquels : " la figure 1 est une vue schématique d'un mode de réalisation particulier du dispositif objet de l'invention, pour la génération d'un brouillard dense de gouttelettes de xénon,BRIEF DESCRIPTION OF THE DRAWINGS The present invention will be better understood on reading the description of embodiments given below, by way of indication only and in no way limiting, with reference to the appended drawings in which: "FIG. 1 is a view schematic of a particular embodiment of the device which is the subject of the invention, for generating a dense mist of xenon droplets,
• les figures 2 et 3 sont des vues schématiques d'exemple de buses utilisables dans le dispositif de la figure 1,FIGS. 2 and 3 are schematic views of an example of nozzles usable in the device of FIG. 1,
" la figure 4 est une partie du diagramme de phase du xénon, montrant au dessus de la courbe de pression de vapeur saturante le domaine de fonctionnement du dispositif de la figure 1 (hachuré) et le domaine de fonctionnement optimal de ce dispositif (hachures croisées) , " la figure 5 est une courbe expérimentale représentant l'évolution de l'intensité relative du rayonnement EUV produit en fonction de la température de la buse et du /réservoir du dispositif de la figure 1, et " la figure 6 est une vue schématique d'un appareil de lithographie conforme à l'invention. EXPOSÉ DÉTAILLÉ DE MODES DE RÉALISATION PARTICULIERS"Figure 4 is a part of the phase diagram of the xenon, showing above the saturated vapor pressure curve the operating range of the device of Figure 1 (hatched) and the range of optimal functioning of this device (cross hatching), "FIG. 5 is an experimental curve representing the evolution of the relative intensity of the EUV radiation produced as a function of the temperature of the nozzle and of the reservoir of the device of FIG. 1, and "Figure 6 is a schematic view of a lithography apparatus according to the invention. DETAILED PRESENTATION OF PARTICULAR EMBODIMENTS
Le dispositif A de génération de brouillard conforme à l'invention, qui est schématiquement représenté sur la figure 1, comprend un réservoir 2 et une buse 4. Cette buse 4 est disposée à proximité du réservoir 2 et communique avec celui-ci.The device A for generating fog according to the invention, which is schematically represented in FIG. 1, comprises a reservoir 2 and a nozzle 4. This nozzle 4 is arranged near the reservoir 2 and communicates with it.
Ce réservoir 2 est destiné à contenir du xénon liquide 6. Des moyens cryogéniques 8 sont prévus pour produire ce xénon liquide 6 à partir de xénon gazeux 10. De plus, le xénon liquide 6 est pressurisé par ce xénon gazeux 10. Ce dernier est injecté dans le réservoir 2 par l'intermédiaire d'une canalisation 12 et liquéfié par les moyens cryogéniques 8 pour former le xénon liquide 6. A titre d'exemple, ces moyens cryogéniques comprennent un tuyau 8a qui enserre le réservoir et la buse, seules des portions de ce tuyau étant représentées en traits mixtes sur la figure 1, et ce tuyau est parcouru par un fluide cryogénique, par exemple l'azote. En outre, ces moyens cryogéniques 8 comprennent des moyens de régulation (non représentés) , aptes à maintenir le xénon liquide à une température fixe T, avec -70°C<T<-20°C et de préférence -45°C<T<-30°C.This tank 2 is intended to contain liquid xenon 6. Cryogenic means 8 are provided to produce this liquid xenon 6 from gaseous xenon 10. In addition, the liquid xenon 6 is pressurized by this gaseous xenon 10. The latter is injected in the tank 2 via a line 12 and liquefied by the cryogenic means 8 to form the liquid xenon 6. By way of example, these cryogenic means comprise a pipe 8a which encloses the tank and the nozzle, only portions of this pipe being shown in phantom in Figure 1, and this pipe is traversed by a cryogenic fluid, for example nitrogen. In addition, these cryogenic means 8 comprise regulating means (not shown), capable of maintaining the liquid xenon at a fixed temperature T, with -70 ° C <T <-20 ° C and preferably -45 ° C <T <-30 ° C.
Les conditions de température de la buse 4 et du réservoir 2 et les conditions de pression du xénon gazeux 10 injecté dans le réservoir 2 constituent les paramètres essentiels déterminant la taille des gouttelettes de xénon liquide issues de la buse 4.The temperature conditions of the nozzle 4 and of the tank 2 and the pressure conditions of the gaseous xenon 10 injected into the tank 2 constitute the essential parameters determining the size of the droplets of liquid xenon coming from the nozzle 4.
Cette buse 4 débouche dans une chambre à vide (« vacuum chamber ») 14 qui est munie de moyens de pompage 16 permettant d'y établir une pression très inférieure à la pression du xénon gazeux 10. Le xénon liquide 6, qui arrive dans la buseThis nozzle 4 opens into a vacuum chamber 14 which is provided with pumping means 16 making it possible to establish there a pressure much lower than the pressure of the gaseous xenon 10. The liquid xenon 6, which arrives in the buzzard
4, est ainsi violemment expulsé à travers le trou 18 de celle-ci dans la chambre à vide 14 et y forme un brouillard dense 20, formé par Tes gouttelettes de xénon liquide. Le brouillard dense 20 forme un jet qui est fortement confiné sur l'axe X de la buse qui est aussi l'axe du trou 18 de cette buse.4, is thus violently expelled through the hole 18 thereof in the vacuum chamber 14 and forms there a dense mist 20, formed by the droplets of liquid xenon. The dense mist 20 forms a jet which is strongly confined on the axis X of the nozzle which is also the axis of the hole 18 of this nozzle.
On considère maintenant l'application du brouillard dense 20 de gouttelettes de xénon liquide à la génération d'un rayonnement EUV.We now consider the application of dense fog 20 of liquid xenon droplets to the generation of EUV radiation.
Pour exciter ce brouillard 20, on utilise par exemple un laser 22 impulsionnel de type YAG, dont l'énergie par impulsion est de préférence comprise entre 0,2 J et 2 J, et dont la durée d'impulsion est de préférence comprise entre 3 ns et 80 ns . En outre, des moyens de focalisation doivent permettre au faisceau laser d'atteindre, sur la cible, un éclairerαent suffisant pour allumer le plasma, c'est à dire, pour le xénon, un éclairement égal ou supérieur à 5X1011 W/cm2.To excite this fog 20, a pulsed laser 22 of the YAG type is used, for example, whose energy per pulse is preferably between 0.2 J and 2 J, and whose pulse duration is preferably between 3 ns and 80 ns. In addition, focusing means must allow the beam laser to reach, on the target, sufficient illumination to ignite the plasma, that is to say, for xenon, an illumination equal to or greater than 5 × 10 11 W / cm 2 .
Le faisceau 24 fourni par le laser 22 est focalisé, à l'aide d'une lentille 26 ou d'un miroir, sur le brouillard 20.The beam 24 supplied by the laser 22 is focused, using a lens 26 or a mirror, on the fog 20.
On précise que, dans l'exemple représenté, le faisceau laser 24 est introduit dans la chambre à vide 14 à travers un hublot 28 transparent à ce faisceau laser et monté sur une paroi de la chambre à vide.It is specified that, in the example shown, the laser beam 24 is introduced into the vacuum chamber 14 through a window 28 transparent to this laser beam and mounted on a wall of the vacuum chamber.
Sur la figure 1, le rayonnement EUV émis par les gouttelettes de xénon liquide est symbolisé par les flèches 30 orientées selon toutes les directions. Toutefois la plus grande quantité de lumière EUV est produite par la demi-sphère de plasma faisant face au faisceau laser, ce plasma résultant de l'interaction entre le brouillard dense et le faisceau laser.In FIG. 1, the EUV radiation emitted by the droplets of liquid xenon is symbolized by the arrows 30 oriented in all directions. However, the greatest amount of EUV light is produced by the plasma hemisphere facing the laser beam, this plasma resulting from the interaction between the dense fog and the laser beam.
Un ou plusieurs hublots (non représentés) sont prévus sur une ou plusieurs parois de la chambreOne or more portholes (not shown) are provided on one or more walls of the chamber
14 pour récupérer le rayonnement EUV en vue de son utilisation. Toutefois, on ne sortirait pas du cadre de l'invention en intégrant la so rce à l'intérieur d'un appareil destiné à utiliser le rayonnement produit, notamment si cet appareil fonctionne dans le même environnement gazeux que la source et permet ainsi de se dispenser du hublot. Dans ce cas, la fonction de 1 ' enceinte 14 est remplie par 1 ' enceinte de 1 ' appareil entier. Pour que l'interaction entre le brouillard dense 20 et le faisceau laser focalisé 24 produise un rayonnement EUV 30 optimisé, la taille moyenne des gouttelettes est ajustée en agissant sur la pression du xénon gazeux injecté et sur la température de la buse 4 et du réservoir 2. Préférentiellement, lorsque le gaz rare est du xénon, la pression du xénon gazeux injecté peut être comprise entre 15 bars (15xl05 Pa) et 25 bars (25xl05 Pa) et la température de la buse et du réservoir entre -45°C et -30°C pour que la taille moyenne des gouttelettes soit comprise entre 5 μm et 50 μm.14 to recover EUV radiation for use. However, it would not go beyond the scope of the invention to integrate the so rce inside an apparatus intended to use the radiation produced, in particular if this apparatus operates in the same gaseous environment as the source and thus makes it possible to dispense from the window. In this case, the function of the enclosure 14 is fulfilled by the enclosure of the entire apparatus. In order for the interaction between the dense fog 20 and the focused laser beam 24 to produce a optimized EUV 30 radiation, the average droplet size is adjusted by acting on the pressure of the xenon gas injected and on the temperature of the nozzle 4 and of the reservoir 2. Preferably, when the rare gas is xenon, the pressure of the xenon gas injected can be between 15 bars (15xl0 5 Pa) and 25 bars (25xl0 5 Pa) and the temperature of the nozzle and the tank between -45 ° C and -30 ° C so that the average droplet size is between 5 μm and 50 μm.
La régulation de la température de la buse et du réservoir peut être réalisée en utilisant conjointement de l'azote liquide et un moyen quelconque d'apport de chaleur pour maintenir une température donnée. Elle peut aussi être réalisée en utilisant un ou plusieurs modules à effet Peltier ou en utilisant un système réfrigérant classique, ou encore un système fonctionnant en pompe à chaleur. Pour un fonctionnement optimal de la source de rayonnement EUV produit par interaction du faisceau laser focalisé 24 avec le brouillard 20, le matériau de la buse 4, par laquelle le :xénon liquide passe du réservoir 2 à la chambre à vide 14 en étant pulvérisé en gouttelettes, doit avoir les propriétés physiques mentionnées ci-après.Regulation of the nozzle and reservoir temperature can be accomplished by using liquid nitrogen and any means of heat supply to maintain a given temperature. It can also be carried out using one or more Peltier effect modules or using a conventional cooling system, or even a system operating as a heat pump. For optimal operation of the EUV radiation source produced by interaction of the focused laser beam 24 with the mist 20, the material of the nozzle 4, through which the liquid xenon passes from the reservoir 2 to the vacuum chamber 14 while being sprayed in droplets, must have the physical properties mentioned below.
1) Ce matériau doit être électriquement isolant, pour éviter d'éventuels phénomènes de décharge électrique entre la buse 4 et le plasma, formé par interaction . entre le faisceau laser et la cible1) This material must be electrically insulating, to avoid possible phenomena of electric discharge between the nozzle 4 and the plasma, formed by interaction. between the laser beam and the target
(brouillard dense) . La résistivité électrique de ce matériau doit -être supérieure à 108 Ω.cm et peut être préférentiellement de l'ordre de 1014 Ω.cm.(dense fog). The electrical resistivity of this material must be greater than 10 8 Ω.cm and may preferably be of the order of 10 14 Ω.cm.
2) Ce matériau doit être un bon conducteur thermique, de manière à garder le xénon à l'état liquide entre l'entrée et la sortie de la buse 4. La conductivité thermique de ce matériau doit être supérieure à 40 W/mK. Préférentiellement , elle peut être de l'ordre de 180 W/mK.2) This material must be a good thermal conductor, so as to keep the xenon in the liquid state between the inlet and the outlet of the nozzle 4. The thermal conductivity of this material must be greater than 40 W / mK. Preferably, it can be of the order of 180 W / mK.
3) Ce matériau doit être suffisamment dur, pour résister à l'écoulement du xénon liquide à travers la buse 4 et à l'abrasion éventuellement provoquée par le plasma qui résulte de l'interaction entre le faisceau laser et la cible formée par le brouillard dense. Son indice de dureté « Vickers » doit être supérieur à 8000 N/mm2 et peut être préférentiellement de l'ordre de 12000 N/mm2.3) This material must be sufficiently hard to resist the flow of liquid xenon through the nozzle 4 and the abrasion possibly caused by the plasma which results from the interaction between the laser beam and the target formed by the fog. dense. Its “Vickers” hardness index must be greater than 8000 N / mm 2 and may preferably be of the order of 12000 N / mm 2 .
Le matériau utilisé préférentiellement pour la buse est une céramique, de préférence le nitrure d'aluminium (AlN) . Cependant d'autres céramiques sont utilisables, par exemple l'alumine ou le nitrure de silicium.The material preferably used for the nozzle is a ceramic, preferably aluminum nitride (AlN). However, other ceramics can be used, for example alumina or silicon nitride.
Un diaphragme, c'est à dire une simple membrane munie d'un orifice calibré, ou un écorceur 32 peut être prévu dans la chambre à vide 14 et placé face à la buse 4 en vue de faciliter le pompage de la chambre à vide 14, en séparant celle-ci en deux parties distinctes 34 et 36, 1 ' écorceur se distinguant du diaphragme en ce que sa forme en pointe lui permet de moins intercepter le rayonnement EUV, ce qui le rend plus avantageux. Pour ce faire, comme on le voit sur la figure 1, une paroi 38 est prévue pour délimiter la partie 36 par rapport à l'autre partie 34 et l' écorceur 32 prolonge cette paroi 38. L'axe de cet écorceur 32 est confondu avec l'axe X de la buse 4. De plus, cet écorceur est placé à une distance D de la buse 4, qui est comprise entre le voisinage de la zone éclairée et une distance à la buse de 10 mm, et le diamètre intérieur de cet écorceur est compris entre 1 mm et 4 mm.A diaphragm, that is to say a simple membrane provided with a calibrated orifice, or a debarker 32 can be provided in the vacuum chamber 14 and placed opposite the nozzle 4 in order to facilitate the pumping of the vacuum chamber 14 , by separating it into two distinct parts 34 and 36, the debarker being distinguished from the diaphragm in that its pointed shape allows it to intercept less EUV radiation, which makes it more advantageous. To do this, as can be seen in FIG. 1, a wall 38 is provided to delimit the part 36 relative to the other part 34 and the debarker 32 extends this wall 38. The axis of this debarker 32 is coincident with the axis X of the nozzle 4. In addition, this debarker is placed at a distance D from the nozzle 4, which is between the vicinity of the illuminated area and a distance from the nozzle of 10 mm, and the internal diameter of this debarker is between 1 mm and 4 mm.
La partie 34 de la chambre à vide 14, partie qui contient la buse 4 ainsi que le plasma formé par interaction entre le faisceau laser et le jet de gouttelettes, est pompée, par les moyens de pompage 16, jusqu'à l'obtention d'une pression inférieure ou égale à 10"1 Pa dans cette partie 34. Cette valeur de 10"1 Pa est une valeur maximale admissible pour éviter un phénomène de réabsorption trop important du rayonnement EUV par le xénon gazeux présent dans cette partie 34, ou partie supérieure, de la chambre à vide 14.The part 34 of the vacuum chamber 14, part which contains the nozzle 4 as well as the plasma formed by interaction between the laser beam and the jet of droplets, is pumped, by the pumping means 16, until obtaining d '' a pressure less than or equal to 10 "1 Pa in this part 34. This value of 10 " 1 Pa is a maximum admissible value to avoid a phenomenon of excessive reabsorption of EUV radiation by the xenon gas present in this part 34, or upper part of the vacuum chamber 14.
La partie du brouillard qui n'a pas subi l'interaction avec le faisceau laser traverse l' écorceur 32 pour être pompée^ dans la partie* 36, ou partie inférieure, de la chambre à vide 14. Dans cette partie inférieure 36 de la chambre à vide 14, la pression peut atteindre environ 10 Pa sans que le fonctionnement de la source de rayonnement EUV ne soit détérioré.The part of the fog which has not undergone the interaction with the laser beam passes through the debarker 32 to be pumped ^ into the part * 36, or lower part, of the vacuum chamber 14. In this lower part 36 of the vacuum chamber 14, the pressure can reach approximately 10 Pa without the operation of the EUV radiation source being deteriorated.
Il est préférable que le pompage des deux parties 34 et 36 de la chambre 14 n'engendre aucun hydrocarbure, de manière à ne pas polluer chimiquement les optiques (non représentées) de collection du rayonnement EUV.It is preferable that the pumping of the two parts 34 and 36 of the chamber 14 does not generate any hydrocarbon, so as not to pollute chemically the EUV radiation collection optics (not shown).
Les moyens 16 de pompage de la partie supérieure 34 de la chambre à vide 14 peuvent être constitués, par exemple, d'une ou plusieurs pompes de type turbomoléculaire à paliers magnétiques, associées à des pompes primaires sèches.The means 16 for pumping the upper part 34 of the vacuum chamber 14 may consist, for example, of one or more pumps of the turbomolecular type with magnetic bearings, associated with dry primary pumps.
Les moyens 16a de pompage de la partie inférieure 36 de la chambre à vide 14 peuvent être constitués d'une ou plusieurs pompes primaires sèches.The means 16a for pumping the lower part 36 of the vacuum chamber 14 may consist of one or more dry primary pumps.
De préférence, le matériau de l' écorceur possède les propriétés physiques mentionnées plus haut à propos de la buse 4, afin d'éviter l'érosion de cet écorceur. Le matériau utilisé préférentiellement pour cet écorceur est le nitrure d'aluminium (AIN) ou d'autres céramiques telles que l'alumine ou le nitrure de silicium.Preferably, the material of the debarker has the physical properties mentioned above with respect to the nozzle 4, in order to avoid erosion of this debarker. The material preferably used for this debarker is aluminum nitride (AIN) or other ceramics such as alumina or silicon nitride.
On précise que l' écorceur 32 peut être remplacé par un simple diaphragme formé par une plaque plane fermant la paroi 38 et pourvue d'un perçage situé sur l'axe X, en regard du trou 18 de la buse 4, cette plaque étant faite du même matériau que l' écorceur.It is specified that the debarker 32 can be replaced by a simple diaphragm formed by a flat plate closing the wall 38 and provided with a bore located on the axis X, opposite the hole 18 of the nozzle 4, this plate being made of the same material as the debarker.
Un écran thermique 39 peut être prévu entre la buse 4 et le point 0 d'interaction du faisceau 24 avec la cible 20, de manière à réduire 1 ' échauffement de la buse qui pourrait être induit par le plasma résultant de cette interaction.A heat shield 39 may be provided between the nozzle 4 and the point 0 of interaction of the beam 24 with the target 20, so as to reduce the heating of the nozzle which could be induced by the plasma resulting from this interaction.
De préférence, cet écran thermique 39 est formé d'un matériau présentant les mêmes caractéristiques physiques que le matériau de la buse (par exemple AIN) , et fixé sur une partie 4a des moyens de génération du brouillard, cette partie étant refroidie par les moyens cryogéniques 8. Cette partie entoure la buse 4 dans l'exemple représenté. Ainsi l'écran thermique est-il refroidi par les moyens cryogéniques 8. Plus généralement, cet écran thermique est de préférence muni de moyens de refroidissement qui peuvent être les moyens servant à liquéfier le xénon gazeux mais qui peuvent aussi être distincts de ces derniers.Preferably, this heat shield 39 is formed from a material having the same physical characteristics as the material of the nozzle. (for example AIN), and fixed on a part 4a of the means for generating the mist, this part being cooled by the cryogenic means 8. This part surrounds the nozzle 4 in the example shown. Thus, the thermal screen is cooled by the cryogenic means 8. More generally, this thermal screen is preferably provided with cooling means which can be the means used to liquefy the xenon gas but which can also be distinct from the latter.
La géométrie de la buse 4 est l'un des paramètres influant sur la directivité du jet 20. Les figures 2 et 3 représentent respectivement deux exemples de cette géométrie de la buse. Dans les conditions de pression du xénon gazeux injecté 10 (entre 5xl05 Pa et 50xl05 Pa) et les conditions de température de la buse et du réservoirThe geometry of the nozzle 4 is one of the parameters influencing the directivity of the jet 20. FIGS. 2 and 3 respectively represent two examples of this geometry of the nozzle. Under the pressure conditions of the injected xenon gas 10 (between 5 × 10 5 Pa and 50 × 10 5 Pa) and the temperature conditions of the nozzle and of the reservoir
(entre -70°C et -20°C) , le diamètre minimal d de la buse ou plus précisément le diamètre minimal du trou 18 de celle-ci, est compris entre 60 μm et 600 μm.(between -70 ° C and -20 ° C), the minimum diameter d of the nozzle or more precisely the minimum diameter of the hole 18 thereof, is between 60 μm and 600 μm.
Dans ces mêmes conditions, le trou 18 de la buse 4 peut présenter globalement la forme d'un cône sur toute la longueur de la bύse, comme le montre la figure 2. Le diamètre de ce cône augmente dans le sens de propagation du jet 20. Le demi-angle au sommet β de ce cône peut être compris entre 1 degré et 10 degrés.Under these same conditions, the hole 18 of the nozzle 4 may generally have the shape of a cone over the entire length of the nozzle, as shown in FIG. 2. The diameter of this cone increases in the direction of propagation of the jet 20 The half-angle at the top β of this cone can be between 1 degree and 10 degrees.
En variante, le trou • 18 de la buse 4 présente une forme cylindrique de révolution autour de l'axe X. Quelle que soit la forme (cylindrique ou conique) du trou de la buse, l'extrémité 18a de ce trou qui débouche dans la chambre à vide, peut présenter une forme évasée, sur une longueur 1 comprise entre 0,2 mm et 2 mm, conduisant à un accroissement local du diamètre de la buse, comme le montre la figure 3. Cette forme évasée peut suivre (en coupe longitudinale suivant l'axe X) une courbe circulaire, parabolique, hyperbolique, exponentielle ou logarithmique.As a variant, the hole • 18 of the nozzle 4 has a cylindrical shape of revolution around the axis X. Whatever the shape (cylindrical or conical) of the hole of the nozzle, the end 18a of this hole which opens into the vacuum chamber, can have a flared shape, over a length 1 of between 0.2 mm and 2 mm, leading to a local increase in the diameter of the nozzle, as shown in FIG. 3. This flared shape can follow (in longitudinal section along the X axis) a circular, parabolic, hyperbolic, exponential or logarithmic curve.
Le choix judicieux de la géométrie de la buse 4 permet d'optimiser la directivité du jet sur l'axe X de propagation de ce jet.The judicious choice of the geometry of the nozzle 4 makes it possible to optimize the directivity of the jet on the axis X of propagation of this jet.
Par exemple, une buse de forme intérieure cylindrique, de diamètre moyen 150um et comportant un évasement de forme circulaire en son extrémité 18a, sur une longueur 1 de 1 mm, permet d'obtenir un brouillard de gouttelettes présentant un demi-angle de divergence α d'environ 3 degrés, pour une température de la buse d'environ -35°C et une pression du xénon gazeux injecté d'environ 20xl05 Pa .For example, a nozzle of cylindrical interior shape, with an average diameter of 150 μm and comprising a flaring of circular shape at its end 18a, over a length 1 of 1 mm, makes it possible to obtain a mist of droplets having a half-angle of divergence α approximately 3 degrees, for a nozzle temperature of approximately -35 ° C and a pressure of the xenon gas injected of approximately 20 × 10 5 Pa.
Ce demi-angle de divergence est très faible par comparaison avec celui d'un jet d'agrégats classique (de l'ordre de 20 degrés - voir les documents [1] et [2]) et permet de garder une distance suffisamment importante entre la sortie de la buse et le point d'impact du faisceau laser sur le brouillard, sans réduire l'intensité du rayonnement EUV produit.This half-angle of divergence is very small compared with that of a conventional aggregate jet (of the order of 20 degrees - see documents [1] and [2]) and makes it possible to keep a sufficiently large distance between the outlet of the nozzle and the point of impact of the laser beam on the fog, without reducing the intensity of the EUV radiation produced.
Si cette distance n'est pas suffisamment importante, comme dans le cas d'un jet d'agrégats classique (documents [1] et [2]) où elle est inférieure ou égale à 1 mm, un échauffement intense de la sortie de la buse est produit par le plasma induit par l'interaction entre le laser et le jet et provoque une détérioration du jet et une érosion de la buse, érosion qui induit des débris .If this distance is not large enough, as in the case of a conventional aggregate jet (documents [1] and [2]) where it is less than or equal to 1 mm, intense heating of the outlet of the nozzle is produced by the plasma induced by the interaction between the laser and the jet and causes a deterioration of the jet and erosion of the nozzle, erosion which induces debris.
Le jet de brouillard dense de gouttelettes de xénon liquide peut être suffisamment directif pour pouvoir conserver une distance comprise entre 1 mm et 5 mm, entre la sortie de la buse et le point d'impact du faisceau laser sur ce jet , ce qui permet d'obtenir une source de rayonnement EUV plus intense et pratiquement exempte de débris matériels . La source de lumière EUV selon l'invention comporte aussi, de façon préférentielle, un collecteur de lumière EUV. Un tel collecteur est constitué d'une optique réflective comme par exemple un ou plusieurs miroirs concaves placés autour de la source, de manière à recevoir le plus possible de rayonnement EUV et à le diriger ou le focaliser vers les moyens d'utilisation de cette lumière. Un tel collecteur, bien connu de l'homme du métier, ne sera pas davantage décrit. Il n'est pas non plus représenté sur les dessins, puisque sa position dépend de la position des moyens d'utilisation de cette lumière, et que ces moyens, eux aussi connus de l'homme du métier, n'ont pas été représentés sur la figure 1.The jet of dense mist of liquid xenon droplets can be sufficiently directive to be able to maintain a distance of between 1 mm and 5 mm, between the outlet of the nozzle and the point of impact of the laser beam on this jet, which allows '' obtain a more intense source of EUV radiation and practically free of material debris. The EUV light source according to the invention also preferably includes an EUV light collector. Such a collector consists of a reflective optic such as for example one or more concave mirrors placed around the source, so as to receive as much EUV radiation as possible and to direct or focus it towards the means of using this light . Such a collector, well known to those skilled in the art, will not be described further. It is also not shown in the drawings, since its position depends on the position of the means of using this light, and since these means, also known to those skilled in the art, have not been shown in Figure 1.
Enfin, l'invention comporte aussi de manière préférentielle des moyens destinés à protéger les optiques du dispositif (par exemple hublots, dispositifs de focalisation) d'éventuels débris issus de la source, même si la source selon l'invention en génère très peu. Ces moyens peuvent être des moyens pour engendrer un léger soufflage, devant la surface exposée au rayonnement EUV, du gaz ambiant de l'enceinte , même s'il est sous très faible pression. Ils peuvent aussi être constitués de moyens aptes à générer une léger chauffage de ces optiques. Enfin, ils peuvent aussi être constitués de moyens aptes à générer une polarisation positive de la couche métallique que ces optiques comportent généralement, à une tension suffisante pour éloigner les débris ioniques, par exemple quelques centaines de volts ou plus .Finally, the invention also preferably includes means intended to protect the optics of the device (for example portholes, focusing devices) from any debris from the source, even if the source according to the invention generates very little. These means may be means for causing a slight blowing, in front of the surface exposed to EUV radiation, of the ambient gas of the enclosure, even if it is under very low pressure. They can also consist of means capable of generating a slight heating of these optics. Finally, they can also be made up of means capable of generating a positive polarization of the metal layer that these optics generally comprise, at a voltage sufficient to remove ionic debris, for example a few hundred volts or more.
La figure 4 est une partie du diagramme de phase du xénon, montrant le domaine de fonctionnement de l'invention (hachuré) dont la pression est comprise entre 5xl05 Pa et 50xlOΞ Pa et la température entre -70°C et -20°C, et qui est situé en outre au dessus de la courbe de pression de vapeur saturante. Elle montre aussi le domaine de fonctionnement optimal (hachures croisées) correspondant à une pression comprise entre 15xl05 Pa et 25xl05 Pa et à une température comprise entre -45°C et -30°C. La courbe des variations de la pression de vapeur saturante P est exprimée en bars (1 bar étant égal à 105 Pa) , en fonction de la température t exprimée en °C .Figure 4 is a part of the xenon phase diagram, showing the operating field of the invention (hatched) whose pressure is between 5 × 10 5 Pa and 50 × 10 Ξ Pa and the temperature between -70 ° C and -20 ° C, and which is also located above the saturation vapor pressure curve. It also shows the optimal operating range (cross hatching) corresponding to a pressure between 15xl0 5 Pa and 25xl0 5 Pa and at a temperature between -45 ° C and -30 ° C. The curve of the saturated vapor pressure P variations is expressed in bars (1 bar being equal to 10 5 Pa), as a function of the temperature t expressed in ° C.
La partie du diagramme, située en haut et à gauche de cette courbe correspond au xénon liquide (L) tandis que la partie située en bas et à droite correspond au xénon gazeux (G) .The part of the diagram, located at the top left of this curve corresponds to liquid xenon (L) while the part located at the bottom and right corresponds to gaseous xenon.
La figure 5 montre, pour un point d'impact du laser situé à 3 mm de la buse et pour une pression de xénon gazeux injecté d'environ 24xl05 Pa, l'évolution de l'intensité relative Ir du rayonnement EUV produit, de longueur d'onde voisine de 13,5 nm, en fonction de la température mesurée T (en °C) du réservoir et de la buse.FIG. 5 shows, for a point of impact of the laser located 3 mm from the nozzle and for a pressure of xenon gas injected of approximately 24 × 10 5 Pa, the evolution of the relative intensity Ir of the EUV radiation produced, of wavelength close to 13.5 nm, in function of the measured temperature T (in ° C) of the tank and the nozzle.
Cette figure 5 permet de mettre en évidence la différence d'intensité du rayonnement EUV produit avec un jet d'agrégats de xénon classique et un brouillard dense de gouttelettes de xénon liquide.This FIG. 5 makes it possible to highlight the difference in intensity of the EUV radiation produced with a jet of conventional xenon aggregates and a dense mist of liquid xenon droplets.
La figure 5 se décompose en trois parties distinctes :Figure 5 is broken down into three distinct parts:
Partie I : La température mesurée du réservoir 2 et de la buse 4 est inférieure à -25°C. Dans cette partie I, le diagramme de phase du xénon montre clairement que le xénon est liquide dans ces conditions de température et de pression. Le réservoir 2 contient uniquement du xénon liquide. On est donc en présence d'un jet de brouillard dense de gouttelettes de xénon, formées par la pulvérisation du xénon liquide présent en amont de la buse 4. Le flux de rayonnement EUV produit est élevé.Part I: The measured temperature of tank 2 and nozzle 4 is less than -25 ° C. In this part I, the phase diagram of the xenon clearly shows that the xenon is liquid under these conditions of temperature and pressure. Tank 2 contains only liquid xenon. We are therefore in the presence of a jet of dense mist of xenon droplets, formed by the spraying of the liquid xenon present upstream of the nozzle 4. The flow of EUV radiation produced is high.
Partie II : La température mesurée du réservoir et de la buse est comprise entre -25°C et environ -21,3°C. Dans cette partie II, le diagramme de phase du xénon montre que le xénon passe de l'état liquide à l'état gazeux. Le réservoir 2 contient à la fois du xénon liquide et du xénon gazeux. Il s'agit la transition de phase liquide-vapeur. Le flux de rayonnement EUV produit baisse.Part II: The measured temperature of the tank and the nozzle is between -25 ° C and about -21.3 ° C. In this part II, the phase diagram of the xenon shows that the xenon goes from the liquid state to the gaseous state. The reservoir 2 contains both liquid xenon and gaseous xenon. This is the liquid-vapor phase transition. The EUV radiation flux produced decreases.
- Partie III : La température mesurée du réservoir et de la buse est supérieure à -21,3°C. Dans cette partie III, le diagramme de phase du xénon montre clairement que le xénon est gazeux dans ces conditions de température et de pression. Le réservoir 2 contient uniquement du xénon gazeux. Dans ces conditions de température et de pression, et avec une buse d'un diamètre de 500 μm, un jet classique d'agrégats de xénon est formé, par condensation du xénon gazeux présent en amont de la buse. Le flux de rayonnement EUV produit est faible. Il est environ cinq fois plus faible qu'avec un brouillard dense de gouttelettes de xénon .- Part III: The measured temperature of the tank and the nozzle is greater than -21.3 ° C. In this part III, the phase diagram of xenon clearly shows that xenon is gaseous under these conditions of temperature and pressure. Tank 2 contains only gaseous xenon. Under these conditions of temperature and pressure, and with a nozzle with a diameter of 500 μm, a conventional jet of xenon aggregates is formed, by condensation of the gaseous xenon present upstream of the nozzle. The E U V radiation flux produced is low. It is about five times weaker than with a dense mist of xenon droplets.
La figure 6 illustre très schématiquement l'utilisation du rayonnement EUV obtenu avec un dispositif conforme à l'invention pour la nanolithographie .FIG. 6 very schematically illustrates the use of EUV radiation obtained with a device according to the invention for nanolithography.
L'appareil de nanolithographie schématiquement représenté sur cette figure 6 comprend un dispositif 40 de génération de rayonnement EUV du genre de la source de rayonnement EUV qui a été décrite en faisant référence à la figure 1.The nanolithography apparatus schematically represented in this FIG. 6 comprises a device 40 for generating EUV radiation of the kind of the EUV radiation source which has been described with reference to FIG. 1.
Néanmoins, cet appareil fonctionnant lui aussi sous très faible pression, il peut avoir certains éléments en commun avec la source, notamment des moyens de pompage. Il peut aussi comporter des éléments comme le collecteur de lumière EUV, qui fonctionnellement appartient à la source, mais qui peut mécaniquement être fixé sur l'appareil de gravure sans sortir du cadre de l'invention. Les moyens optionnels de nettoyage des optiques vis à vis des débris de la source peuvent également être mécaniquement implantés sur l'appareil de nanolithographie.However, this device also operating under very low pressure, it may have certain elements in common with the source, in particular pumping means. It can also include elements such as the EUV light collector, which functionally belongs to the source, but which can mechanically be fixed to the engraving device without departing from the scope of the invention. The optional means for cleaning the optics with respect to debris from the source can also be mechanically installed on the nanolithography apparatus.
L'appareil de nanolithographie de la figure 6 comprend aussi un support 42 pour le substrat semiconducteur 44 que l'on veut traiter et qui est recouvert d'une couche 46 de résine photosensible destinée à être insolée suivant un motif déterminé. L'appareil comprend auss-i :The nanolithography apparatus of FIG. 6 also includes a support 42 for the semiconductor substrate 44 which we want to process and which is covered with a layer 46 of photosensitive resin intended to be exposed in a specific pattern. The device also includes:
- un masque 48 comprenant ce motif sous une forme agrandie,a mask 48 comprising this pattern in an enlarged form,
- des optiques 50 prévues pour mettre en forme un rayonnement EUV référencé 52, issu du dispositif 40, et amener ce rayonnement 52 au masque 48 qui fournit alors une image du motif sous forme agrandie, et des optiques 54 prévues pour réduire cette image agrandie et projeter l'image réduite sur la couche 46 de résine photosensible.optics 50 provided for shaping EUV radiation referenced 52, coming from device 40, and bringing this radiation 52 to mask 48 which then provides an image of the pattern in enlarged form, and optics 54 provided for reducing this enlarged image and projecting the reduced image onto the layer 46 of photosensitive resin.
Le support 42, le masque 48 et les optiques 50 et 54 sont disposés dans une chambre à vide non représentée qui, par souci de simplification, est de préférence la chambre à vide dans laquelle on forme le rayonnement EUV d'insolation 52.The support 42, the mask 48 and the optics 50 and 54 are arranged in a vacuum chamber (not shown) which, for the sake of simplification, is preferably the vacuum chamber in which the EUV irradiation radiation 52 is formed.
L'invention ne s'applique pas seulement à la lithographie, en vue de fabriquer des circuits intégrés à très haut degré d'intégration : le rayonnement EUV produit grâce à la présente invention a de nombreuses autres applications, notamment en science des matériaux et en microscopie. En outre, l'invention n'est pas limitée au xénon. On peut utiliser d'autres gaz rares, tels que l'argon pour former le brouillard dense et produire le rayonnement EUV.The invention does not only apply to lithography, in order to manufacture integrated circuits with a very high degree of integration: the EUV radiation produced by the present invention has many other applications, in particular in materials science and microscopy. Furthermore, the invention is not limited to xenon. Other rare gases can be used, such as argon to form dense fog and produce EUV radiation.
Cependant, pour la lithographie, il est préférable d'utiliser le xénon. L'invention vise à la production de lumièreHowever, for lithography, it is preferable to use xenon. The invention aims to produce light
EUV. Toutefois elle produit un grand nombre de raies allant du domaine visible aux rayons X mous, et peut être utilisée pour toutes les longueurs d'onde produites. EUV. However, it produces a large number of lines ranging from the visible range to soft X-rays, and can be used for all the wavelengths produced.

Claims

REVENDICATIONS
1. Procédé de génération de lumière (30) dans l'extrême ultraviolet par création d'un plasma d'interaction entre un faisceau laser (24) et une cible, ce procédé étant caractérisé en ce que : la cible est constituée d'un brouillard dense (20) composé de micro-gouttelettes de liquide, ce liquide étant un gaz rare liquéfié, en particulier le xénon liquide, on produit ce liquide par liquéfaction du gaz rare, on pressurise le liquide par ce gaz rare, à une pression comprise dans l'intervalle allant de 5xl05 Pa à 50xl05 Pa dans le cas du xénon, tout en maintenant ce xénon liquide à une température comprise dans l'intervalle allant de -70°C à -20°C, la pression et la température du gaz étant en outre choisies pour que le gaz rare soit sous forme liquide, on injecte le liquide ainsi pressurisé dans une buse (4) dont le diamètre intérieur minimal est compris dans l'intervalle allant de 60 μm à 600 μm, cette buse débouchant dans une zone où la pression est égale ou inférieure à 10"1 Pa, et l'on engendre ainsi, dans la zone, à la sortie de la buse, un brouillard dense et directif de gouttelettes du ga^ rare liquéfié dont la taille moyenne est supérieure à 1 μm, en particulier comprise dans l'intervalle allant de 5 μm à 50 μm dans le cas du xénon, ce brouillard dense formant un jet qui est dirigé suivant l'axe (X) de la buse, et on focalise en outre un faisceau laser sur le brouillard dense ainsi obtenu, ce faisceau laser étant apte à interagir avec ce brouillard dense pour engendrer une lumière dans le domaine extrême ultraviolet .1. A method of generating light (30) in the extreme ultraviolet by creating an interaction plasma between a laser beam (24) and a target, this method being characterized in that: the target consists of a dense mist (20) composed of micro-droplets of liquid, this liquid being a liquefied rare gas, in particular liquid xenon, this liquid is produced by liquefaction of the rare gas, the liquid is pressurized by this rare gas, at a pressure included in the range of 5 × 10 5 Pa to 50 × 10 5 Pa in the case of xenon, while maintaining this liquid xenon at a temperature ranging from -70 ° C to -20 ° C, the pressure and the temperature gas being further chosen so that the rare gas is in liquid form, the liquid thus pressurized is injected into a nozzle (4) whose minimum internal diameter is in the range from 60 μm to 600 μm, this nozzle opening out in an area where the pressure is equal to or less than 10 "1 Pa, and thus generates, in the area, at the outlet of the nozzle, a dense and directive mist of droplets of the rare ga ^ liquefied whose average size is greater than 1 μm, in particular in the range from 5 μm to 50 μm in the case of xenon, this dense mist forming a jet which is directed along the axis (X) of the nozzle, and a laser beam is further focused on the mist dense thus obtained, this laser beam being able to interact with this dense fog for generate light in the extreme ultraviolet range.
2. Procédé selon la revendication 1, dans lequel le gaz rare est le xénon et l'on pressurise le xénon liquide par le xénon gazeux à une pression comprise dans l'intervalle allant de 15xl05 Pa à 25xl05 Pa et l'on maintient ce xénon liquide à une température comprise dans l'intervalle allant de -45°C à -30°C. 2. Method according to claim 1, in which the rare gas is xenon and the liquid xenon is pressurized by gaseous xenon at a pressure ranging from 15 × 10 5 Pa to 25 × 10 5 Pa and maintained this liquid xenon at a temperature in the range from -45 ° C to -30 ° C.
3. Procédé selon l'une quelconque des revendications 1 et 2 , dans lequel le gaz rare est le xénon et l'on utilise la lumière engendrée dans le domaine extrême ultraviolet pour l'insolation d'un substrat (44) sur lequel est déposée une couche (46) de résine photosensible.3. Method according to any one of claims 1 and 2, wherein the rare gas is xenon and using the light generated in the extreme ultraviolet range for the exposure of a substrate (44) on which is deposited a layer (46) of photosensitive resin.
4. Dispositif de génération de lumière (30) dans l'extrême ultraviolet par création d'un plasma d'interaction entre un faisceau laser (24) et un brouillard dense (20) composé de micro-gouttelettes d'un liquide, ce dispositif étant caractérisé en ce que le liquide est un gaz rare liquéfié, en particulier le xénon liquide, et en ce que le dispositif comprend :4. Device for generating light (30) in the extreme ultraviolet by creating an interaction plasma between a laser beam (24) and a dense mist (20) composed of micro-droplets of a liquid, this device being characterized in that the liquid is a liquefied rare gas, in particular liquid xenon, and in that the device comprises:
- un réservoir (2) v destiné à contenir le liquide, - des moyens (12) d'injection du gaz rare sous pression dans le réservoir, prévus pour pressuriser, par ce gaz rare, le liquide contenu dans le réservoir et soumettre ce liquide à une pression comprise dans l'intervalle allant de 5xl05 Pa à 50xl05 Pa dans le cas du xénon, - des moyens (8) de production du liquide contenu dans le réservoir, par liquéfaction du gaz rare qui est injecté dans ce réservoir, le liquide, lorsque le gaz rare est le xénon, étant maintenu à une température comprise dans l'intervalle allant de -70°C à -20°C,- a reservoir (2) v intended to contain the liquid, - means (12) for injecting the rare gas under pressure into the reservoir, provided for pressurizing, by this rare gas, the liquid contained in the reservoir and subjecting this liquid at a pressure in the range from 5 × 10 5 Pa to 50 × 10 5 Pa in the case of xenon, - Means (8) for producing the liquid contained in the tank, by liquefying the rare gas which is injected into this tank, the liquid, when the rare gas is xenon, being maintained at a temperature ranging from -70 ° C to -20 ° C,
- une buse (4) dont le diamètre intérieur minimal est compris dans l'intervalle allant de 60 μm à 600 μm et qui est reliée au réservoir, - une chambre à vide (14) contenant la buse, des moyens (28) permettant de faire pénétrer dans la chambre à vide un faisceau laser apte à interagir avec le brouillard, - des moyens permettant de récupérer la lumière produite, en vue de l'utilisation de cette lumière, et des premiers moyens de pompage (16) prévus pour établir dans cette chambre à vide une première pression environ égale ou inférieure à 10_1 Pa, les moyens d'injection et les moyens de production du liquide étant placés dans des conditions de fonctionnement maintenant le gâz rare liquide dans la buse et permettant d'engendrer , dans la chambre à vide, à la sortie de la buse, un brouillard dense et directif de gouttelettes du gaz rare liquéfié dont la taille moyenne est supérieure à 1 μm, en particulier comprise dans l'intervalle allant de 5 μm à 50 μm dans le cas du xénon, ce brouillard dense formant un jet qui est dirigé suivant l'axe (X) de la buse. - a nozzle (4) whose minimum internal diameter is in the range from 60 μm to 600 μm and which is connected to the reservoir, - a vacuum chamber (14) containing the nozzle, means (28) making it possible to bringing a laser beam capable of interacting with the fog into the vacuum chamber, - means making it possible to recover the light produced, with a view to using this light, and first pumping means (16) provided for establishing in this vacuum chamber a first pressure approximately equal to or less than 10_1 Pa, the injection means and the liquid production means being placed in operating conditions maintaining the rare liquid gas in the nozzle and making it possible to generate, in the vacuum chamber, at the outlet of the nozzle, a dense and directive mist of droplets of the liquefied rare gas whose average size is greater than 1 μm, in particular lying in the range from 5 μm to 50 μm in the case of xenon, this dense mist forming a jet which is directed along the axis (X) of the nozzle.
5. Dispositif selon la revendication 4, dans lequel le gaz rare est le xénon et la pression à laquelle est soumis le xénon liquide contenu dans le réservoir (2) est comprise dans l'intervalle allant de 15xl05 Pa à 25xl05 Pa et la température à laquelle est maintenu le xénon liquide est comprise dans l'intervalle allant de -45°C à -30°C.5. Device according to claim 4, in which the rare gas is xenon and the pressure to which the liquid xenon contained in the tank is subjected (2) is in the range from 15 × 10 5 Pa to 25 × 10 5 Pa and the the temperature at which the liquid xenon is kept is in the range from -45 ° C to -30 ° C.
6. Dispositif selon l'une quelconque des revendications 4 et 5, comprenant en outre : - une paroi (38) qui délimite une zone secondaire et qui est pourvue d'un perçage en regard de la buse, ce perçage se trouvant sur l'axe (X) de cette buse, et des deuxièmes moyens de pompage (16a) prévus pour établir dans cette zone secondaire une deuxième pression supérieure à la première pression.6. Device according to any one of claims 4 and 5, further comprising: - a wall (38) which delimits a secondary zone and which is provided with a hole facing the nozzle, this hole being on the axis (X) of this nozzle, and second pumping means (16a) provided to establish in this secondary zone a second pressure greater than the first pressure.
7. Dispositif selon la revendication 6, dans lequel la paroi comporte un écorceur (32) dont l'axe coïncide avec l'axe (X) de la buse et dont l'orifice constitue le perçage de la paroi.7. Device according to claim 6, wherein the wall comprises a debarker (32) whose axis coincides with the axis (X) of the nozzle and whose orifice constitutes the bore of the wall.
8. Dispositif selon l'une quelconque des revendications 5 à 8, comprenant en outre un écran thermique (39) qui est percé ΘTL regard de la buse pour laisser passer le jet formé par le brouillard dense. 8. Device according to any one of claims 5 to 8, further comprising a heat shield (39) which is pierced regardTL look from the nozzle to let pass the jet formed by dense fog.
9. Dispositif selon l'une quelconque des revendications 4 à 8, dans lequel la résistivité du matériau constitutif de la buse (4) est supérieure ou égale à 108 Ω.cm, la conductivité thermique de ce matériau est supérieure ou égale à 40 W/mK et l'indice de dureté Vickers du matériau est supérieure ou égale à 8000 N/mm2. 9. Device according to any one of claims 4 to 8, in which the resistivity of the material constituting the nozzle (4) is greater than or equal to 10 8 Ω.cm, the thermal conductivity of this material is greater than or equal to 40 W / mK and the Vickers hardness index of the material is greater than or equal to 8000 N / mm 2 .
10. Dispositif selon la revendication 9, dans lequel le matériau est une céramique.10. Device according to claim 9, in which the material is a ceramic.
11. Dispositif selon la revendication 10, dans lequel la céramique est du nitrure d'aluminium. 11. Device according to claim 10, in which the ceramic is aluminum nitride.
12. Dispositif selon l'une quelconque des revendications 4 à 11, comprenant en outre un collecteur apte à diriger ou à focaliser la lumière engendrée, vers des moyens d'utilisation de cette lumière . 12. Device according to any one of claims 4 to 11, further comprising a collector capable of directing or focusing the light generated, towards means of using this light.
13. Dispositif selon la revendication 12, dans lequel le collecteur comporte au moins un réflecteur concave.13. Device according to claim 12, in which the collector comprises at least one concave reflector.
14. Dispositif selon l'une quelconque des revendications 4 à 13, comprenant en outre des moyens de protection des optiques susceptibles d'être contenues dans le dispositif, vis-à-vis d'éventuels débris .14. Device according to any one of claims 4 to 13, further comprising means for protecting the optics which may be contained in the device, vis-à-vis any debris.
15. Dispositif selon la revendication 14, dans lequel ces moyens de protection sont des moyens de circulation du gaz rare de la chambre à vide devant la surface de ces optiques qui est exposée à ces débris .15. Device according to claim 14, in which these protection means are means for circulating the rare gas from the vacuum chamber in front of the surface of these optics which is exposed to these debris.
16. Dispositif selon la revendication 14, dans lequel ces moyens de protection sont des moyens de chauffage de la surface de ces optiques qui est exposée à ces débris.16. Device according to claim 14, in which these protection means are means for heating the surface of these optics which is exposed to these debris.
17. Dispositif selon la revendication 14, dans lequel ces moyens de protection sont des moyens de polarisation positive d'une couche métallique que comportent ces optiques . 17. Device according to claim 14, in which these protection means are means for positive polarization of a metallic layer which these optics comprise.
18. Appareil de lithographie de substrats semiconducteurs, cet appareil comprenant : - des moyens (48) de support d'un substrat semiconducteur (44) sur lequel est déposée une couche de résine photosensible (46) qui est destinée à être insolée suivant un motif déterminé, - un masque (48) comprenant le motif déterminé sous une forme agrandie,18. Apparatus for lithography of semiconductor substrates, this apparatus comprising: - means (48) for supporting a semiconductor substrate (44) on which is deposited a layer of photosensitive resin (46) which is intended to be exposed according to a determined pattern, - a mask (48) comprising the determined pattern under an enlarged form,
- un dispositif de génération de lumière dans le domaine extrême ultraviolet conforme à l'une quelconque des revendications 4 à 17 , - des moyens optiques (50) de transmission de la lumière au masque, ce dernier fournissant une image du motif sous forme agrandie, et- a light generating device in the extreme ultraviolet range according to any one of claims 4 to 17, - optical means (50) for transmitting light to the mask, the latter providing an image of the pattern in enlarged form, and
- des moyens optiques (54) de réduction de cette image et de projection de l'image réduite sur la couche de résine photosensible. - Optical means (54) for reducing this image and for projecting the reduced image onto the layer of photosensitive resin.
EP02738200A 2001-04-18 2002-04-16 Method and device for generating extreme ultraviolet radiation in particular for lithography Withdrawn EP1382230A1 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
FR0105241 2001-04-18
FR0105241A FR2823949A1 (en) 2001-04-18 2001-04-18 Generating extreme ultraviolet radiation in particular for lithography involves interacting a laser beam with a dense mist of micro-droplets of a liquefied rare gas, especially xenon
PCT/FR2002/001306 WO2002085080A1 (en) 2001-04-18 2002-04-16 Method and device for generating extreme ultraviolet radiation in particular for lithography

Publications (1)

Publication Number Publication Date
EP1382230A1 true EP1382230A1 (en) 2004-01-21

Family

ID=8862427

Family Applications (1)

Application Number Title Priority Date Filing Date
EP02738200A Withdrawn EP1382230A1 (en) 2001-04-18 2002-04-16 Method and device for generating extreme ultraviolet radiation in particular for lithography

Country Status (9)

Country Link
US (1) US20040129896A1 (en)
EP (1) EP1382230A1 (en)
JP (1) JP2004533704A (en)
KR (1) KR20030090745A (en)
CN (1) CN1618259A (en)
FR (1) FR2823949A1 (en)
RU (1) RU2003133464A (en)
TW (1) TW543099B (en)
WO (1) WO2002085080A1 (en)

Families Citing this family (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7405416B2 (en) * 2005-02-25 2008-07-29 Cymer, Inc. Method and apparatus for EUV plasma source target delivery
US7476886B2 (en) * 2006-08-25 2009-01-13 Cymer, Inc. Source material collection unit for a laser produced plasma EUV light source
DE10213482B4 (en) * 2002-03-22 2007-09-27 Xtreme Technologies Gmbh Detector arrangement for the pulse energy measurement of pulsed X-radiation
US6912267B2 (en) * 2002-11-06 2005-06-28 University Of Central Florida Research Foundation Erosion reduction for EUV laser produced plasma target sources
US7460646B2 (en) * 2003-03-18 2008-12-02 Koninklijke Philips Electronics N.V. Device for and method of generating extreme ultraviolet and/or soft-x-ray radiation by means of a plasma
US6933515B2 (en) * 2003-06-26 2005-08-23 University Of Central Florida Research Foundation Laser-produced plasma EUV light source with isolated plasma
US7619232B2 (en) 2003-06-27 2009-11-17 Xtreme Technologies Gmbh Method and device for producing extreme ultraviolet radiation or soft X-ray radiation
DE102004003854A1 (en) * 2004-01-26 2005-08-18 MAX-PLANCK-Gesellschaft zur Förderung der Wissenschaften e.V. Methods and apparatus for producing solid filaments in a vacuum chamber
DE102004005241B4 (en) * 2004-01-30 2006-03-02 Xtreme Technologies Gmbh Method and device for the plasma-based generation of soft X-rays
JP2005235959A (en) * 2004-02-18 2005-09-02 Canon Inc Light emitting device and aligner
FR2871622B1 (en) * 2004-06-14 2008-09-12 Commissariat Energie Atomique ULTRAVIOLET LIGHT GENERATING DEVICE AND APPLICATION TO A RADIATION LITHOGRAPHIC SOURCE IN THE EXTREME ULTRAVIOLET
DE102004036441B4 (en) * 2004-07-23 2007-07-12 Xtreme Technologies Gmbh Apparatus and method for dosing target material for generating shortwave electromagnetic radiation
TWI305296B (en) * 2004-07-27 2009-01-11 Cymer Inc Systems and methods for reducing the influence of plasma-generated debris on the internal components of an euv light source
JP4517147B2 (en) * 2004-11-26 2010-08-04 国立大学法人 宮崎大学 Extreme ultraviolet light source device
CN100498420C (en) * 2005-11-04 2009-06-10 中国科学院电工研究所 Fragment isolator for plasma light source of extreme ultraviolet laser
JP5215540B2 (en) * 2006-07-18 2013-06-19 ギガフォトン株式会社 Target substance supply device
CN101111119B (en) * 2006-07-20 2011-05-18 中国科学院长春光学精密机械与物理研究所 Micro-current target laser plasma soft X ray-extreme ultraviolet light source
JP2008193014A (en) * 2007-02-08 2008-08-21 Komatsu Ltd Apparatus and system for supplying target material for lpp-type euv light source apparatus
KR100841478B1 (en) * 2007-08-28 2008-06-25 주식회사 브이엠티 Liquid target producing device being able to use multiple capillary tube and x-ray and euv light source device with the same
JP5133740B2 (en) 2008-03-10 2013-01-30 ギガフォトン株式会社 Extreme ultraviolet light source device
US20110122387A1 (en) * 2008-05-13 2011-05-26 The Regents Of The University Of California System and method for light source employing laser-produced plasma
JP5551426B2 (en) * 2008-12-19 2014-07-16 ギガフォトン株式会社 Target supply device
JP5455661B2 (en) * 2009-01-29 2014-03-26 ギガフォトン株式会社 Extreme ultraviolet light source device
WO2011055376A1 (en) 2009-11-09 2011-05-12 Tata Institute Of Fundamental Research Biological laser plasma x-ray point source
JP2013516774A (en) * 2010-01-07 2013-05-13 エーエスエムエル ネザーランズ ビー.ブイ. EUV radiation source and lithographic apparatus
JP2013519211A (en) 2010-02-09 2013-05-23 エナジェティック・テクノロジー・インコーポレーテッド Laser-driven light source
CN102137539A (en) * 2010-11-19 2011-07-27 成都中核高通同位素股份有限公司 Xenon target for producing iodine-125 through reactor irradiation and preparation method thereof
JP5973567B2 (en) * 2011-08-12 2016-08-23 エーエスエムエル ネザーランズ ビー.ブイ. Radiation source, radiation system, lithographic apparatus, and method for collecting fuel droplets
US8879064B2 (en) * 2011-12-23 2014-11-04 Electro Scientific Industries, Inc. Apparatus and method for transporting an aerosol
JP2012256608A (en) * 2012-08-17 2012-12-27 Gigaphoton Inc Target substance supply device
CN103217870B (en) * 2013-04-19 2014-08-13 中国科学院上海光学精密机械研究所 Droplet target control system guided by laser beam
IL234727B (en) 2013-09-20 2020-09-30 Asml Netherlands Bv Laser-operated light source in an optical system corrected for aberrations and method of designing the optical system
IL234729B (en) 2013-09-20 2021-02-28 Asml Netherlands Bv Laser-operated light source and method including mode scrambler
US10186416B2 (en) 2014-05-15 2019-01-22 Excelitas Technologies Corp. Apparatus and a method for operating a variable pressure sealed beam lamp
US9741553B2 (en) 2014-05-15 2017-08-22 Excelitas Technologies Corp. Elliptical and dual parabolic laser driven sealed beam lamps
US9748086B2 (en) 2014-05-15 2017-08-29 Excelitas Technologies Corp. Laser driven sealed beam lamp
US10057973B2 (en) 2015-05-14 2018-08-21 Excelitas Technologies Corp. Electrodeless single low power CW laser driven plasma lamp
US10008378B2 (en) 2015-05-14 2018-06-26 Excelitas Technologies Corp. Laser driven sealed beam lamp with improved stability
US9576785B2 (en) 2015-05-14 2017-02-21 Excelitas Technologies Corp. Electrodeless single CW laser driven xenon lamp
US10880979B2 (en) * 2015-11-10 2020-12-29 Kla Corporation Droplet generation for a laser produced plasma light source
EP3214635A1 (en) * 2016-03-01 2017-09-06 Excillum AB Liquid target x-ray source with jet mixing tool
US10310380B2 (en) * 2016-12-07 2019-06-04 Taiwan Semiconductor Manufacturing Co., Ltd. High-brightness light source
US10109473B1 (en) 2018-01-26 2018-10-23 Excelitas Technologies Corp. Mechanically sealed tube for laser sustained plasma lamp and production method for same
US11587781B2 (en) 2021-05-24 2023-02-21 Hamamatsu Photonics K.K. Laser-driven light source with electrodeless ignition
WO2023205064A1 (en) * 2022-04-18 2023-10-26 Kla Corporation Laser-sustained plasma source based on colliding liquid jets

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4692934A (en) * 1984-11-08 1987-09-08 Hampshire Instruments X-ray lithography system
US5577091A (en) * 1994-04-01 1996-11-19 University Of Central Florida Water laser plasma x-ray point sources
US5577092A (en) * 1995-01-25 1996-11-19 Kublak; Glenn D. Cluster beam targets for laser plasma extreme ultraviolet and soft x-ray sources
SE510133C2 (en) * 1996-04-25 1999-04-19 Jettec Ab Laser plasma X-ray source utilizing fluids as radiation target
JPH10221499A (en) * 1997-02-07 1998-08-21 Hitachi Ltd Laser plasma x-ray source and device and method for exposing semiconductor using the same
US6031241A (en) * 1997-03-11 2000-02-29 University Of Central Florida Capillary discharge extreme ultraviolet lamp source for EUV microlithography and other related applications
JP2002514740A (en) * 1998-05-06 2002-05-21 アメリカン テクノロジーズ グループ インコーポレイテッド Methods and apparatus for producing neutrons and other particles
JP2000098094A (en) * 1998-09-21 2000-04-07 Nikon Corp X-ray generator
US6190835B1 (en) * 1999-05-06 2001-02-20 Advanced Energy Systems, Inc. System and method for providing a lithographic light source for a semiconductor manufacturing process
US6377651B1 (en) * 1999-10-11 2002-04-23 University Of Central Florida Laser plasma source for extreme ultraviolet lithography using a water droplet target
FR2799667B1 (en) * 1999-10-18 2002-03-08 Commissariat Energie Atomique METHOD AND DEVICE FOR GENERATING A DENSE FOG OF MICROMETRIC AND SUBMICROMETRIC DROPLETS, APPLICATION TO THE GENERATION OF LIGHT IN EXTREME ULTRAVIOLET IN PARTICULAR FOR LITHOGRAPHY
US6324256B1 (en) * 2000-08-23 2001-11-27 Trw Inc. Liquid sprays as the target for a laser-plasma extreme ultraviolet light source
US6760406B2 (en) * 2000-10-13 2004-07-06 Jettec Ab Method and apparatus for generating X-ray or EUV radiation
US6657213B2 (en) * 2001-05-03 2003-12-02 Northrop Grumman Corporation High temperature EUV source nozzle

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See references of WO02085080A1 *

Also Published As

Publication number Publication date
FR2823949A1 (en) 2002-10-25
TW543099B (en) 2003-07-21
US20040129896A1 (en) 2004-07-08
RU2003133464A (en) 2005-01-27
JP2004533704A (en) 2004-11-04
CN1618259A (en) 2005-05-18
KR20030090745A (en) 2003-11-28
WO2002085080A1 (en) 2002-10-24

Similar Documents

Publication Publication Date Title
EP1382230A1 (en) Method and device for generating extreme ultraviolet radiation in particular for lithography
EP1222842B1 (en) Production of a dense mist of micrometric droplets in particular for extreme uv lithography
EP1800188A1 (en) Device for generating extreme ultraviolet light and application to an extreme ultraviolet radiation lithography source
JP6916937B2 (en) An optical system that produces broadband light by forming a light-maintaining plasma
EP2576125A1 (en) Pulsed laser machining method and installation, particularly for welding, with variation of the power of each laser pulse
WO2011027065A1 (en) LASER-FOCUSING HEAD WITH ZnS LENSES HAVING A PERIPHERAL THICKNESS OF AT LEAST 5 MM AND LASER CUTTING UNIT AND METHOD USING ONE SUCH FOCUSING HEAD
JP2009515326A (en) High power EUV lamp system
WO2019233899A1 (en) Methods and systems for generating high peak power laser pulses
US6320937B1 (en) Method and apparatus for continuously generating laser plasma X-rays by the use of a cryogenic target
FR2554302A1 (en) Radiation source for optical equipment, especially for reproduction systems using photolithography
WO2019233900A1 (en) Methods and systems for generating high peak power laser pulses
EP1230828B1 (en) Method for obtaining an extreme ultraviolet radiation source and its use in lithography
FR2974251A1 (en) DEVICE FOR THE THERMAL MANAGEMENT OF AN OPTICAL ELEMENT AND ASSOCIATED THERMAL MANAGEMENT METHOD.
EP0298817B1 (en) Process and device for the production of electrons using a field coupling and the photoelectric effect
WO2013121021A1 (en) Device and method for emitting electrons and device comprising such a system for emitting electrons
JP2022165623A (en) Method for cleaning extreme-ultraviolet light reflection mirror
FR2970089A1 (en) OPTICAL FOCUSING SYSTEM FOR CUTTING INSTALLATION WITH SOLID LASER
RU2808771C1 (en) POWERFUL SOURCE OF TARGETED EXTREME ULTRAVIOLET RADIATION WITH WAVELENGTH OF 9-12 nm FOR HIGH-RESOLUTION PROJECTION LITHOGRAPHY
FR2890233A1 (en) LAMP ADAPTED FOR MICROBIOLOGICAL DECONTAMINATION
Lin et al. Enhancement of EUV emission intensity from particles in a droplet by exploding the droplet
WO2023198653A1 (en) Method and system for accelerating electrons using laser-plasma interaction
FR2866488A1 (en) Laser radiation generating device for aircraft, has unit controlling temperature of diodes such that wavelength of radiation emitted by diodes is controlled, and Raman amplifying medium modifying frequency of amplified radiation
FR3091662A1 (en) Method and device for the treatment of powders for additive manufacturing
EP1812787A1 (en) Method and device for detecting zones damageable by laser flow

Legal Events

Date Code Title Description
PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

17P Request for examination filed

Effective date: 20031009

AK Designated contracting states

Kind code of ref document: A1

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LI LU MC NL PT SE TR

AX Request for extension of the european patent

Extension state: AL LT LV MK RO SI

RIN1 Information on inventor provided before grant (corrected)

Inventor name: SEGERS, MARC

Inventor name: CECCOTTI, TIBERIO

Inventor name: SUBLEMONTIER, OLIVIER

Inventor name: SCHMIDT, MARTIN

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: THE APPLICATION IS DEEMED TO BE WITHDRAWN

18D Application deemed to be withdrawn

Effective date: 20051101