EP0043372A1 - Method for making a semiconductor device - Google Patents

Method for making a semiconductor device

Info

Publication number
EP0043372A1
EP0043372A1 EP19800901814 EP80901814A EP0043372A1 EP 0043372 A1 EP0043372 A1 EP 0043372A1 EP 19800901814 EP19800901814 EP 19800901814 EP 80901814 A EP80901814 A EP 80901814A EP 0043372 A1 EP0043372 A1 EP 0043372A1
Authority
EP
European Patent Office
Prior art keywords
layer
forming
polysilicon
site
element site
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
EP19800901814
Other languages
German (de)
French (fr)
Inventor
Tsiu C. Chan
Charles B. Johnson
Ian A. Young
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
CTU of Delaware Inc
Original Assignee
Mostek Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Mostek Corp filed Critical Mostek Corp
Publication of EP0043372A1 publication Critical patent/EP0043372A1/en
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0611Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region
    • H01L27/0617Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type
    • H01L27/0629Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type in combination with diodes, or resistors, or capacitors

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

Un procede de formation d'un dispositif a semi-conducteurs (10) comprend les etapes de formation d'un site a elements (24) sur une surface (14) d'un substrat a semi-conducteurs (12). Un dopant est introduit dans le substrat a semi-conducteurs (12) sur le site d'elements (24) pour former une region (C). Une couche d'oxyde (70) est formee sur le site d'elements (24). Une couche de polysilicium (74) est formee sur une partie du site d'elements (24). Une couche d'oxyde (98) est formee sur la couche de polysilicium (74) et la partie dopee (C) du substrat (12) expose au site d'elements (24).A method of forming a semiconductor device (10) includes the steps of forming an element site (24) on a surface (14) of a semiconductor substrate (12). A dopant is introduced into the semiconductor substrate (12) at the site of elements (24) to form a region (C). An oxide layer (70) is formed on the site of elements (24). A layer of polysilicon (74) is formed over part of the element site (24). An oxide layer (98) is formed on the polysilicon layer (74) and the doped portion (C) of the substrate (12) exposed to the site of elements (24).

Description

METHOD FOR MAKING A SEMICONDUCTOR DEVICE
TECHNICAL FIELD
This invention relates to a method for fabricating a semiconductor device, and more particularly to a capacitor and method for fabricating a capacitor having a high capacitance per unit area to increase packing densities on a semiconductor chip.
BACKGROUND ART
It is frequently necessary to fabricate capacitor type devices for use in integrated circuits. One such application is a switched-capacitor filter for simulatin capacitor and inductor elements in passive ladder filter for use in voice-band systems requiring precision high-order filters. Such capacitors must be fabricated to approximate the operating characteristics of true metal double plate capacitors having low voltage coefficients. Additionally, due to the size constraints of integrated circuits, the size of capacitors fabricated in semiconductor devices is a critical element in the design .considerations. Therefore, capacitors must have high capacitance per unit area to reduce the area necessary to fabricate such capacitors.
Previously developed fabrication techniques for fabricating capacitors have included an N-channel metal gate and CMOS processes in which a diffusion layer formed one plate of the capacitor, a metal layer formed the other plate and silicon dioxide (oxide) formed the dielectric. Another previously developed capacitor fabrication technique using a silicon gate process utilized two levels of polysilicon sandwiched around an oxide layer such that the layers of polysilicon formed the plates of the capacitor and the oxide layer formed the dielectric. The silicon gate process utilizin two levels of polysilicon suffers from the inability to form oxide grown on polysilicon that is low in defect density. The configuration where polysilicon overlaps polysilicon creates poor yields in the fabrication of such capacitors and additionally, the process is more difficult to control. Minimization of defects in the oxide layer sandwiched between the two levels of polysilicon requires the use of a thicker oxide layer which decreases the capacitance per unit area and which in turn means that larger die sizes are necessary with this type of fabrication. A need has thus arisen for a process for the fabrication of a low voltage coefficient capacitor in a semiconductor device in which the capacitance per unit area is high to permit, small die size in the fabrication process. A need has further arisen for a fabrication process in which control of formation of an oxide layer forming the dielectric of a capacitor is possible to increase the capacitance per unit area while producing higher yields in the fabrication process. A need'has further arisen for a fabrication process for forming a capacitor in a semiconductor device together with other semiconductor elements in an efficient process,
DISCLOSURE OF THE INVENTION
In accordance with the present invention, a capacit and fabrication process is provided for fabrication of a capacitor in a semiconductor substrate which substantial eliminates the problems heretofore associated with the fabrication of capacitors including lov; capacitance per unit area and excessive size requirements.
In accordance with the present invention, a method for fabricating a semiconductor device comprises the ste of f rming an element site on a surface of a semiconduct substrate. The method further includes introducing a dopant in field areas adjacent the element site. A fiel oxide is grown above the field areas. A dopant is diffused into the semiconductor substrate at the element site. An oxide layer is formed over the element site.
A layer of polysilicon is then formed to cover a portion of the element site. A layer of oxide is formed over th polysilicon layer and the doped portions of the substrat exposed at the element site. In accordance v/ith another aspect of the present invention, a capacitor is provided. The capacitor is formed on a semiconductor substrate having a surface defining a site for the capacitor. A dopant area is disposed in the substrate immediately below the surface of the site. An insulating layer is disposed on the surface of the substrate above the dopant area. The capacitor of the present invention further includes a layer of polysilicon disposed on the insulating layer. In accordance with yet another aspect of the presen invention, a method for forming a semiconductor device comprises the steps of forming first and second element sites on a surface of a semiconductor substrate. A dopant is implanted in field areas adjacent the element sites. Field oxide is grown above the field areas. A layer of polysilicon is formed to cover a portion of
is. the first element site. A dopant is introduced into the substrate at selected portions of the first element site not covered by the layer of polysilicon and into the entire second element site. An oxide layer is formed over the layer of polysilicon at the first element site and over the entire second element site. A Tayer of polysilicon is formed to cover a portion of the second element site. An oxide layer is formed over the poly¬ silicon layers and the doped portions of the substrate exposed at the element sites. Contact windows are opened to expose a selected area of the doped areas of the substrate at the element sites and the layers of poly¬ silicon at the element sites. Contacts are then formed in the windows. The element formed at the first element site comprises a field-effect transistor and the element formed at the second element site comprises a capacitor.
BRIEF DESCRIPTION OF DRAWINGS
For a more complete understanding of the present invention and for further objects and advantages thereo reference will now be made to the following Detailed Description taken in conjunction with the accompanying Drawings in which:
FIGURES 1-24 are schematic sectional views illustrating portions of an integrated circuit device of the present invention at various stages in a process for making the device;
FIGURE 25 is an enlarged view of a representative portion of FIGURE 24;
FIGURE 26 is an enlarged view similar to the view of FIGURE 25 illustrating a succeeding step in the process; and
FIGURE 27 is a schematic sectional view illustratin the device of the present invention at a' final stage in the process.
DETAILED DESCRIPTION
Referring to FIGURE 1, there is shown a schematic cross-section of a portion of an integrated circuit device of the present invention, generally identified by the numeral 10, at an early stage in a manufacturing process. The device 10 comprises a substrate-12 which is typically monocrystalline silicon of a conventional crystal orientation known in the art. Many features of the present invention are applicable to devices employing semiconductor materials other than silicon as will be appreciated by those skilled in the art. The substrate 12 may be either P-type or N-type; however, for purposes of this illustrative embodiment, P-type conductivity is employed, a preferred resistivity being about 5 to 25 ohm-cm in the substrate 12. Thermally grown on top surface 14 of the substrate 12 is a thermal oxide layer 16, having a preferred thickness of about 600 Angstroms. A silicon nitride layer 18, having a preferred thickness of about 600 Angstroms, is deposited on thermal oxide layer 16 in a reactor in a known manner. A polysilicon top layer 20 of polysilicon having a thickness of about 1000 Angstroms is deposited on silicon nitride layer 18 using known deposition techniques. The device 10 is then exposed to an oxidizing ambient preferably in steam between about 900°C to
1000°C for a sufficient period of time to completely oxidize the polysilicon top layer 20 of FIGURE 1, thereby producing a polyoxide layer 22 as shown in FIGURE 2. The polyoxide layer 22 is about 2000 Angstroms thick, which is about twice the thickness of the original polysilicon top layer 20 due to growth during oxidation.
Referring to FIGURE 3, representative portions of device 10 are shown after several intermediate steps have been performed. While two distinct component segments or element sites 24 and 26 are explicitly illustrated in FIGURE 3, it is to be understood that they are representative of a great many similar sites (not shown) wherein similar elements are simultaneously produced in accordance with the description of the inventive process which follows. At element site 24, a capacitor is fabricated while at element site* 26, a transistor device is fabricated. At both element sites 24 and 26, photoresist patterns 28 and 30 have been deposited on polyoxide layer 22 using standard photomasking technicrues, after which the unmasked portio of polyoxide layer 22 are etched away using an etchant which selectively attacks oxide thereby leaving polyoxid portions 32 and 34 as shown.
Following the etching step, an ion implant step is performed in a known manner as indicated by the arrows, preferably using boron, to produce P+ regions 36, which penetrate to a depth of about 2000 Angstroms in the portions of the substrate 12 not covered by polyoxide portions 32 and 34, also referred to as the "field area" of the device 10. The energy of the ions is selected so as to penetrate only through the portions of thermal oxi layer 16 and silicon nitride layer 18 not covered by photoresist patterns 28 and 30 and polyoxide portions 32 and 34. An intensity of about 4.0 x 10 J boron ions/cm^ is preferably used in accordance with known techniσues a for example, by means of the techniσues described in U.S Patent No. 3,898,105, hereinafter cited as Mai et al. It is preferred that P+ regions 36 have a resistivity of about one ohm-cm in the areas of highest impurity concen tration in the final device.
Next, as illustrated in FIGURE 4, the photoresist patterns 28 and 30 are removed and the portions of the silicon nitride layer 18 not covered by the polyoxide portions 32 and 34 are selectively etched away using known techniσues, thereby leaving nitride portions 38 and 40. Referring to FIGURE 5, an oxidation is performed in steam for about 6 to 8 hours at approximately 1000°C, which results in the growth of a relatively thick "isoplanar field oxide" layer 42, preferably of about 14,000 Angstroms in thickness, in the portions of the substrate 12 not covered by nitride portions -3*8 and 40. The isoplanar field oxide layer 42 penetrates into the substrate 12 to a depth of about 7000 Angstroms, the oxidation process driving the boron implant regions 36 to a greater depth therebelow. The P+ regions 36 permit the use of a thinner isoplanar field oxide layer 42 by reducing the resistivity thereunder.
Next, as illustrated in FIGURE 6, the polyoxide portions 32 and 34 are removed by etching using hydrofluoric acid in a known manner, which also slightly reduces the thickness of the isoplanar field oxide layer 42. Then, the nitride portions 38 and 40 and the remaining portions of thermal oxide layer 16 are removed using conventional techniques. Various surface "cleaning" steps are ordinarily used at this point to remove surface damage in the active area of the device. "Active area" means those portions of the device where no field oxide has been grown. It has been found, however, that merely cleaning by etching some of the substrate 12 along top surface 14 is inadequate to remove silicon nitride contamination which exists along edges 44 of the substrate 12 near the isoplanar field oxide layer 42. Small amounts of silicon nitride from nitride portions 38 and 40 are transported to the top surface 14 at the edges of the isoplanar field oxide layer 42 incident to the chemical process which produces the isoplanar field oxide layer 42. Accordingly, an oxidation step is performed, preferably in an ambient atmosphere of hydrogen chloride and oxygen, to produce oxide layers 46 and 48 as shown in FIGURE 7, thereby gathering the nitride impurities at edges 44 from the substrate 12 into the oxide as it grows. A thickness of about 300 Angstroms is sufficient for oxide layers 46 and 48, with a preferred thickness being between 300 and 1000 Angstroms.
Next, the oxide layers 46 and 48 are etcHed away to produce the structure of FIGURE 8. It will be appreciated by those skilled in the art that good surface conditions are important to the operation of field-effect device elements, and particularly in the channel of an enhancement mode FET. The oxidizing and etching steps of FIGURES 7 and 8 are effective to remove surface damage (generally occurring in the top 20 to 30 Angstroms of the substrate 12) as well as the silicon nitride contamination, thereby providing the clean, impurity-free top surface 14 shown in FIGURE 8.
As a result of the successive etching steps to remove thermal oxide layer 16, polyoxide portions 32 and 34, and oxide layers 46 and 48 in the steps shown from FIGURE 5 through FIGURE 8 , the isoplanar field oxi layer 42 is somewhat reduced in thickness. At the proc stage shown in FIGURE 8, the field oxide has an overall thickness of about 10,000 Angstroms, with about 7000 Angstroms extending to a level below the level of top surface 14 and about 3000 Angstroms extending above the level of top surface 14.
Next, thermal oxide layers 50 and 52 are grown to a thickness of about 900 Angstroms as shown in FIGURE 9. A light dose boron ion implantation is then perform using known techniques as indicated by the arrows for purposes of threshold voltage adjustment of the field- effect elements which will be formed subsequently in element sites 24 and 26. Now referring to FIGURE 10, in accordance with the present invention, a first deposition of polysilicon is deposited to subsequently form the gate of a transistor to be subsequently described fabricated at element site 26. Polysilicon layer 54 is deposited as shown over the entire device 10 to a thickness of about 5000*Angstroms using known techniques.
Next, a top portion of polysilicon layer 54 is oxidized to produce polyoxide layer 56 shown in FIGURE 11. Polyoxide layer 56 has a preferred thickness of about 1000 Angstroms, the formation of which causes a corresponding reduction in the thickness of polysilicon layer 54 to about 4500 Angstroms.
FIGURE 12 illustrates device 10 after masking and etching steps have been performed wherein photoresist pattern 60 is formed, and the portions of polyoxide layer 56 not covered by photoresist pattern 60 are etched away leaving polyoxide portion 64.
Referring to FIGURE 13, the photoresist pattern 60 has been removed leaving the polyoxide portion 64 as a mask for etching away portions of polysilicon layer 54. At similar sites of the device 10 of which element site 26 is representative, a similar polyoxide mask also exists so that etching produces a plurality of separate poly- silicon layers in the device 10 of which polysilicon layer 68 is representative. Polysilicon layer 68 overlies a center portion of thermal oxide layer 52 in the element site 26 as shown in FIGURE 13.
The use of polyoxide portion 64 as a mask for etching the underlying polysilicon layer 54 produces a highly regular layer having a slower, more controllable etch rate. Such properties of polyoxide enable a high degree of mask definition to be carried through from the photo¬ resist pattern (layer 60 in FIGURE 12) to the polyoxide portion (layer 64 in FIGURE 13). The high degree of mask definition is further carried through in the formation of polysilicon layer 68. The polysilicon layer 68 will be seen later in the process to further serve as a mask fo etching the underlying thermal oxide layer 52, thereby aligning itself over a channel region of a field-effect transistor.
Referring to FIGURE 14, an etch is performed to remove polyoxide portion 64, thermal oxide layer 50 and selective portions of thermal oxide layer 52. Polysili layer 68 serves as a mask for etching thermal oxide lay 52,-leaving a portion 52a of thermal oxide layer 52.
Thereafter, an N-type dopant, preferably phosphorus, is diffused using known techniques whereby thermal oxide layer portion 52a acts as a diffusion mask in producing N+ regions C, S and D in substrate 12, to a depth of about 15,000 Angstroms below top surface 14.
Alternatively, an ion implantation technique can be utilized to introduce the dopant into substrate 12 at element site 24 to produce region C. The N-type dopant also dopes polysilicon layer 68 to become highly conductive. The C region forms a first capacitor plate of the present capacitor fabricated at element site 24. The D region forms the drain and the S region forms the source of the transistor device fabricated at element si 26. In an alternate embodiment using an N-type substrat a P-type diffusion, typically using boron, would be performed at this stage to produce the complementary conductivity-type structure of that shown herein.
An oxidation step is now performed on the structure of FIGURE 14 to cover the exposed edges and top of polysilicon layer 68 and top surface 14 with thermally grown oxide as shown in FIGURE 15. Thermal oxide layer portion 52a remains at a thickness of about 900 Angstrom while uncovered thermal oxide portions 70 and 72 increas in thickness to about 200 to 500. ngstroms. Thermal oxi portion 70 forms the capacitor dielectric for the capacitor fabricated in accordance with the present invention at element site 24. Thermal oxide portion 70,
f since it is fabricated directly on substrate 12 can be made thinner and be of a high quality. Being thinner, thermal oxide portion 70 forms a dielectric that has a high capacitance per unit area to permit the present capacitor to be fabricated in a smaller area resulting in greater packing densities.
A new polysilicon layer 74 constituting a second deposition of polysilicon is now deposited over the device 10 as shown in FIGURE 16 using known deposition techniques in similar fashion to the deposition step of FIGURE 10.. The polysilicon layer 74 has a preferred thickness of about 4000 Angstroms.
Next, an oxidation of polysilicon layer 74 is performed to produce a polyoxide layer 76 having a thickness of about 1000 Angstroms as shown in FIGURE 17. The oxidation, reduces polysilicon layer 74 to a thickness of about 3500 Angstroms.
Now referring to FIGURE 18, the device 10 is shown after a photoresist pattern 78 has been used to mask polyoxide layer 76, which is etched away entirely at element site 26 and partially at element site 24. The remaining polyoxide layer 76 overlies both a lower portion 80 and an upper portion 82 of polysilicon layer 74 at element site 24. Referring to FIGURE 19, the photoresist pattern 78 has been rem.oved and the remaining polyoxide layer 76 has been used as a mask to etch polysilicon layer 74, thereby removing polysilicon layer 74 entirely from element site 26 and partially from element site 24 to produce the structure shov/n such that the remaining portion of polysilicon layer 74 forms the second plate of the capacitor fabricated using the present process.
It will be seen that the process steps for producing the second polysilicon layer 74 as illustrated in FIGURES 17-19 are essentially the same, aside from thickness variations, as the process steps for producing the first - polysilicon layer 68 as illustrated in FIGURES 10-13,
o?..?ι v 'l? except that polysilicon layer 74 remains undoped at the stage of the process shown in FIGURE 19. For purposes o this specification, the term "undoped" means "essentiall free of conductivity affecting impurities" such as phosphorus (N-type), boron (P-type) and their known functional equivalents.
It will also be appreciated that the arrangement of polysilicon layer 74 enables capacitive elements of the type shown in element site 24 to be arranged in a dense manner in device 10. In particular, by overlappin the isoplanar field oxide layer 42 with polysilicon laye 74, interconnections between adjacent cells (not shown) are facilitated, and the formation of contacts in subsequent steps is not a limiting factor in choosing th amount of active top surface area 14 allocated to elemen site 24.
Referring to FIGURE 20, an etch is performed which selectively removes a portion of thermal oxide portion 7 to expose a portion of top surface 14 in the area of element site 24 not covered by polysilicon layer 74 and removes thermal oxide, portion 72 to expose portions of t surface 14 in the area of element site 26 not covered by polysilicon layer 68. Thereafter, phosphorus is diffuse into the top of polysilicon layer 74, as indicated by th stippling, which causes polysilicon layer 74 to be heavi doped N-type and thus highly conductive. In an alterna¬ tive embodiment using an N-type substrate, a P-type diffusion, typically using boron, would be performed at this stage to produce the complementary conductivity-typ structure of that shown herein.
Referring to FIGURE 21, the device 10 is placed in a furnace with dry oxygen or steam at about 90 °C to 1000°C so that oxide layers of about 2000 Angstroms are grown over the various polysilicon layers 68 and 74 as indicated by numerals 94, and over the various N+ region C, S and D in the substrate 12 as indicated by numerals 96. Referring to FIGURE 22, an oxide layer 98 of "high temperature" undoped oxide is deposited, preferably using SiH4 and C02 in a known manner, at a temperature between 600°C and 1000°C to a thickness of preferably about 6000 Angstroms.
Referring to FIGURE 23, a photoresist ma-si: 100 is then formed over deposited oxide layer 98. Next, contact windows 102 are opened by etching through the portions of oxide layer 98 not covered by photoresist mask 100, and continuing to etch down through the underlying polyoxide layer 94 and thermal oxide layer 96.
Next, as illustrated in FIGURE 24', the photoresist mask 100 is removed, and a stabilization step is performed, preferably using a phosphorus diffusion, as indicated by the stippling along the exposed oxide surfaces. As shown in FIGURE 25, the phosphorus stabilization has the effect of producing very thin oxide layers 104 on exposed silicon surfaces (explicitly shown by way of example in FIGURE 25) which are approximately 20 to 100 Angstroms thick.
It is convenient to getter the device 10 concurrently with stabilization, which may be achieved by covering all but the backside, the. bottom surface of the substrate 12 with oxide thereon (not shown) , v/ith photoresist after opening contact windows 102, then stripping the backside down to clean silicon. Stabilization then proceeds as described in the preceding paragraph by removing the photoresist and exposing the device 10 to a phosphorus diffusion, which getters metallic impurities to the backside, thereby favorably reducing leakage current. After the phosphorus stabilization step, it is necessary to reopen contact windows 102 through oxide layers 104. A photoresist layer (not shown) is reapplied, using the same mask registration which produced photo- resist mask 100. Then, oxide layers 104 are etched through to the underlying silicon to reopen the contact windows 102, and the photoresist is removed to produce contact windows 102, typically illustrated by FIGURE 26. The contact window opening 102 at top surface 14, shown in FIGURE 26, may be controlled to less than 5 microns in diameter. Finally, a metallization process is used to form contacts 106, 108, 110, 112 and 114 in the contact windo 102 which yields the device structure 10 shown in FIGURE 27. The contacts are preferably formed by vacuum deposition of aluminum, photomasking portions of the aluminum, and etching the unmasked portions with etchant which selectively attack the aluminum but not the under¬ lying oxide layer 98.
Those skilled in the art will recognize the utility of the element structures shown in FIGURE 27, wherein element site 24 serves as a capacitor and the element si 26 serves as a field-effect transistor. In particular, element site 26 is an N-channel enhancement mode FET having a self-aligned silicon gate similar to that which is described in Mai et al., cited above, wherein contact 112 serves as a gate, contacting polysilicon layer 68 and contacts 110 and 114 contact regions S and D forming the source and drain of the FET. The present invention may also be applied to make N-channel depletion mode FET as well as both modes of P-channel FETs by modification of the process steps specifically recited herein in accordance with the teachings of Mai et al.
Element site 24 is a capacitor wherein contact 106 serves as a top plate contact to polysilicon layer 74 and contact 108 serves as a bottom plate contact to diffused region C. The operation of a capacitor such as the capacitor fabricated at element site 24 of FIGURE 28 is known in the art. In circuit analogy, a capacitor is formed by thermal oxide portion 70 serving as a dielectric between the polysilicon layer 74 and N+ regio C.
It therefore can be seen that the present process o manufacturing a low voltage coefficient capacitor in a semiconductor substrate provides for a capacitor having a high capacitance per unit area while simultaneously requiring a small area for fabrication. The method of the present invention involves a process of minimal complexity to result in high yields in fabrication of the capacitor of the present invention. Since the dielectric element of the capacitor is fabricated directly on the semiconductor substrate and not on a layer of polysilicon, the dielectric layer is of good σuality and uniform in structure, such that a thinner dielectric layer can be utilized than with previously developed capacitor fabrication techniques to thereby achieve a capacitor having increased capacitance per unit area fabricated using the present invention. Whereas the present invention has been described with respect to specific embodiments thereof, it will be understood that various changes and modifications will be suggested to one skilled in the art and it is intended to encompass such changes and modifications as fall within the scope of the appended claims.

Claims

CLAIMS :
1. A method for forming a semiconductor device comprising the steps of: forming an element site on a surface of a semi¬ conductor substrate; implanting a dopant in field areas adjaccent the element site; growing a field oxide above the field areas; introducing a dopant into the semiconductor substra at the element site; forming an oxide layer over the element site; forming a layer of polysilicon to cover a portion of the element site; and forming an oxide layer over the polysilicon layer and the doped portions of the semiconductor exposed at the element site.
2. The method of Claim 1 wherein the step of introducing a dopant into the semiconductor substrate at the element site forms a plate of a capacitor at the element site.
3. The method of Claim 1 wherein the step of introducing a dopant into the semiconductor substrate comprises diffusing the dopant.
4. The method of Claim 1 wherein the step of introducing a dopant into the semiconductor substrate comprises implanting the dopant.
5. The method of Claim 1 wherein the step of forming an oxide layer over the element site forms a dielectric of a capacitor at the element site.
6. The method of Claim 1 wherein the step of forming a layer of polysilicon to cover a portion of the element site forms a plate of a capacitor at the element site.
7. A low voltage coefficient capacitor device produced in accordance with the method of Claim 1.
8. A capacitor comprising: a semiconductor substrate having a surface to defin a site for the capacitor; a dopant area disposed in the substrate immediately below the surface of the site; an insulating layer disposed on the surface of the substrate above the dopant area; and a layer of polysilicon disposed on the insulating layer.
9. A method for forming a semiconductor device comprising the steps of: forming first and second element sites on a surface of a semiconductor substrate; implanting a dopant in field areas adjacent the element sites; growing field oxide above the field areas; forming a layer of polysilicon to cover a portion of the first element site; -'introducing a dopant into the substrate at selected portions of the first element site not covered by the layer of polysilicon and into the entire second element site; forming an oxide layer over the layer of polysilicon at the first element site and over the entire second element site; forming a layer of polysilicon to cover a portion of the second element site; forming an oxide layer over the polysilicon layers and the doped portions of the substrate exposed at the element sites; opening contact windows to expose a selected area of the doped portion of the substrate at the element sites and the layers of polysilicon at the element sites; and forming contacts in the windows.
10. The method for forming a semiconductor device of Claim 9. herein the step of introducing a dopant into the semiconductor substrate at the second element site forms a plate of a capacitor at the second element site.
11. The method for forming a semiconductor device of Claim 9 wherein the step of forming an oxide layer over the second element site forms a dielectric of a capacitor at the second element site.
12. The method for forming a semiconductor device of Claim 9 wherein the step of forming a layer of poly¬ silicon to cover a portion of the second element site forms a plate of a capacitor at the second element site.
13. The method for forming a semiconductor device of Claim 9 wherein the step of introducing a dopant into selective portions of the first element site forms a drain and a source of a field-effect integrated circuit element. ,
14. The method for forming a semiconductor device of Claim 9 wherein the step of forming a layer of poly¬ silicon to cover a portion of the first element site forms a gate of a field-effect integrated circuit elemen
15. A capacitor and a field-effect transistor produced in accordance with the method of Claim 1.
16. A method for forming a semiconductor device comprising the steps of: forming a thin oxide layer on a silicon semiconductor substrate; forminq a silicon nitride laver on the thin oxide layer; depositing a layer of polysilicon on the silicon nitride layer over portions of the substrate to define first and second element sites; " implanting a dopant in field areas adjacent the element sites; growing field oxide areas above the field areas; exposing the silicon substrate surface at the element sites; forming an oxide layer over the element sites; depositing a first layer of polysilicon on the oxide layer and the field oxide; oxidizing a top portion of the first layer of polysilicon producing a polyoxide layer; etching selected portions of the first polysilicon layer thereby forming- a first area of polysilicon at the first element site and completely etchinq the polysilicon layer covering the second element site; etching the remaining portion of the polyoxide layer; introducing a dopant into the substrate at selected portions of the first element site not covered by the area of polysilicon and into the entire second element site; forming an oxide layer around the area of poly¬ silicon at the first element site and over the entire second element site; depositing a second layer of polysilicon over the entire surface of the semiconductor device; [Claim 16 Continued]
oxidizing a top portion of the second layer of polysilicon to produce a polyoxide layer; etching selective portions of the second polysilic layer thereby forming an area of polysilicon -aft the second element site and completely etching the second polysilicon layer covering the first element site; forming an oxide layer over the areas of polysilic and the doped portions of the substrate at the element sites; opening contact windows to expose selective areas of the doped portions of the substrate and the areas of polysilicon at the element sites; and forming contacts in the windows.
OMP
EP19800901814 1980-01-11 1980-05-05 Method for making a semiconductor device Withdrawn EP0043372A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11127580A 1980-01-11 1980-01-11
US111275 1980-01-11

Publications (1)

Publication Number Publication Date
EP0043372A1 true EP0043372A1 (en) 1982-01-13

Family

ID=22337549

Family Applications (1)

Application Number Title Priority Date Filing Date
EP19800901814 Withdrawn EP0043372A1 (en) 1980-01-11 1980-05-05 Method for making a semiconductor device

Country Status (3)

Country Link
EP (1) EP0043372A1 (en)
CA (1) CA1164107A (en)
WO (1) WO1981002074A1 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE470415B (en) * 1992-07-06 1994-02-14 Ericsson Telefon Ab L M High capacitor capacitor in an integrated function block or integrated circuit, method of producing the capacitor and using the capacitor as an integrated decoupling capacitor

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5012995B1 (en) * 1970-02-09 1975-05-16
US3899363A (en) * 1974-06-28 1975-08-12 Ibm Method and device for reducing sidewall conduction in recessed oxide pet arrays
US4183040A (en) * 1976-02-09 1980-01-08 International Business Machines Corporation MOS RAM with implant forming peripheral depletion MOSFET channels and capacitor bottom electrodes
JPS52102690A (en) * 1976-02-25 1977-08-29 Hitachi Ltd Semiconductor capacitance device
JPS54136279A (en) * 1978-04-14 1979-10-23 Nec Corp Semiconductor device
US4182636A (en) * 1978-06-30 1980-01-08 International Business Machines Corporation Method of fabricating self-aligned contact vias

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See references of WO8102074A1 *

Also Published As

Publication number Publication date
CA1164107A (en) 1984-03-20
WO1981002074A1 (en) 1981-07-23

Similar Documents

Publication Publication Date Title
US5966606A (en) Method for manufacturing a MOSFET having a side-wall film formed through nitridation of the gate electrode
US5679595A (en) Self-registered capacitor bottom plate-local interconnect scheme for DRAM
US5686324A (en) Process for forming LDD CMOS using large-tilt-angle ion implantation
KR20010110769A (en) SEMICONDUCTOR DEVICE WITH AN INTEGRATED CMOS CIRCUIT WITH MOS TRANSISTORS HAVING SILICON-GERMANIUM (Si1-xGex) GATE ELECTRODES, AND METHOD OF MANUFACTURING SAME
US4507846A (en) Method for making complementary MOS semiconductor devices
US6087241A (en) Method of forming side dielectrically isolated semiconductor devices and MOS semiconductor devices fabricated by this method
US4553314A (en) Method for making a semiconductor device
US5430317A (en) Semiconductor device
KR100314347B1 (en) Semiconductor device and its manufacturing method
US4477963A (en) Method of fabrication of a low capacitance self-aligned semiconductor electrode structure
EP0023528A1 (en) Double diffused transistor structure and method of making same
EP0126292B1 (en) Semiconductor device having an element isolation layer and method of manufacturing the same
US4722912A (en) Method of forming a semiconductor structure
EP0043372A1 (en) Method for making a semiconductor device
US5436495A (en) Device isolation area structure in semiconductor device
KR100215841B1 (en) Fabrication process of bipolar device
JP2720911B2 (en) Method for preparing substrate surface for semiconductor device
KR0156284B1 (en) Method of fabricating an isolation region in semiconductor device
US5956589A (en) Method of forming narrow thermal silicon dioxide side isolation regions in a semiconductor substrate and MOS semiconductor devices fabricated by this method
KR100233264B1 (en) Manufacturing method of analog semiconductor device
KR100218668B1 (en) Collector device of semiconductor device and method of manufacturing the same
KR100305205B1 (en) Method for manufacturing semiconductor device
KR940001258B1 (en) Method of making bicmos device
GB1595544A (en) Method for preparing a substrate surface of and a method of making a semiconductor device
JPS6135550A (en) Semiconductor and manufacture therefor

Legal Events

Date Code Title Description
PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

AK Designated contracting states

Designated state(s): CH DE FR GB LU NL SE

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: THE APPLICATION IS DEEMED TO BE WITHDRAWN

18D Application deemed to be withdrawn

Effective date: 19820628

ET Fr: translation filed
ET Fr: translation filed

Free format text: BO 7/84 LIRE 0043372 AU LIEU DE 0004372

RIN1 Information on inventor provided before grant (corrected)

Inventor name: JOHNSON, CHARLES B.

Inventor name: CHAN, TSIU C.

Inventor name: YOUNG, IAN A.