DE60100665D1 - Mikroprozessor mit zweitem wiedereinordnungsspeicher - Google Patents

Mikroprozessor mit zweitem wiedereinordnungsspeicher

Info

Publication number
DE60100665D1
DE60100665D1 DE60100665T DE60100665T DE60100665D1 DE 60100665 D1 DE60100665 D1 DE 60100665D1 DE 60100665 T DE60100665 T DE 60100665T DE 60100665 T DE60100665 T DE 60100665T DE 60100665 D1 DE60100665 D1 DE 60100665D1
Authority
DE
Germany
Prior art keywords
reorder buffer
instruction
register
allocated
complex
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE60100665T
Other languages
English (en)
Inventor
Allan Kahle
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Application granted granted Critical
Publication of DE60100665D1 publication Critical patent/DE60100665D1/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30098Register arrangements
    • G06F9/3012Organisation of register space, e.g. banked or distributed register file
    • G06F9/3013Organisation of register space, e.g. banked or distributed register file according to data content, e.g. floating-point registers, address registers
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3836Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3836Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
    • G06F9/3838Dependency mechanisms, e.g. register scoreboarding
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3836Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
    • G06F9/3838Dependency mechanisms, e.g. register scoreboarding
    • G06F9/384Register renaming
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3854Instruction completion, e.g. retiring, committing or graduating
    • G06F9/3856Reordering of instructions, e.g. using queues or age tags
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3854Instruction completion, e.g. retiring, committing or graduating
    • G06F9/3858Result writeback, i.e. updating the architectural state or memory

Landscapes

  • Engineering & Computer Science (AREA)
  • Software Systems (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Advance Control (AREA)
  • Memory System Of A Hierarchy Structure (AREA)
  • Microcomputers (AREA)
  • Executing Machine-Instructions (AREA)
DE60100665T 2000-02-17 2001-02-16 Mikroprozessor mit zweitem wiedereinordnungsspeicher Expired - Lifetime DE60100665D1 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/506,527 US6629233B1 (en) 2000-02-17 2000-02-17 Secondary reorder buffer microprocessor
PCT/GB2001/000650 WO2001061479A1 (en) 2000-02-17 2001-02-16 Secondary reorder buffer microprocessor

Publications (1)

Publication Number Publication Date
DE60100665D1 true DE60100665D1 (de) 2003-10-02

Family

ID=24014947

Family Applications (1)

Application Number Title Priority Date Filing Date
DE60100665T Expired - Lifetime DE60100665D1 (de) 2000-02-17 2001-02-16 Mikroprozessor mit zweitem wiedereinordnungsspeicher

Country Status (8)

Country Link
US (1) US6629233B1 (de)
EP (1) EP1256053B1 (de)
JP (1) JP3689369B2 (de)
AT (1) ATE248399T1 (de)
AU (1) AU2001232126A1 (de)
DE (1) DE60100665D1 (de)
TW (1) TW475149B (de)
WO (1) WO2001061479A1 (de)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6862676B1 (en) 2001-01-16 2005-03-01 Sun Microsystems, Inc. Superscalar processor having content addressable memory structures for determining dependencies
US6950927B1 (en) * 2001-04-13 2005-09-27 The United States Of America As Represented By The Secretary Of The Navy System and method for instruction-level parallelism in a programmable multiple network processor environment
US6968447B1 (en) 2001-04-13 2005-11-22 The United States Of America As Represented By The Secretary Of The Navy System and method for data forwarding in a programmable multiple network processor environment
US20060277398A1 (en) * 2005-06-03 2006-12-07 Intel Corporation Method and apparatus for instruction latency tolerant execution in an out-of-order pipeline
GB2442499B (en) * 2006-10-03 2011-02-16 Advanced Risc Mach Ltd Register renaming in a data processing system
US9262171B2 (en) * 2009-06-30 2016-02-16 Oracle America, Inc. Dependency matrix for the determination of load dependencies
JP5423217B2 (ja) * 2009-08-04 2014-02-19 富士通株式会社 演算処理装置、情報処理装置、および演算処理装置の制御方法
US8578136B2 (en) 2010-06-15 2013-11-05 Arm Limited Apparatus and method for mapping architectural registers to physical registers
US9448800B2 (en) * 2013-03-14 2016-09-20 Samsung Electronics Co., Ltd. Reorder-buffer-based static checkpointing for rename table rebuilding
KR102010317B1 (ko) * 2013-03-14 2019-08-13 삼성전자주식회사 재명명 테이블 재구성을 위한 리오더-버퍼를 기초로 하는 동적 체크포인팅
US10346165B2 (en) * 2014-04-25 2019-07-09 Avago Technologies International Sales Pte. Limited Resource locking for load store scheduling in a VLIW processor
US10241800B2 (en) 2015-06-16 2019-03-26 International Business Machines Corporation Split-level history buffer in a computer processing unit
US10175985B2 (en) * 2016-03-28 2019-01-08 International Business Machines Corporation Mechanism for using a reservation station as a scratch register
US10445091B1 (en) 2016-03-30 2019-10-15 Apple Inc. Ordering instructions in a processing core instruction buffer
US10445094B2 (en) * 2016-05-27 2019-10-15 Arm Limited Method and apparatus for reordering in a non-uniform compute device
US10552152B2 (en) 2016-05-27 2020-02-04 Arm Limited Method and apparatus for scheduling in a non-uniform compute device
US10795815B2 (en) 2016-05-27 2020-10-06 Arm Limited Method and apparatus for maintaining data coherence in a non-uniform compute device
US10936321B2 (en) * 2019-02-01 2021-03-02 International Business Machines Corporation Instruction chaining
US11144324B2 (en) * 2019-09-27 2021-10-12 Advanced Micro Devices, Inc. Retire queue compression
US11113068B1 (en) * 2020-08-06 2021-09-07 Microsoft Technology Licensing, Llc Performing flush recovery using parallel walks of sliced reorder buffers (SROBs)

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE69506623T2 (de) * 1994-06-03 1999-07-22 Motorola Inc Datenprozessor mit einer Ausführungseinheit zur Durchführung von Ladebefehlen und Verfahren zu seinem Betrieb
US5727177A (en) 1996-03-29 1998-03-10 Advanced Micro Devices, Inc. Reorder buffer circuit accommodating special instructions operating on odd-width results
DE69814415T2 (de) * 1997-01-29 2004-03-11 Advanced Micro Devices, Inc., Sunnyvale Zeilenorientierter wiedereinordnungsspeicher für superskalaren mikroprozessor
US6035394A (en) * 1998-02-17 2000-03-07 International Business Machines Corporation System for providing high performance speculative processing of complex load/store instructions by generating primitive instructions in the load/store unit and sequencer in parallel

Also Published As

Publication number Publication date
US6629233B1 (en) 2003-09-30
EP1256053A1 (de) 2002-11-13
JP3689369B2 (ja) 2005-08-31
EP1256053B1 (de) 2003-08-27
TW475149B (en) 2002-02-01
WO2001061479A1 (en) 2001-08-23
AU2001232126A1 (en) 2001-08-27
JP2003523574A (ja) 2003-08-05
ATE248399T1 (de) 2003-09-15

Similar Documents

Publication Publication Date Title
DE60100665D1 (de) Mikroprozessor mit zweitem wiedereinordnungsspeicher
CN100367257C (zh) 并行处理器体系结构的sdram控制器
US7000072B1 (en) Cache memory allocation method
CN100378655C (zh) 并行处理器中的多线程执行
US6907510B2 (en) Mapping of interconnect configuration space
US8135942B2 (en) System and method for double-issue instructions using a dependency matrix and a side issue queue
KR100686418B1 (ko) 멀티-스레드 가상머신에서 메모리 할당방법 및 그 장치
US7127592B2 (en) Method and apparatus for dynamically allocating registers in a windowed architecture
US5627981A (en) Software mechanism for accurately handling exceptions generated by instructions scheduled speculatively due to branch elimination
Davidson et al. Memory access coalescing: A technique for eliminating redundant memory accesses
US6880154B2 (en) Alias-free test for dynamic array structures
US20030187904A1 (en) Device virtualization and assignment of interconnect devices
US7210026B2 (en) Virtual register set expanding processor internal storage
CN109564546B (zh) 通过绕过加载存储单元来跟踪存储和加载
BR0309891A (pt) método e aparelho para alocar e desalocar processadores em um sistema de processamento de dados lógicos e particionados
US6944754B2 (en) Method and apparatus for parallel execution of computer software using a distilled program
US5896517A (en) High performance processor employing background memory move mechanism
KR940018757A (ko) 슈퍼스칼라 프로페서 시스템에서 중간 기억 버퍼의 할당을 인덱스하기 위한 방법 및 시스템
US8239661B2 (en) System and method for double-issue instructions using a dependency matrix
US20030065909A1 (en) Deferral of dependent loads until after execution of colliding stores
US20210382721A1 (en) Central processor-coprocessor synchronization
US7225443B2 (en) Stack usage in computer-related operating systems
EP0918279A2 (de) Prozessorarchitekturschema mit mehreren Quellen zur Bereitstellung von Bankadressenwerten
CN110515659B (zh) 一种原子指令的执行方法和装置
Jesshope Scalable instruction-level parallelism

Legal Events

Date Code Title Description
8332 No legal effect for de