DE1564148C3 - Process for the production of integrated semiconductor devices - Google Patents

Process for the production of integrated semiconductor devices

Info

Publication number
DE1564148C3
DE1564148C3 DE19661564148 DE1564148A DE1564148C3 DE 1564148 C3 DE1564148 C3 DE 1564148C3 DE 19661564148 DE19661564148 DE 19661564148 DE 1564148 A DE1564148 A DE 1564148A DE 1564148 C3 DE1564148 C3 DE 1564148C3
Authority
DE
Germany
Prior art keywords
substrate
semiconductor layer
depressions
highly doped
doped semiconductor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired
Application number
DE19661564148
Other languages
German (de)
Other versions
DE1564148A1 (en
DE1564148B2 (en
Inventor
Ven Young Poughkeepsie Doo
Joseph Wappingers Falls Regh
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of DE1564148A1 publication Critical patent/DE1564148A1/en
Publication of DE1564148B2 publication Critical patent/DE1564148B2/en
Application granted granted Critical
Publication of DE1564148C3 publication Critical patent/DE1564148C3/en
Expired legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76297Dielectric isolation using EPIC techniques, i.e. epitaxial passivated integrated circuit
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate

Description

Die Erfindung betrifft ein Verfahren zur Herstellung integrierter Halbleiteranordnungen, bei dem die aus mehreren kontaktierten Zonen verschiedenen Leitungstyps bestehenden, gegeneinander elektrisch isolierten Halbleiterbauelemente in muldenförmigen, mit einer Isolierschicht ausgekleideten Vertiefungen eines Substrats ausgebildet werden, indem die Vertiefungen des Substrats mit einer monokristallinen hochdotierten Halbleiterschicht eines bestimmten Leitungstyps, einer darauf folgenden schwächer dotierten Halbleiterschicht desselben Leitungstyps und mit weiteren, die Bauelemente bildenden Halbleiterzonen ausgefüllt werden.The invention relates to a method for producing integrated semiconductor devices, in which the consisting of several contacted zones of different line types, electrically against each other isolated semiconductor components in trough-shaped recesses lined with an insulating layer of a substrate can be formed by the depressions of the substrate with a monocrystalline highly doped semiconductor layer of a certain conductivity type, followed by a more weakly doped one Semiconductor layer of the same conductivity type and with further semiconductor zones forming the components fill out.

Die neuere Entwicklung in der Halbleitertechnik verläuft in Richtung auf Miniaturisierung von Halbleiteranordnungen, um dadurch höhere Arbeitsgeschwindigkeiten, niedrigere Herstellungskosten und größere Zuverlässigkeit der Bauelemente zu erreichen. Bei einem Herstellungsverfahren werden mehrere Halbleiterbauelemente in einem einzigen Substrat aus demselben Material wie die Halbleiterbauelemente hergestellt. Bei anderen Herstellungsverfahren werden die Halbleiterbauelemente in einem Substrat aus einem beliebigen Material gebildet. DieseThe more recent development in semiconductor technology is moving towards miniaturization of semiconductor arrangements, in order to achieve higher operating speeds, lower manufacturing costs and greater component reliability. In one manufacturing process, multiple semiconductor devices are incorporated into a single substrate made of the same material as the semiconductor components. With other manufacturing processes the semiconductor components are formed in a substrate made of any material. These

ίο Herstellungsverfahren werden umfassend weiterentwickelt, um den Einbau von Halbleiterbauelementen in große und komplexe elektronische Anlagen, wie z.B. Computer, zu ermöglichen und damit die Arbeitsgeschwindigkeit zu erhöhen. Um jedoch die Kosten der Herstellung der einzelnen Halbleiterbauelemente für die Computer zu senken, müssen verbesserte Verfahren für die Serienherstellung großer Mengen aktiver Schaltungselemente entwickelt werden. Die einzelnen in einem Substrat enthaltenen Bauelemente müssen gegeneinander elektrisch isoliert sein, damit beliebige elektrische Verbindungen untereinander und nach außen herstellbar sind.ίο Manufacturing processes are extensively developed, about the installation of semiconductor components in large and complex electronic systems, such as e.g. computers, and thus to increase the working speed. However, about the cost The manufacture of the individual semiconductor components for the computer must be improved Process for the series production of large quantities of active circuit elements are developed. The individual components contained in a substrate must be electrically isolated from one another so that any electrical connections can be made to each other and to the outside world.

Zum Isolieren jedes von mehreren Halbleiterbauelementen auf einem einzigen Substrat werden verschiedene Isolierverfahren verwendet.Various semiconductor devices are used to isolate each of a plurality of semiconductor devices on a single substrate Isolation process used.

Es ist bekannt, jedes der einzelnen Halbleiterbauelemente durch einen zusätzlichen, in Sperrichtung vorgespannten PN-Übergang elektrisch zu isolieren. Ein Nachteil dieser Isoliertechnik besteht darin, daß die in Sperrichtung vorgespannten PN-Ubergänge eine unerwünschte Kapazität darstellen, die die Eigenschaften der Schaltungen bekanntlich sehr ungünstig beeinflußt.It is known that each of the individual semiconductor components is replaced by an additional one in the reverse direction electrically isolate the prestressed PN junction. A disadvantage of this insulation technique is that the reverse biased PN junctions represent an undesirable capacity that the Properties of the circuits are known to have a very unfavorable effect.

Eine andere Isoliertechnik besteht darin, elektrisch isolierendes Material zwischen den Halbleiterbauelementen in der Weise vorzusehen, daß elektrische Kurzschlüsse zwischen zwei oder mehreren der Halbleiterbauelemente verhindert werden. Auf diese Art der Isolierung richtet sich das vorliegende Verfahren.Another insulation technique consists in placing electrically insulating material between the semiconductor components to provide in such a way that electrical short circuits between two or more of the semiconductor components be prevented. The present method is directed towards this type of isolation.

Bei der Herstellung integrierter Schaltungsanordnungen, die aus mehreren elektrisch getrennten Halbleiterbauelementen bestehen, ist es oft zweckmäßig, die elektrischen Verbindungn zu den einzelnen aktiven Schichten der Halbleiterbauelemente derart herzustellen, daß die Impedanz der Stromwege möglichst gering ist.In the production of integrated circuit arrangements, which consist of several electrically separated Semiconductor components exist, it is often useful to make the electrical connections to the individual to produce active layers of the semiconductor components in such a way that the impedance of the current paths is as low as possible.

Es ist bekannt, daß ein Subkollektorbereich mit einer infolge höherer Störstellenkonzentration höheren Leitfähigkeit als ein Kollektorbereich erzeugt werden kann, um einen Pfad mit niedriger Impedanz zu bilden. Es ist vorteilhaft, dieses Prinzip bei der Herstellung integrierter Schaltungsanordnungen auszunutzen, und den Kollektorstrom über einen hochleitenden Subkollektorbereich zu leiten. Bei Verwen- dung eines NPN-Transistoraufbaus ist der Subkollektorbereich ein hochdotierter »N+«-Bereich, der an den Kollektorbereich angrenzt. Der Kollektorstrom fließt aus dem Kollektorbereich in den hochleitenden »N+«-Bereich und findet so einen Pfad mit niedriger Impedanz. Eine an den »N+«-Bereich angeschlossene elektrische Zuleitung stellt daher einen Strompfad niedriger Impedanz dar.It is known that a sub-collector area with a higher concentration of impurities as a result of higher Conductivity as a collector area can be created around a low impedance path to build. It is advantageous to use this principle in the production of integrated circuit arrangements, and to conduct the collector current through a highly conductive sub-collector area. When using Due to the construction of an NPN transistor, the subcollector area is a highly doped “N +” area that is connected to adjoins the collector area. The collector current flows from the collector area into the highly conductive one "N +" range and finds a path with low impedance. One connected to the "N +" area electrical supply line therefore represents a current path with low impedance.

Beim Bau integrierter Schaltungen mit Transistoren von NPN-Typ ist bereits vorgeschlagen worden, durch Diffusion eine »N+«-Zone durch den Kollektorbereich von Planartransistorgebilden hindurch herzustellen und so eine Verbindung zu dem »begrabenen« »N+«-Bereich zu schaffen. Durch diesenWhen building integrated circuits with transistors of the NPN type, it has already been proposed that by diffusion an “N +” zone through the collector area of planar transistor structures and thus create a connection to the "buried" "N +" area. Through this

Diffusionsprozeß werden aber in vielen Fällen entweder die schon bestehenden PN-Übergänge zerstört oder die Grenzflächen der PN-Übergänge während des bei hohen Temperaturen stattfindenden Diffusionsprozesses schwer beschädigt oder verzerrt.Diffusion process, however, in many cases either the existing PN junctions are destroyed or the interfaces of the PN junctions during the diffusion process taking place at high temperatures badly damaged or distorted.

Es ist auch bereits ein Verfahren bekannt, bei dem integrierte Halbleiteranordnungen mit aus mehreren Zonen verschiedenen Leitungstyps bestehenden, gegeneinander isolierten Halbleiterbauelementen hergestellt werden. Zu diesem Zweck werden in der Oberfläche eines Substrats muldenförmige Vertiefungen hergestellt und diese mit einer Isolierschicht ausgekleidet. Anschließend wird auf die mit der Isolierschicht ausgekleidete Oberfläche polykristallines Silizium als Trägersubstanz aufgebracht und das ursprüngliche Substrat von der Unterseite bis zur Freilegung der Isolierschicht abgeschliffen. Die innerhalb der Isolierschicht verbleibenden Halbleiterinseln werden dann mit den weiteren, das gewünschte Bauelement bildenden Halbleiterzonen versehen. Auch bei diesem Verfahren ist eine Version vorgesehen, 1J^); bei dem vor dem Einbringen der muldenförmigen Vertiefungen in das Substrat eine flache hochdotierte Halbleiterzone in die Oberfläche des Substrats eingebracht wird. Diese flache, hochdotierte Zone bildet nach Fertigstellung der Anordnung eine Schicht innerhalb der isolierten Halbleiterinseln und kann als Subkollektor verwendet werden. Auch dieses Verfahren hat den bereits geschilderten Nachteil, daß diese flache hochdotierte Zone nicht ohne weiteres kontaktiert werden kann. .A method is also already known in which integrated semiconductor arrangements are produced with semiconductor components that are insulated from one another and consist of several zones of different conduction types. For this purpose, trough-shaped depressions are produced in the surface of a substrate and these are lined with an insulating layer. Subsequently, polycrystalline silicon is applied as a carrier substance to the surface lined with the insulating layer and the original substrate is ground off from the underside until the insulating layer is exposed. The semiconductor islands remaining within the insulating layer are then provided with the further semiconductor zones which form the desired component. A version is also provided for this procedure, 1 J ^); in which a flat, highly doped semiconductor zone is introduced into the surface of the substrate before the trough-shaped depressions are made in the substrate. After completion of the arrangement, this flat, highly doped zone forms a layer within the isolated semiconductor islands and can be used as a sub-collector. This method, too, has the disadvantage already described that this flat, highly doped zone cannot easily be contacted. .

Daher ist es das Ziel der Erfindung, ein Verfahren anzugeben, bei dem hochleitende Subkollektorbereiche während des Prozesses auf einfache Weise bis zur Oberfläche der Halbleiteranordnung reichend ausgebildet werden, um so ohne den früher notwendigen Schritt des Eindiffundierens einer hochleitenden Zone den Subkollektorbereich kontaktieren zu können.It is therefore the aim of the invention to provide a method in which highly conductive subcollector areas during the process in a simple manner reaching to the surface of the semiconductor device be designed so without the previously necessary step of diffusing in a highly conductive Zone to be able to contact the sub-collector area.

Zur Lösung dieser Aufgabe wird für ein Verfahren zur Herstellung integrierter Halbleiteranordnungen der eingangs genannten Art vorgeschlagen, daß die hochdotierte Halbleiterschicht in die Vertiefungen des Substrats derart eingebracht wird, daß sie bis zur Oberfläche des Substrats reicht und daß sie an der Oberfläche kontaktiert wird.To solve this problem, a method proposed for the production of integrated semiconductor devices of the type mentioned that the highly doped semiconductor layer is introduced into the depressions of the substrate in such a way that it is up to Surface of the substrate is sufficient and that it is contacted on the surface.

Ein besonders vorteilhaftes Ausführungsbeispiel besteht darin, daß zur Einbringung der hochdotierten Halbleiterschicht in die Vertiefungen des Substrats in einer entsprechend hochdotierten Halbleiterschicht durch photolithographische Ätzverfahren in einem rasterförmigen Muster angeordnete Vertiefungen erzeugt, diese Vertiefungen und die restliche Oberfläche der hochdotierten Halbleiterschicht mit der Isolierschicht und einer als Substrat dienenden Halbleiterschicht beschichtet werden und daß anschließend die hochdotierte Halbleiterschicht bis zu den die Vertiefungen der Halbleiterschicht ausfüllenden Teilen des Substrats entfernt wird, daß dann aus den in den Vertiefungen des Substrats verbleibenden Inseln der hochdotierten Halbleiterschicht durch photolithographische Ätzverfahren muldenförmige Vertiefungen ausgeätzt werden, so daß die in den Vertiefungen des Substrats verbleibende hochdotierte Halbleiterschicht bis zur Oberfläche des Substrats reicht, und daß diese muldenförmigen Vertiefungen sodann epitaktisch mit den Halbleiterzonen der Halbleiterbauelemente aufgefüllt werden.A particularly advantageous embodiment is that for introducing the highly doped Semiconductor layer in the depressions of the substrate in a correspondingly highly doped semiconductor layer generated depressions arranged in a grid-shaped pattern by photolithographic etching processes, these depressions and the remaining surface of the highly doped semiconductor layer with the Insulating layer and a semiconductor layer serving as a substrate are coated and that then the highly doped semiconductor layer up to the the depressions of the semiconductor layer filling parts of the substrate is removed that then from the islands of the highly doped semiconductor layer remaining in the depressions of the substrate by photolithographic Etching process trough-shaped depressions are etched out, so that the in the depressions of the substrate remaining highly doped semiconductor layer up to the surface of the substrate is enough, and that these trough-shaped depressions then epitaxially with the semiconductor zones of the Semiconductor components are filled.

Die bis an die Oberfläche des Substrats reichende monokristalline, hochdotierte, becherförmige Halbleiterschicht kann in an sich bekannter Weise als Subkollektor zur Kontaktierung der darauffolgenden als Kollektor dienenden Halbleiterzone verwendet werden.The monocrystalline, highly doped, cup-shaped semiconductor layer reaching to the surface of the substrate can be used in a manner known per se as a sub-collector for contacting the following can be used as a collector serving semiconductor zone.

Zur Vermeidung von Verwerfungen oder Rissen in der Halbleiteranordnung während des Herstellungsprozesses wird für die einzelnen Schichten vorteilhaft In order to avoid warping or cracks in the semiconductor arrangement during the manufacturing process, it is advantageous for the individual layers

ίο Material mit etwa gleichem Wärmeausdehnungskoeffizienten verwendet.ίο Material with roughly the same coefficient of thermal expansion used.

Das Verfahren nach der Erfindung ist im folgenden an Hand der Zeichnung näher erläutert. Es zeigt F i g. 1 ein Schema des Verfahrensablaufs, welches im Querschnitt eine Halbleiteranordnung während der einzelnen Stufen des erfindungsgemäßen Herstellungsprozesses darstellt undThe method according to the invention is explained in more detail below with reference to the drawing. It shows F i g. 1 is a diagram of the process sequence, which in cross section a semiconductor arrangement during represents the individual stages of the manufacturing process according to the invention and

Fig.2 einen Querschnitt durch die fertige Halbleiteranordnung. 2 shows a cross section through the finished semiconductor arrangement.

ao In dem in F i g. 1 gezeigten Schema des Verfahrensablaufs ist als erster Schritt ein Substrat 10 dargestellt, das zum epitaktischen Aufwachsen eines einkristallinen Halbleitermaterials geeignet ist und vorzugsweise aus einem hochleitenden Material besteht.ao In the one shown in FIG. 1 shown scheme of the process flow a substrate 10 is shown as the first step, which is used for epitaxial growth of a monocrystalline Semiconductor material is suitable and preferably consists of a highly conductive material.

Das hochleitende Substrat 10 bildet in einem späteren Verfahrensschritt den Subkollektorbereich. Ein bevorzugtes Material ist hochleitendes Silizium, das im herkömmlichen Kristallziehverfahren aus einer entsprechenden Schmelze gezogen wird. Dann wird der Einkristall in Scheiben geschnitten, so daß man eine relativ große Oberfläche 12 erhält, auf der die nachfolgenden Aufwachs- und Beschichtungsschritte stattfinden sollen.The highly conductive substrate 10 forms the sub-collector area in a later process step. A The preferred material is highly conductive silicon, which is produced in the conventional crystal pulling process from a corresponding melt is drawn. Then the single crystal is cut into slices so that you can a relatively large surface 12 is obtained on which the subsequent growth and coating steps should take place.

Im Schritt 2 wird eine Maskierungsschicht 14, vorzugsweise aus Siliziumoxyd, auf der Oberfläche 12 des Substrats 10 entweder durch pyrolytische Beschichtung oder durch herkömmliche thermische Oxydationsverfahren bei Temperaturen zwischen 950 und 1200° C aufgebracht. Danach wird auf photolithographischem Wege ein ätzunempfindliches Material in einem gitterartigen Muster auf die Oxydschicht 14 gebracht. In diesem gitterartigen Muster sind die einander schneidenden oder senkrecht aufeinanderstellenden Linien auf der Siliziumdioxydschicht frei von dem ätzunempfindlichen Material, das jedoch die dazwischenliegenden Oberflächenteile der Oxydschicht 14 bedeckt. Durch einen anschließenden Ätzvorgang wird das nicht von dem ätzunempfindlichen Material bedeckte Siliziumdioxyd beseitigt, so daß gitterartige Kanäle in der Oxydschicht 14 auf der Oberfläche des Substrats 10 entstehen. Danach werden durch die gitterartigen Kanäle in der Siliziumdioxydschicht 14 hindurch aus dem einkristallinen Siliziumsubstrat 10 entsprechende Kanäle 16 ausgeätzt.In step 2, a masking layer 14, preferably made of silicon oxide, is placed on the surface 12 of the substrate 10 either by pyrolytic coating or by conventional thermal oxidation processes at temperatures between 950 and 1200 ° C applied. An etch-insensitive material is then created by photolithography Brought to the oxide layer 14 in a grid-like pattern. In this grid-like pattern are the intersecting or perpendicular lines on the silicon dioxide layer free of the etch-insensitive material, but the intervening surface parts the oxide layer 14 covered. A subsequent etching process does not remove this from the etch-insensitive one Material covered silicon dioxide removed, so that grid-like channels in the oxide layer 14 arise on the surface of the substrate 10. Then through the grid-like channels in the Silicon dioxide layer 14 through from the monocrystalline silicon substrate 10 corresponding channels 16 etched out.

Zum Ausätzen der Kanäle in der SiO,-Schicht 14 kann z. B. eine Lösung von HF verwendet werden. Eine geeignete Ätzlösung zum Ätzen der Kanäle in dem Substrat 10 ist eine Lösung aus Salpetersäure, Essigsäure und Flußsäure und setzt sich volumenmäßig aus fünf Teilen Salpetersäure, zwei Teilen Essigsäure und einem Teil Flußsäure zusammen. Auf diese Weise können Kanäle beliebiger Tiefe in das Substrat 10 eingeätzt werden. Ist die gewünschte Tiefe erreicht, wird entweder die Ätzlösung verdünnt oder das ganze Gebilde abgebraust, um den Ätzprozeß zu stoppen.For etching out the channels in the SiO, layer 14 can e.g. B. a solution of HF can be used. A suitable etching solution for etching the channels in the substrate 10 is a solution of nitric acid, acetic acid and hydrofluoric acid and is set in terms of volume composed of five parts of nitric acid, two parts of acetic acid and one part of hydrofluoric acid. on In this way, channels of any depth can be etched into the substrate 10. Is the one you want When the depth is reached, the etching solution is either diluted or the entire structure is sprayed off to cope with the etching process to stop.

Im Schritt3 wird eine Siliziumdioxydschicht 18In step 3, a silicon dioxide layer 18 is formed

5 65 6

auf die Oberfläche des Substrats 10 und in den Ka- etwa 1 Volumprozent eingeleitet werden; dies verhin-be introduced onto the surface of the substrate 10 and into the ca 1 percent by volume; prevent this

nälen 16 erzeugt. Die Siliziumdioxydschicht 18 dient dert eine Kristallkeimbildung oder Aufwachsen desnäl 16 generated. The silicon dioxide layer 18 is used for nucleation or growth of the

als Isolierschicht und kann ebenso wie die Maskie- monokristallinen Siliziums auf der Maskierungs-as an insulating layer and, like the masking monocrystalline silicon, can be applied to the masking

rungsschicht 14 hergestellt werden. schicht 22.approximately layer 14 are produced. layer 22.

Im Schritt 4 wird eine Trägerschicht, die Vorzugs- 5 Im Schritt 9 wird, nachdem die SiO2-Schicht 22 weise aus polykristallinem Silizium besteht, durch z.B. durch Ätzen entfernt worden ist, ein Basisbe-Aufwachsen auf die Siliziumdioxydschicht 18 aufge- reich 32 des dem Kollektorbereich 30 entgegengebracht. Die polykristalline Siliziumschicht dient nun- setzten Leitungstyps in den Kollektorbereich 30 einmehr im weiteren Verfahren (Schritte 5 bis 10) als diffundiert oder einlegiert. Es bildet sich eine Dio-Substrat. io denstruktur. Die Basisdiffusion kann durch her-In step 4, a carrier layer, the preferred 5 In step 9, after the SiO 2 layer 22 consists of polycrystalline silicon, has been removed by etching, for example, a base growth is applied 32 of the silicon dioxide layer 18 towards the collector area 30. The polycrystalline silicon layer is now used as a conduction type in the collector region 30, rather than diffused or alloyed in the further process (steps 5 to 10). A di-substrate forms. io den structure. The basic diffusion can be caused by

Im Schritt 5 wird deshalb das im Schritt 4 entstan- kömmliche Maskierungs- und DiffusionsverfahrenIn step 5, the masking and diffusion process that originated in step 4 is therefore used

dene Gebilde umgekehrt, so daß sich das Substrat 20 erfolgen,dene structure reversed, so that the substrate 20 takes place,

unten befindet. Falls eine Transistorstruktur gewünscht wird, er-located below. If a transistor structure is required,

Im Schritt 6 wird durch Schleif- oder Ätzverfahren zeugt ein nachfolgender Emitterdiffusions- oder -Ie-In step 6, a subsequent emitter diffusion or -Ie-

oder eine Kombination beider Verfahren der größte 15 gierungsprozeß einen Emitterbereich 34 im Basisbe-or a combination of both methods the largest 15 yawing process an emitter area 34 in the base area

Teil des ursprünglichen Substrats 10 einschließlich reich 32, so daß man mehrere HalbleitertransistorenPart of the original substrate 10 including rich 32 so that one can have multiple semiconductor transistors

der flachen erhöhten Teile der Siliziumdioxydschicht auf einem einzigen Substrat 20 erhält. Der Emitter-the flat raised portions of the silicon dioxide layer on a single substrate 20. The emitter

18 beseitigt. Es werden Bereiche des polykristallinen bereich 34 ist von gleichem Leitungstyp wie der KoI-18 eliminated. There are areas of the polycrystalline area 34 is of the same conductivity type as the KoI-

Substrats 20 freigelegt. Durch selektives Ätzen kön- lektorbereich 30. Die Emitterdiffusion kann durchSubstrate 20 exposed. Selective etching enables the detector area 30. The emitter diffusion can through

nen die flachen erhöhten Teile der SiO,-Schicht ste- 20 herkömmliche Maskierungs- und DiffusionsverfahrenThe flat raised portions of the SiO, layer use conventional masking and diffusion processes

hengelassen werden, um kapazitive Effekte zu redu- erfolgen.can be left in order to reduce capacitive effects.

zieren, und danach kann ein SiO2-Überzug mit den F i g. 2 zeigt die endgültige Struktur einer Halbdargestellten Öffnungen, wie im folgenden beschrie- leiteranordnung, welche mit einer Schutzschicht 36 ben, hergestellt werden. aus einem geeigneten Material, wie z. B. einer aufadorn, and then a SiO 2 coating with the F i g. 2 shows the final structure of a half-illustrated opening, as described below, which is produced with a protective layer 36. of a suitable material, such as. B. one on

Eine dritte Siliziumdioxydschicht 22 wird auf die 25 einer Oxydschicht ausgebildeten Glasschicht, bestefrei liegenden polykristallinen Siliziumbereiche 20 so- hen kann. Leitungen 38, 40 und 42 werden an den wie auf die hochleitenden einkristallinen Siliziumbe- Basisbereich 32, den Emitterbereich 34 und den Subreiche 10 aufgebracht. Durch geeignete Maskierungs- kollektorbereich 28 des Transistors angeschlossen, und Ätzverfahren werden in der Schicht 22 Öffnun- Zu diesem Zweck werden beispielsweise Löcher in gen 24 über jedem der hochleitenden Bereiche 10 er- 30 der Schutzschicht 32 erzeugt und Aluminium oder zeugt. Die SiO2-ScMdIt 22 erstreckt sich zum Teil ein anderes geeignetes elektrisch leitendes Material auch über die hochleitenden Bereiche 10, wodurch durch eine Maske hindurch innerhalb der Löcher eine wichtige, nachstehend beschriebene Funktion er- aufgedampft, um so individuelle elektrische Kontakte füllt wird. . zu jedem der aktiven Bereiche der Halbleitervorrich-A third silicon dioxide layer 22 will be placed on the glass layer formed 25 of an oxide layer, best-free polycrystalline silicon regions 20. Lines 38, 40 and 42 are applied to the highly conductive monocrystalline silicon base region 32, the emitter region 34 and the sub-regions 10. Connected through a suitable masking collector region 28 of the transistor, and etching processes are made in the layer 22. For this purpose, for example, holes are produced in genes 24 above each of the highly conductive regions 10 of the protective layer 32 and aluminum or is produced. The SiO 2 -ScMdIt 22 partially extends another suitable electrically conductive material also over the highly conductive areas 10, whereby an important function, described below, is evaporated through a mask inside the holes in order to fill individual electrical contacts. . to each of the active areas of the semiconductor device

Im Schritt 7 wird der hochleitende einkristalline 35 tung herzustellen. Da die individuellen elektrischenIn step 7, the highly conductive monocrystalline 35 device is produced. Since the individual electric

Siliziumbereich 10 teilweise weggeätzt, so daß ein Leitungen zu den Subkollektorbereichen anstatt zuSilicon area 10 partially etched away so that lines to the sub-collector areas instead of to

dünner Subkollektorbereich übrigbleibt, der sich zur den Kollektorbereichen geführt sind, entsteht einA thin sub-collector area remains, which is led to the collector areas

Siliziumdioxydschicht 22 hin erstreckt. Als Ätzlö- Pfad niedriger Impedanz für den Kollektorstrom. DieSilicon dioxide layer 22 extends out. As a low-impedance Ätzlö- path for the collector current. the

sung wird die in Verbindung mit dem Ätzen des Git- etwa becherförmigen Isolierschichten 18 und SiO2 Solution is the approximately cup-shaped insulating layers 18 and SiO 2 in connection with the etching of the mesh

ters im ursprünglichen Substrat 10 beschriebene Lö- 40 dienen zur gegenseitigen elektrischen Trennung derters in the original substrate 10 described solder 40 are used for mutual electrical separation of the

sung verwendet. Diese Ätzlösung ätzt die SiO2- Halbleiterbauelemente.sung used. This etching solution etches the SiO 2 semiconductor components.

Schicht in der Zeit, in der die gewünschte Menge des Als Beispiel für die geringen Abmessungen der in Substrats 10 beseitigt ist, nicht weg. Es erfolgt eine F i g. 2 gezeigten Anordnung sei erwähnt, daß die Unterhöhlung unter dem Rand 26 der SiO2-Schicht endgültige Plättchenstärke etwa 200 μΐη, die Tiefe 22, der die öffnungen 24 begrenzt. Ein Bereich 28 45 der endgültigen Transistorstruktur etwa 8 μΐη, die des ursprünglichen Substratmaterials 10 bleibt je- Tiefe des Basisbereichs etwa 2 μΐη und die Tiefe des doch zurück und erstreckt sich bis zum Boden der Emitterbereichs etwa 1,5 μΐη betrugen. Dem Fach-Oxydschicht 22. ' mann dürfte es klar sein, daß Dioden (wie inLayer in the time in which the desired amount of As an example of the small dimensions of the substrate 10 is eliminated, not gone. A F i g ensues. 2, it should be mentioned that the hollow under the edge 26 of the SiO 2 layer final platelet thickness is approximately 200 μm, the depth 22 which delimits the openings 24. A region 28 45 of the final transistor structure about 8 μm, that of the original substrate material 10 remains, depending on the depth of the base region, about 2 μm and the depth of the back and extending to the bottom of the emitter region was about 1.5 μm. It should be clear to the specialist oxide layer 22 that diodes (as in

Im Schritte wird ein einkristalliner Siliziumbe- Schritt 9 gezeigt), Feldeffekttransistoren und andereStep 9 shows a single crystal silicon layer, field effect transistors and others

reich 30 epitaktisch auf den einkristallinen Silizium- 50 Halbleiterbauelemente ebenso nach dem erfindungs-rich 30 epitaxially on the monocrystalline silicon 50 semiconductor components also according to the invention

Subkollektorbereich 10 abgeschieden. Er weist den gemäßen Verfahren innerhalb einer integriertenSubcollector area 10 deposited. He instructs the proper procedures within an integrated

gleichen Leitungstyp wie der Subkollektorbereich 10 Halbleiteranordnung hergestellt werden können,the same conductivity type as the sub-collector area 10 semiconductor device can be produced,

auf, enthält aber eine kleinere Konzentration von Ein bedeutender Vorteil des erfindungsgemäßenbut contains a smaller concentration of A significant advantage of the invention

Störstellen und dient als Kollektorbereich. Das Ver- Verfahrens ist die Bildung der Trennfläche zwischenDefects and serves as a collector area. The process is the formation of the interface between

fahren des epitaktischen Aufwachsens von dünnen 55 Subkollektorbereich und Kollektorbereich kurz vordrive the epitaxial growth of thin sub-collector area and collector area shortly before

Siliziumschichten ist bekannt; es ist z. B. beschrieben Ende des Prozesses, insbesondere nach der Beschich-Silicon layers are known; it is Z. B. described end of the process, especially after the coating

in dem Aufsatz »Epitaxial Silicon Films by the Hy- tung mit polykristallinem Silizium, so daß eine Tem-in the article “Epitaxial Silicon Films by the Hyung with polycrystalline silicon, so that a temperature

drogen Reduction of Silicon Tetrachloride« von peraturverzerrung dieses Übergangs verhindert undDrug Reduction of Silicon Tetrachloride «prevents this transition from temperature distortion and

H. C. Theurer in »Elektrochemical Society«, Bd. dadurch der steile Gradient der Dotierungskonzen-H. C. Theurer in "Elektrochemical Society", vol.

108, S. 649, aus dem Jahre 1961. In den Auf- 60 tration zwischen Subkollektor- und Kollektorbereich108, p. 649, from 1961. In the 60 listing between subcollector and collector area

dampf-Gasstrom kann eine geringe Menge HCl von aufrechterhalten wird.A small amount of HCl can be sustained by steam gas flow.

Hierzu 1 Blatt Zeichnungen1 sheet of drawings

Claims (2)

Patentansprüche:Patent claims: 1. Verfahren zur Herstellung integrierter Halbleiteranordnungen, bei dem die aus mehreren kontaktierten Zonen verschiedenen Leitungstyps bestehenden, gegeneinander elektrisch isolierten Halbleiterbauelemente „in muldenförmigen, mit einer Isolierschicht ausgekleideten Vertiefungen eines Substrats ausgebildet werden, indem die Vertiefungen des Substrats mit einer monokristallinen hochdotierten Halbleiterschicht eines bestimmten Leitungstyps, einer darauf folgenden schwächer dotierten Halbleiterschicht desselben Leitungstyps und mit weiteren, die Bauelemente bildenden Halbleiterzonen ausgefüllt werden, dadurch gekennzeichnet, daß die hochdotierte Halbleiterschicht (28) in die Vertiefungen des Substrats (20) derart eingebracht wird, daß sie bis zur Oberfläche des Substrats (20) reicht und daß sie an der Oberfläche kontaktiert wird.1. A method for producing integrated semiconductor devices, in which the from several Contacted zones of different types of conductors, which are electrically isolated from one another Semiconductor components "in trough-shaped, with an insulating layer lined recesses of a substrate are formed by the Wells of the substrate with a monocrystalline highly doped semiconductor layer of a certain Conduction type, a subsequent more weakly doped semiconductor layer of the same Line type and filled with further semiconductor zones forming the components, characterized in that the highly doped semiconductor layer (28) in the depressions of the substrate (20) is introduced in such a way that it extends to the surface of the substrate (20) is enough and that it is contacted on the surface. 2. Verfahren nach Anspruch 1, dadurch gekennzeichnet, daß zur Einbringung der hochdotierten Halbleiterschicht (28) in die Vertiefungen des Substrats (20) in einer entsprechend hochdotierten Halbleiterschicht (10) durch photolithographische Ätzverfahren in einem rasterförmigen Muster angeordnete Vertiefungen (16) erzeugt; diese Vertiefungen und die restliche Oberfläche der hochdotierten Halbleiterschicht (10) mit der Isolierschicht (18) und einer als Substrat dienenden Halbleiterschicht (20) beschichtet werden und daß anschließend die hochdotierte Halbleiterschicht (10) bis zu den die Vertiefungen (16) der Halbleiterschicht (10) ausfüllenden Teilen des Substrats (20) entfernt wird, daß dann aus den in den Vertiefungen des Substrats (20) verbleibenden Inseln der hochdotierten Halbleiterschicht (10) durch photolithographische Ätzverfahren muldenförmige Vertiefungen ausgeätzt werden, so daß die in den Vertiefungen des Substrats (20) verbleibende hochdotierte Halbleiterschicht (28) bis zur Oberfläche des Substrats (20) reicht, und daß diese muldenförmigen Vertiefungen sodann epitaktisch mit den Halbleiterzonen (30, 32, 34) der Halbleiterbauelemente ausgefüllt werden.2. The method according to claim 1, characterized in that that for introducing the highly doped semiconductor layer (28) into the depressions of the substrate (20) in a correspondingly highly doped semiconductor layer (10) by photolithographic Etching process produces depressions (16) arranged in a grid-shaped pattern; these depressions and the remaining surface of the highly doped semiconductor layer (10) with the Insulating layer (18) and a semiconductor layer (20) serving as a substrate are coated and that then the highly doped semiconductor layer (10) up to the recesses (16) the semiconductor layer (10) filling parts of the substrate (20) is removed that then from the islands of the highly doped semiconductor layer remaining in the depressions of the substrate (20) (10) trough-shaped depressions are etched out by photolithographic etching processes so that the highly doped semiconductor layer remaining in the depressions of the substrate (20) (28) extends to the surface of the substrate (20), and that these trough-shaped depressions then filled epitaxially with the semiconductor zones (30, 32, 34) of the semiconductor components will.
DE19661564148 1965-05-10 1966-05-09 Process for the production of integrated semiconductor devices Expired DE1564148C3 (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US45425765A 1965-05-10 1965-05-10

Publications (3)

Publication Number Publication Date
DE1564148A1 DE1564148A1 (en) 1969-08-21
DE1564148B2 DE1564148B2 (en) 1974-03-07
DE1564148C3 true DE1564148C3 (en) 1975-10-16

Family

ID=23803933

Family Applications (1)

Application Number Title Priority Date Filing Date
DE19661564148 Expired DE1564148C3 (en) 1965-05-10 1966-05-09 Process for the production of integrated semiconductor devices

Country Status (3)

Country Link
DE (1) DE1564148C3 (en)
FR (1) FR1479916A (en)
GB (1) GB1078840A (en)

Also Published As

Publication number Publication date
DE1564148A1 (en) 1969-08-21
GB1078840A (en) 1967-08-09
DE1564148B2 (en) 1974-03-07
FR1479916A (en) 1967-05-05

Similar Documents

Publication Publication Date Title
DE1514818C3 (en)
DE1764281C3 (en) Method of manufacturing a semiconductor device
DE1764464C3 (en) Method of manufacturing a lateral transistor
DE1289191B (en)
DE2153103B2 (en) Process for the production of integrated shadow arrangements as well as integrated circuit arrangement produced according to the process
EP0001550A1 (en) Integrated semiconductor circuit for a small-sized structural element, and method for its production
DE4445345A1 (en) Method of manufacturing a bipolar transistor
DE2410786A1 (en) INTEGRATED SEMI-CONDUCTOR DEVICE AND METHOD OF MANUFACTURING
DE1764155C3 (en) Method for producing a semiconductor component from a silicon body
DE2347745A1 (en) INTEGRATED SEMICONDUCTOR CIRCUIT AND METHOD FOR MANUFACTURING IT
DE1564412B2 (en) Method for producing an integrated circuit with field effect transistors
DE1764570C3 (en) A method of manufacturing a semiconductor device having complementary NPN and PNP transistors
DE1961225A1 (en) Semiconductor integrated circuit and process for its manufacture
DE1965406A1 (en) Monolithic integrated circuits and methods of making them
DE1924712B2 (en) Integrated thin-film blocking or Decoupling capacitor for monolithic circuits and method for its manufacture
DE1564148C3 (en) Process for the production of integrated semiconductor devices
DE3813836A1 (en) METHOD FOR PRODUCING MONOLITHICALLY INTEGRATED, MULTIFUNCTIONAL CIRCUITS
DE2105164C2 (en) Semiconductor component with base and emitter zone and resistance layer and process for its production
DE2600375A1 (en) MORE COMPLEMENTARY TRANSISTOR STRUCTURE AND METHOD FOR PRODUCING IT
DE1769271C3 (en) Method of manufacturing a solid-state circuit
DE1285625C2 (en) METHOD FOR MANUFACTURING A SEMICONDUCTOR COMPONENT
DE1639355C3 (en) Method for producing a monolithically integrated semiconductor device
DE3743774A1 (en) Stackable semiconductor components
DE1514071C3 (en) Integrated semiconductor circuit
DE1514820C (en) Method for low-capacitance con tacting of semiconductor components or components in integrated circuits

Legal Events

Date Code Title Description
SH Request for examination between 03.10.1968 and 22.04.1971
C3 Grant after two publication steps (3rd publication)
E77 Valid patent as to the heymanns-index 1977
EHJ Ceased/non-payment of the annual fee