DE112018004364T5 - Konfigurationsstatusregister, gruppiert auf grundlage von funktionaler affinität - Google Patents

Konfigurationsstatusregister, gruppiert auf grundlage von funktionaler affinität Download PDF

Info

Publication number
DE112018004364T5
DE112018004364T5 DE112018004364.9T DE112018004364T DE112018004364T5 DE 112018004364 T5 DE112018004364 T5 DE 112018004364T5 DE 112018004364 T DE112018004364 T DE 112018004364T DE 112018004364 T5 DE112018004364 T5 DE 112018004364T5
Authority
DE
Germany
Prior art keywords
configuration status
memory
status register
memory configuration
register
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE112018004364.9T
Other languages
English (en)
Other versions
DE112018004364B4 (de
Inventor
Michael Karl Gschwind
Valentina Salapura
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of DE112018004364T5 publication Critical patent/DE112018004364T5/de
Application granted granted Critical
Publication of DE112018004364B4 publication Critical patent/DE112018004364B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F3/00Input arrangements for transferring data to be processed into a form capable of being handled by the computer; Output arrangements for transferring data from processing unit to output unit, e.g. interface arrangements
    • G06F3/06Digital input from, or digital output to, record carriers, e.g. RAID, emulated record carriers or networked record carriers
    • G06F3/0601Interfaces specially adapted for storage systems
    • G06F3/0628Interfaces specially adapted for storage systems making use of a particular technique
    • G06F3/0629Configuration or reconfiguration of storage systems
    • G06F3/0631Configuration or reconfiguration of storage systems by allocating resources to storage systems
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C8/00Arrangements for selecting an address in a digital store
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30098Register arrangements
    • G06F9/30101Special purpose registers
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F3/00Input arrangements for transferring data to be processed into a form capable of being handled by the computer; Output arrangements for transferring data from processing unit to output unit, e.g. interface arrangements
    • G06F3/06Digital input from, or digital output to, record carriers, e.g. RAID, emulated record carriers or networked record carriers
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F3/00Input arrangements for transferring data to be processed into a form capable of being handled by the computer; Output arrangements for transferring data from processing unit to output unit, e.g. interface arrangements
    • G06F3/06Digital input from, or digital output to, record carriers, e.g. RAID, emulated record carriers or networked record carriers
    • G06F3/0601Interfaces specially adapted for storage systems
    • G06F3/0602Interfaces specially adapted for storage systems specifically adapted to achieve a particular effect
    • G06F3/0604Improving or facilitating administration, e.g. storage management
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F3/00Input arrangements for transferring data to be processed into a form capable of being handled by the computer; Output arrangements for transferring data from processing unit to output unit, e.g. interface arrangements
    • G06F3/06Digital input from, or digital output to, record carriers, e.g. RAID, emulated record carriers or networked record carriers
    • G06F3/0601Interfaces specially adapted for storage systems
    • G06F3/0628Interfaces specially adapted for storage systems making use of a particular technique
    • G06F3/0638Organizing or formatting or addressing of data
    • G06F3/0644Management of space entities, e.g. partitions, extents, pools
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F3/00Input arrangements for transferring data to be processed into a form capable of being handled by the computer; Output arrangements for transferring data from processing unit to output unit, e.g. interface arrangements
    • G06F3/06Digital input from, or digital output to, record carriers, e.g. RAID, emulated record carriers or networked record carriers
    • G06F3/0601Interfaces specially adapted for storage systems
    • G06F3/0668Interfaces specially adapted for storage systems adopting a particular infrastructure
    • G06F3/0671In-line storage system
    • G06F3/0673Single storage device
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30098Register arrangements
    • G06F9/3012Organisation of register space, e.g. banked or distributed register file
    • G06F9/30138Extension of register space, e.g. register cache

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Software Systems (AREA)
  • Human Computer Interaction (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Memory System Of A Hierarchy Structure (AREA)
  • Executing Machine-Instructions (AREA)
  • Memory System (AREA)

Abstract

Konfigurationsstatusregister, gruppiert auf Grundlage von funktionaler Affinität. Eine Identifizierung eines arbeitsspeicherinternen Konfigurationsregisters wird erhalten, für das Arbeitsspeicher zugewiesen ist. Auf Grundlage der Identifizierung wird ein Versatz in den Arbeitsspeicher bestimmt, an dem das arbeitsspeicherinterne Konfigurationsstatusregister gespeichert ist. Der Versatz wird dem arbeitsspeicherinternen Konfigurationsstatusregister auf Grundlage einer funktionalen Affinität des arbeitsspeicherinternen Konfigurationsstatusregisters zugewiesen. Auf das arbeitsspeicherinterne Konfigurationsstatusregister wird unter Verwendung von mindestens dem Versatz zugegriffen.

Description

  • HINTERGRUND
  • Ein oder mehrere Aspekte betreffen allgemein eine Verarbeitung innerhalb einer Datenverarbeitungsumgebung und insbesondere ein Vereinfachen einer derartigen Verarbeitung.
  • Computer in einer Datenverarbeitungsumgebung enthalten Zentraleinheiten (CPUs) oder Prozessoren, die eine Verarbeitung in den Computern steuern. Das Verhalten einer Zentraleinheit wird durch Steuerregister gesteuert. Steuerregister sind Prozessorregister, die bestimmte Aufgaben ausführen, wie zum Beispiel Unterbrechungssteuerung, Umschalten des Adressiermodus, Paging-Steuerung und/oder Coprozessor-Steuerung.
  • Steuerregister werden typischerweise als Zwischenspeicher umgesetzt, wie beispielsweise Solid-State-Elemente direkt auf einem Prozessor-Chip. Einige Computer verwenden eine große Anzahl von Steuerregistern wie durch die architekturgebundene Umsetzung der Computer definiert. Somit stellen Steuerregister einen zunehmenden Bereich auf dem Chip dar.
  • Des Weiteren unterstützen einige Computer ein Multi-Threading, in dem eine Zentraleinheit mehrere Prozesse oder Threads gleichzeitig ausführen kann. Jeder Thread verwendet einen separaten Satz von Steuerregistern; dadurch nimmt die Anzahl von Steuerregistern auf einem Chip zu.
  • Eine zunehmende Anzahl von Steuerregistern auf Grundlage von Zwischenspeichern kann sich auf die Leistung, den Chip-Bereich und/oder die Leistungsaufnahme auswirken. Zum Beispiel werden Steuerregister während Kontextumschaltungen umgeschaltet, und daher erhöht eine Zunahme der Anzahl von Steuerregistern die Kosten einer Kontextumschaltung. Ferner treten bei Steuerregistern auf Grundlage von Zwischenspeichern Aktualisierungen der Steuerungen in Programmreihenfolge auf, was sich ebenfalls auf die Leistung auswirken kann.
  • Verschiedene Architekturen können für Steuerregister verschiedene Namen haben. Zum Beispiel werden in der von International Business Machines Corporation, Armonk, New York, angebotenen Power Architecture die Steuerregister als Spezialregister (SPRs - Special Purpose Register) bezeichnet. Andere Architekturen können andere Namen verwenden. Die Verwendung von Steuerregistern hierin enthält Steuerregister mit anderen Namen, zum Beispiel SPRs sowie andere.
  • KURZDARSTELLUNG DER ERFINDUNG
  • Unzulänglichkeiten nach dem Stand der Technik werden beseitigt und zusätzliche Vorteile werden durch die Bereitstellung eines Computerprogrammprodukts zum Vereinfachen einer Verarbeitung in einer Datenverarbeitungsumgebung bereitgestellt. Das Computerprogrammprodukt enthält ein durch einen Computer lesbares Speichermedium, das durch eine Verarbeitungsschaltung lesbar ist und Anweisungen zum Ausführen eines Verfahrens speichert. Das Verfahren enthält zum Beispiel ein Erhalten einer Identifizierung eines arbeitsspeicherinternen Konfigurationsregisters, für das Arbeitsspeicher zugewiesen ist. Auf Grundlage der Identifizierung wird ein Versatz in den Arbeitsspeicher bestimmt, an dem das arbeitsspeicherinterne Konfigurationsstatusregister gespeichert ist. Der Versatz wird dem arbeitsspeicherinternen Konfigurationsstatusregister auf Grundlage einer funktionalen Affinität des arbeitsspeicherinternen Konfigurationsstatusregisters zugewiesen. Auf das arbeitsspeicherinterne Konfigurationsstatusregister wird unter Verwendung von mindestens dem Versatz zugegriffen.
  • Zum Beispiel positioniert der zugewiesene Versatz das arbeitsspeicherinterne Konfigurationsstatusregister in einer selben Cache-Zeile wie ein anderes arbeitsspeicherinternes Konfigurationsstatusregister mit einer selben funktionalen Affinität. Dies führt zu Effizienzsteigerungen beim Zugreifen auf den Arbeitsspeicher, wodurch die Verarbeitung vereinfacht und die Leistung verbessert wird.
  • In einem Beispiel haben das arbeitsspeicherinterne Konfigurationsstatusregister und das andere arbeitsspeicherinterne Konfigurationsstatusregister dieselbe funktionale Affinität auf Grundlage dessen, dass beide, das arbeitsspeicherinterne Konfigurationsstatusregister und das andere arbeitsspeicherinterne Konfigurationsstatusregister, in einer bestimmten Operation verwendet werden.
  • Als weiteres Beispiel positioniert der zugewiesene Versatz das arbeitsspeicherinterne Konfigurationsstatusregister in einer daneben liegenden Cache-Zeile eines anderen arbeitsspeicherinternen Konfigurationsstatusregisters mit einer selben funktionalen Affinität. Dies führt zu Effizienzsteigerungen beim Zugreifen auf den Arbeitsspeicher, wodurch die Verarbeitung vereinfacht und die Leistung verbessert wird.
  • In einem Beispiel ist der Versatz eine Indexposition in einer bestimmten Einheit des Arbeitsspeichers. Ferner wird in einem Beispiel eine Versionsangabe für die bestimmte Einheit des Arbeitsspeichers bereitgestellt. Die Versionsangabe stellt Verwaltungsflexibilität für den Arbeitsspeicher bereit und vereinfacht die Verarbeitung.
  • In einem Aspekt enthält die Identifizierung eine Registernummer des arbeitsspeicherinternen Konfigurationsstatusregisters.
  • In einer Ausführungsform enthält das Bestimmen des Versatzes ferner ein Ausführen eines Look-Up einer Datenstruktur. In einer anderen Ausführungsform enthält das Bestimmen des Versatzes ein Verwenden einer Berechnung zum Bestimmen des Versatzes.
  • In einem Aspekt wird der Versatz an einen Anforderer zurückgegeben, damit der Anforderer auf das arbeitsspeicherinterne Konfigurationsregister zugreifen kann.
  • Durch einen Computer umgesetzte Verfahren und Systeme, die einen oder mehrere Aspekte betreffen, werden hierin ebenfalls beschrieben und beansprucht. Ferner werden auch Dienste, die einen oder mehrere Aspekte betreffen, hierin ebenfalls beschrieben und können beansprucht werden.
  • Weitere Merkmale und Vorteile werden durch die hierin beschriebenen Techniken verwirklicht. Weitere Ausführungsformen und Aspekte werden hierin ausführlich beschrieben und werden als Bestandteil der beanspruchten Aspekte betrachtet.
  • Figurenliste
  • Ein oder mehrere Aspekte werden besonders hervorgehoben und eindeutig als Beispiele in den Ansprüchen am Ende der Patentschrift beansprucht. Das Vorgenannte und Objekte, Merkmale und Vorteile von einem oder mehreren Aspekten werden aus der folgenden ausführlichen Beschreibung in Verbindung mit den begleitenden Zeichnungen offenkundig, wobei:
    • 1A ein Beispiel für eine Datenverarbeitungsumgebung zum Integrieren und Verwenden von einem oder mehreren Aspekten der vorliegenden Erfindung darstellt;
    • 1B ein weiteres Beispiel einer Datenverarbeitungsumgebung zum Integrieren und Verwenden von einem oder mehreren Aspekten der vorliegenden Erfindung darstellt;
    • 1C weitere Details eines Prozessors von 1A oder 1B gemäß einem oder mehreren Aspekten der vorliegenden Erfindung darstellt;
    • 1D weitere Details eines Beispiels einer Anweisungsausführungs-Pipeline darstellt, die gemäß einem oder mehreren Aspekten der vorliegenden Erfindung verwendet wird;
    • 1E weitere Details eines Beispiels eines Prozessors gemäß einem Aspekt der vorliegenden Erfindung darstellt;
    • 2 ein Beispiel von prozessorinternen Konfigurationsstatusregistern und arbeitsspeicherinternen Konfigurationsstatusregistern gemäß einem Aspekt der vorliegenden Erfindung darstellt;
    • 3 ein Beispiel für eine Decodierlogik, die einem Verwenden von arbeitsspeicherinternen Konfigurationsstatusregistern zugehörig ist, gemäß einem Aspekt der vorliegenden Erfindung da rstellt;
    • 4 ein Beispiel für eine interne Operation zum Laden eines Konfigurationsstatusregisters gemäß einem Aspekt der vorliegenden Erfindung darstellt;
    • 5 ein Beispiel für eine interne Operation zum Speichern eines Konfigurationsstatusregisters gemäß einem Aspekt der vorliegenden Erfindung darstellt;
    • 6 ein Beispiel für ein Verwenden eines arbeitsspeicherinternen Konfigurationsstatusregisters gemäß einem Aspekt der vorliegenden Erfindung darstellt;
    • 7 ein weiteres Beispiel für ein Verwenden eines arbeitsspeicherinternen Konfigurationsstatusregisters gemäß einem Aspekt der vorliegenden Erfindung darstellt;
    • 8 ein Beispiel für eine Schreiboperation eines Konfigurationsstatusregisters gemäß einem Aspekt der vorliegenden Erfindung darstellt;
    • 9 ein Beispiel für eine Leseoperation eines Konfigurationsstatusregisters gemäß einem Aspekt der vorliegenden Erfindung darstellt;
    • 10 eine Ausführungsform einer Decodierlogik, die einem Verschieben zu oder einem Verschieben aus einem arbeitsspeicherinternen Konfigurationsstatusregister zugehörig ist, gemäß einem Aspekt der vorliegenden Erfindung darstellt;
    • 11 weitere Details, die einer Anweisung zum Verschieben zu einem Konfigurationsstatusregister zugehörig sind, gemäß einem Aspekt der vorliegenden Erfindung darstellt;
    • 12 weitere Details einer Anweisung zum Verschieben aus einem Konfigurationsstatusregister gemäß einem Aspekt der vorliegenden Erfindung darstellt;
    • 13A eine Ausführungsform von Logik, die einer Lesereferenz für ein zusammengesetztes Konfigurationsstatusregister zugehörig ist, gemäß einem Aspekt der vorliegenden Erfindung da rstellt;
    • 13B eine Ausführungsform von Logik, die einer Schreibreferenz für ein zusammengesetztes Konfigurationsstatusregister zugehörig ist, gemäß einem Aspekt der vorliegenden Erfindung darstellt;
    • 14 ein Beispiel für ein zusammengesetztes Konfigurationsstatusregister gemäß einem Aspekt der vorliegenden Erfindung darstellt;
    • 15A bis 15B ein Beispiel einer linearen Zuordnung von Konfigurationsstatusregistern gemäß einem Aspekt der vorliegenden Erfindung darstellen;
    • 16 ein Beispiel für eine Neuzuordnungsablauflogik für Konfigurationsstatusregister gemäß einem Aspekt der vorliegenden Erfindung darstellt;
    • 17A ein Beispiel für mehrere Speicheroperationen eines Konfigurationsstatusregisters da rstellt;
    • 17B ein Beispiel für eine globale Speicheroperation eines Konfigurationsstatusregisters gemäß einem Aspekt der vorliegenden Erfindung darstellt;
    • 17C ein Beispiel für eine globale Ladeoperation für Konfigurationsstatusregister gemäß einem Aspekt der vorliegenden Erfindung darstellt;
    • 18A ein Beispiel für ein Angeben einer architekturgebundenen Konfigurationssteuerung gemäß einem Aspekt der vorliegenden Erfindung darstellt;
    • 18B ein weiteres Beispiel für ein Angeben einer architekturgebundenen Konfigurationssteuerung gemäß einem Aspekt der vorliegenden Erfindung darstellt;
    • 19A ein Beispiel für ein Ausführen einer Kontextumschaltung gemäß einem Aspekt der vorliegenden Erfindung darstellt;
    • 19B ein weiteres Beispiel für ein Ausführen einer Kontextumschaltung gemäß einem Aspekt der vorliegenden Erfindung darstellt;
    • 20 ein Beispiel für eine Adressumsetzung, die einer Operation zum Verschieben zu einem Konfigurationsstatusregister zugehörig ist, gemäß einem Aspekt der vorliegenden Erfindung darstellt;
    • 21A bis 21B Beispiele für ein Ausführen einer dynamischen Adressübersetzung gemäß Aspekten der vorliegenden Erfindung darstellen;
    • 22 ein Beispiel für einen Seitentabelleneintrag gemäß einem Aspekt der vorliegenden Erfindung darstellt;
    • 23 ein Beispiel für bestimmte Konfigurationsstatusregister, die bestimmten Kontexten zugehörig sind, gemäß einem Aspekt der vorliegenden Erfindung darstellt;
    • 24 eine Ausführungsform für ein Bereitstellen einer Fixierungsbenachrichtigung an ein Host-System gemäß einem Aspekt der vorliegenden Erfindung darstellt;
    • 25 eine Ausführungsform für ein Angeben einer Fixierungsoperation in einem Seitentabelleneintrag gemäß einem Aspekt der vorliegenden Erfindung darstellt;
    • 26 eine Ausführungsform für ein Angeben einer Operation zum Aufheben der Fixierung in einem Seitentabelleneintrag gemäß einem Aspekt der vorliegenden Erfindung darstellt;
    • 27 ein Beispiel für ein Kombinieren einer Fixierung und einer Operation zum Aufheben der Fixierung in einem Hypervisor-Aufruf gemäß einem Aspekt der vorliegenden Erfindung da rstellt;
    • 28 weitere Details, die einem Ausführen einer Fixierung und einer Operation zum Aufheben der Fixierung auf Grundlage eines einzelnen Aufrufs zugehörig sind, gemäß einem Aspekt der vorliegenden Erfindung darstellt;
    • 29A bis 29C verschiedene Beispiele für ein Datenschreiben gemäß einem oder mehreren Aspekten der vorliegenden Erfindung darstellen;
    • 30A bis 30C verschiedene Beispiele für ein Datenlesen gemäß einem oder mehreren Aspekten der vorliegenden Erfindung darstellen;
    • 31A bis 31B eine Ausführungsform eines Vereinfachens einer Verarbeitung in einer Datenverarbeitungsumgebung gemäß einem Aspekt der vorliegenden Erfindung darstellen;
    • 32A ein weiteres Beispiel einer Datenverarbeitungsumgebung zum Integrieren und Verwenden von einem oder mehreren Aspekten der vorliegenden Erfindung darstellt;
    • 32B weitere Details des Arbeitsspeichers von 32A darstellt;
    • 33 eine Ausführungsform einer Cloud-Computing-Umgebung darstellt; und
    • 34 ein Beispiel für Abstraktionsmodellschichten darstellt.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Gemäß einem Aspekt der vorliegenden Erfindung werden verschiedene Konfigurationsstatusregister arbeitsspeicherintern und nicht prozessorintern bereitgestellt. Wie hierin verwendet umfasst der Begriff „Konfigurationsstatusregister“ Steuerregister, Maschinenstatusregister (MSRs) wie zum Beispiel ein Programmstatuswort (PSW) oder andere Maschinenstatusregister; Statusregister (z.B. Gleitkommastatus-Steuerregister); Spezialregister (SPRs); Konfigurationsregister; und/oder andere Register, die Operationen konfigurieren, z.B. von Anweisungen.
  • Ausgewählte Konfigurationsstatusregister (oder Abschnitte davon in einem weiteren Aspekt) werden arbeitsspeicherintern bereitgestellt, wobei diese Register einem Systemspeicher zugeordnet werden und in die Hierarchie des Arbeitsspeichers aufgenommen werden, der mit dem Prozessor verbunden, aber davon getrennt ist. Die Arbeitsspeicherhierarchie enthält zum Beispiel Lade-/Speicher-Warteschlangen oder weitere Arbeitsspeicher-Caches und einen Systemarbeitsspeicher (auf den hierin auch als Hauptarbeitsspeicher, zentraler Speicher, Speicher, Hauptspeicher, Arbeitsspeicher verwiesen wird). Dadurch, dass die Register arbeitsspeicherintern und nicht prozessorintern sind, wird auf sie unter Verwendung einer Arbeitsspeicheradresse zugegriffen, und Zugriffsanforderungen können in neuer Reihenfolge geordnet oder spekulativ verarbeitet werden. Im Gegensatz dazu werden Zugriffsanforderungen für Konfigurationsstatusregister, die prozessorintern sind, nicht an eine Reihenfolge gebunden oder spekulativ verarbeitet. Prozessorinterne Konfigurationsstatusregister werden zum Beispiel als Solid-State-Elemente (wie beispielsweise Zwischenspeicher) zum Beispiel direkt auf einem Chip umgesetzt. Auf einem Chip bedeutet, dass Schaltungen in einer einzelnen integrierten Schaltung oder in derselben integrierten Schaltung wie eine vorgegebene Einheit enthalten sind oder darauf Bezug genommen wird.
  • Auf Grundlage der Konfigurationsstatusregister, die im Systemspeicher gespeichert sind, werden bestimmte Anweisungen, wie beispielsweise eine Anweisung zum Verschieben zu einem Konfigurationsstatusregister (z.B. Anweisung „zu SPR verschieben“ (mtspr)) und eine Anweisung zum Verschieben aus einem Konfigurationsstatusregister (z.B. Anweisung „aus SPR verschieben“ (mfspr)) durch Lade- und Speicheranweisungen oder Operationen einer Anweisungsdecodierlogik ersetzt. Die generierten Lade- und Speicheranweisungen/- operationen sind an Speicherwarteschlangen gebunden, und eine typische Lade- und Speicherverarbeitung wird ausgeführt.
  • Zum Beispiel wird ein Speicherbereich für die Aufnahme der Konfigurationsstatusregister durch das Betriebssystem und/oder einen Hypervisor definiert und wird zum Speichern von Registern auf Grundlage des Arbeitsspeichers reserviert. In einer Ausführungsform wird ein physischer Arbeitsspeicherbereich architekturgebunden angegeben (z.B. die ersten oder letzten n Seiten eines physischen Arbeitsspeichers).
  • In einem weiteren Aspekt werden ein oder mehrere Abschnitte eines Konfigurationsstatusregisters arbeitsspeicherintern bereitgestellt, während ein oder mehrere andere Abschnitte eines Konfigurationsstatusregisters prozessorintern bereitgestellt werden. In einem Beispiel sind die arbeitsspeicherintern bereitgestellten Abschnitte diejenigen, die seltener verwendet werden.
  • In noch einem weiteren Aspekt wird eine Neuzuordnung von Konfigurationsstatusregistern bereitgestellt, derart, dass Konfigurationsstatusregister (oder zumindest Abschnitte davon), die typischerweise zusammen verwendet werden, im Arbeitsspeicher zusammengelegt angeordnet werden (z.B. in einer einzelnen Cache-Zeile oder nebeneinander liegenden Cache-Zeilen), um die Verarbeitungsleistung zu verbessern.
  • Ferner werden in einem weiteren Aspekt Anweisungen oder Operationen bereitgestellt, um ein globales Speichern oder Laden von mehreren Konfigurationsstatusregistern auszuführen. Damit soll zum Beispiel eine Kontextumschaltung vereinfacht werden, um deren Leistung zu verbessern.
  • Des Weiteren wird in einem Aspekt die Verarbeitung vereinfacht und die Leistung verbessert, indem ein Satz von Steuerungen für ein Identifizieren definiert wird, wo im Arbeitsspeicher die Konfigurationsstatusregister gespeichert werden.
  • In einem weiteren Aspekt werden Effizienzsteigerungen während einer Kontextumschaltung erzielt, indem Arbeitsspeicherzeiger von arbeitsspeicherinternen Konfigurationsstatusregistern bearbeitet werden. Die Zeiger werden bearbeitet und nicht aus den alten Konfigurationsdaten kopiert. Damit verbessert sich die Verarbeitung in der Datenverarbeitungsumgebung durch ein Erhöhen der Geschwindigkeit und eine reduzierte Komplexität während Kontextumschaltungen.
  • Des Weiteren wird in einem anderen Aspekt auf Grundlage einer Anweisung, die eine Adresse lädt, die als eine Basisadresse verwendet werden soll, automatisch eine Adressübersetzung ausgeführt, um einen späteren potenziellen Seitenfehler beim Verarbeiten der Anweisung zu vermeiden.
  • In noch einem weiteren Aspekt werden Konfigurationsstatusregister nach Kontext oder Gruppe (z.B. Hypervisor, Betriebssystem, Prozess, Thread) getrennt, um die Verarbeitung durch zunehmende Verwaltungsflexibilität zu vereinfachen.
  • Als ein weiterer Aspekt wird eine Angabe einer automatischen Fixierung für einen initialisierten Arbeitsspeicher-Sicherungsstatus bereitgestellt.
  • Des Weiteren wird in einem anderen Aspekt ein Fixieren von Arbeitsspeicherseiten unter Verwendung von paravirtualisierten Fixieraufrufen effizient verwaltet.
  • Darüber hinaus wird der Systemarbeitsspeicher in einem Aspekt vor Störungen durch Einzelereignisse geschützt.
  • Verschiedene Aspekte werden hierin beschrieben. Ferner sind Variationen möglich, ohne von einem Erfindungsgedanken von Aspekten der vorliegenden Erfindung abzuweichen. Es ist anzumerken, sofern es anderweitig nicht widersprüchlich ist, dass jeder Aspekt bzw. jedes Merkmal, die hierin beschrieben werden, sowie Varianten davon mit jedem anderen Aspekt bzw. Merkmal kombinierbar sind.
  • Eine Ausführungsform einer Datenverarbeitungsumgebung zum Integrieren und Verwenden von einem oder mehreren Aspekten der vorliegenden Erfindung wird unter Bezugnahme auf 1A beschrieben. In einem Beispiel kann die Datenverarbeitungsumgebung auf der von International Business Machines Corporation, Armonk, New York, angebotenen z/Architecture beruhen. Eine Ausführungsform der z/Architecture wird in „z/Architecture Principles of Operation" beschrieben, IBM-Veröffentlichung SA22-7832-10, März 2015, die hierin durch Verweis in ihrer Gesamtheit aufgenommen wird. Z/ARCHITECTURE ist eine eingetragene Marke der International Business Machines Corporation, Armonk, New York, USA.
  • In einem weiteren Beispiel beruht die Datenverarbeitungsumgebung auf der von International Business Machines Corporation, Armonk, New York, angebotenen Power Architecture. Eine Ausführungsform der Power Architecture wird in „Power ISA™ Version 2.07B“, International Business Machines Corporation, 9. April 2015 beschrieben, die hierin durch Verweis in ihrer Gesamtheit aufgenommen wird. POWER ARCHITECTURE ist eine eingetragene Marke der International Business Machines Corporation, Armonk, New York, USA.
  • Die Datenverarbeitungsumgebung kann auch auf anderen Architekturen beruhen, einschließlich x86-Architekturen von Intel, sie ist aber nicht darauf beschränkt. Es sind auch weitere Beispiele vorhanden.
  • Wie in 1A gezeigt, enthält eine Datenverarbeitungsumgebung 100 zum Beispiel ein Computersystem 102, das z.B. in der Form einer Mehrzweck-Datenverarbeitungseinheit gezeigt wird. Das Computersystem 102 kann einen oder mehrere Prozessoren oder Verarbeitungseinheiten 104 (z.B. Zentraleinheiten (CPUs, Central Processing Units)), einen Arbeitsspeicher 106 (zum Beispiel auch unter Systemarbeitsspeicher, Hauptarbeitsspeicher, Hauptspeicher, zentraler Speicher oder Speicher bekannt) und eine oder mehrere Eingabe/Ausgabe- (E/A) Schnittstellen 108 enthalten, die über einen oder mehrere Busse und/oder andere Verbindungen 110 miteinander verbunden sind, es ist aber nicht darauf beschränkt.
  • Der Bus 110 stellt einen oder mehrere von mehreren beliebigen Typen von Busstrukturen dar, einschließlich eines Arbeitsspeicherbusses oder Arbeitsspeichercontrollers, eines Peripheriebusses, eines Accelerated Graphics Port (beschleunigter Grafikanschluss) und eines Prozessors oder lokalen Busses unter Verwendung von einer aus einer Vielfalt von Busarchitekturen. Als Beispiel und nicht einschränkend enthalten derartige Architekturen den Industry Standard Architecture- (ISA), den Micro Channel Architecture- (MCA), den Enhanced ISA- (EISA), den lokalen Video Electronics Standards Association- (VESA) Bus und den Peripheral Component Interconnect- (PCI) Bus.
  • Der Arbeitsspeicher 106 kann zum Beispiel einen Cache 120 enthalten, wie beispielsweise einen gemeinsam genutzten Cache, der mit lokalen Caches 122 der Prozessoren 104 verbunden sein kann. Ferner kann der Arbeitsspeicher 106 ein oder mehrere Programme oder eine oder mehrere Anwendungen 130, ein Betriebssystem 132 und eine oder mehrere durch einen Computer lesbare Programmanweisungen 134 enthalten. Die durch einen Computer lesbaren Programmanweisungen 134 können so konfiguriert werden, dass sie Funktionen von Ausführungsformen von Aspekten der Erfindung ausführen.
  • Das Computersystem 102 kann z.B. auch über E/A-Schnittstellen 108 mit einer oder mehreren externen Einheiten 140, einer oder mehreren Netzwerkschnittstellen 142 und/oder einer oder mehreren Datenspeichereinheiten 144 Daten austauschen. Zu beispielhaften externen Einheiten zählen ein Benutzerendgerät, ein Bandlaufwerk, eine Zeigeeinheit, eine Anzeige usw. Die Netzwerkschnittstelle 142 ermöglicht es dem Computersystem 102, mit einem oder mehreren Netzwerken Daten auszutauschen, wie beispielsweise einem lokalen Netzwerk (LAN, Local Area Network), einem allgemeinem Weitverkehrsnetzwerk (WAN, Wide Area Network) und/oder einem öffentlichen Netzwerk (z.B. das Internet), wodurch ein Datenaustausch mit anderen Datenverarbeitungseinheiten oder -systemen bereitgestellt wird.
  • Die Datenspeichereinheit 144 kann ein oder mehrere Programme 146, eine oder mehrere durch einen Computer lesbare Programmanweisungen 148 und/oder Daten usw. speichern. Die durch einen Computer lesbaren Programmanweisungen können konfiguriert werden, um Funktionen von Ausführungsformen von Aspekten der Erfindung auszuführen.
  • Das Computersystem 102 kann weitere austauschbare/nicht austauschbare, flüchtige/nicht flüchtige Computersystem-Speichermedien enthalten und oder mit diesen verbunden sein. Zum Beispiel kann es ein nicht entfernbares, nicht flüchtiges magnetisches Medium (das typischerweise als „Festplattenlaufwerk“ bezeichnet wird), ein Magnetplattenlaufwerk zum Auslesen aus und Beschreiben von einer entfernbaren, nicht flüchtigen Magnetplatte (z.B. eine „Floppy Disk“) und/oder ein optisches Plattenlaufwerk zum Auslesen aus oder Beschreiben von einer entfernbaren, nicht flüchtigen optischen Platte, wie beispielsweise ein CD-ROM, DVD-ROM oder ein anderer optischer Datenträger, enthalten oder mit diesen verbunden werden. Es sollte klar sein, dass andere Hardware- und/oder Software-Komponenten in Verbindung mit dem Computersystem 102 verwendet werden könnten. Zu Beispielen gehören Mikrocode, Einheitentreiber, redundante Verarbeitungseinheiten, externe Plattenlaufwerk-Arrays, RAID- (Redundant Array of Independent Disks) Systeme, Bandlaufwerke und Speichersysteme zur Datenarchivierung usw., sie sind aber nicht darauf beschränkt.
  • Das Computersystem 102 kann mit zahlreichen weiteren Umgebungen oder Konfigurationen für Universal- oder Sonder-Datenverarbeitungssysteme betriebsfähig sein. Zu Beispielen für bekannte Datenverarbeitungssysteme, Umgebungen und/oder Konfigurationen, die für eine Verwendung mit dem Computersystem 102 geeignet sein können, zählen PC-Systeme, Servercomputersysteme, Thin Clients, Thick Clients, Handheld- oder Laptop-Einheiten, Multiprozessorsysteme, Systeme auf der Grundlage von Mikroprozessoren, Set-Top-Boxen, programmierbare Unterhaltungselektronik, Netzwerk-PCs, Minicomputersysteme, Mainframe-Computersysteme und verteilte Cloud-Computing-Umgebungen, die beliebige der oben genannten Systeme oder Einheiten und dergleichen aufweisen, sie sind aber nicht darauf beschränkt.
  • In einer anderen Ausführungsform unterstützt die Datenverarbeitungsumgebung virtuelle Maschinen. Ein Beispiel für eine derartige Umgebung wird unter Bezugnahme auf 1B beschrieben. In einem Beispiel enthält eine Datenverarbeitungsumgebung 161 einen Zentraleinheitskomplex (CPC) 163, der virtuelle Maschinenunterstützung bereitstellt. Der CPC 163 ist mit einer oder mehreren Eingabe/Ausgabe- (E/A) Einheiten 167 über eine oder mehrere Steuereinheiten 169 verbunden. Der zentrale Prozessorkomplex 163 enthält zum Beispiel einen Arbeitsspeicher 165 (auch als Systemarbeitsspeicher, Hauptarbeitsspeicher, Hauptspeicher, zentraler Speicher oder Speicher bekannt), der mit einem oder mehreren Prozessoren (auch als Zentraleinheiten (CPUs) bekannt) verbunden ist, und ein Eingabe/Ausgabe-Subsystem 173, die jeweils im Folgenden beschrieben werden.
  • Der Arbeitsspeicher 165 enthält zum Beispiel eine oder mehrere virtuelle Maschinen 175, einen virtuellen Maschinen-Manager, wie zum Beispiel einen Hypervisor 177, der die virtuellen Maschinen verwaltet, und Prozessor-Firmware 197. Ein Beispiel für den Hypervisor 177 ist z/VM, angeboten von International Business Machines Corporation, Armonk, New York. Der Hypervisor wird manchmal auch als Host bezeichnet. Wie ferner hierin verwendet, enthält Firmware z.B. den Mikrocode des Prozessors. Sie enthält zum Beispiel die Anweisungen auf Hardware-Ebene und/oder Datenstrukturen, die in einer Umsetzung von Maschinencode auf höherer Ebene verwendet werden. In einer Ausführungsform enthält sie zum Beispiel proprietären Code, der normalerweise als Mikrocode bereitgestellt wird, der vertrauenswürdige Software oder einen Mikrocode enthält, der für die zugrunde liegende Hardware spezifisch ist und den Betriebssystemzugriff auf die System-Hardware steuert.
  • Die virtuelle Maschinenunterstützung des CPC stellt die Fähigkeit bereit, eine große Anzahl von virtuellen Maschinen 175 zu betreiben, die jeweils in der Lage sind, mit verschiedenen Programmen 185 zu arbeiten und ein Gast-Betriebssystem 183 wie zum Beispiel Linux auszuführen. Jede virtuelle Maschine 175 ist in der Lage, als separates System zu funktionieren. Das heißt, jede virtuelle Maschine kann unabhängig zurückgesetzt werden, ein Gast-Betriebssystem ausführen und mit verschiedenen Programmen arbeiten. Ein Betriebssystem oder ein Anwendungsprogramm, das auf einer virtuellen Maschine ausgeführt wird, scheint Zugriff auf ein vollständiges und ganzes System zu haben, wobei in Wirklichkeit aber nur ein Abschnitt davon zur Verfügung steht.
  • Der Arbeitsspeicher 165 ist mit Prozessoren (z.B. CPUs) 171 verbunden, die physische Prozessorressourcen sind, die virtuellen Maschinen zuweisbar sind. Zum Beispiel enthält die virtuelle Maschine 175 einen oder mehrere logische Prozessoren, von denen jeder alles oder einen Teil einer physischen Prozessorressource 171 darstellt, die der virtuellen Maschine dynamisch zugewiesen werden kann.
  • Ferner ist der Arbeitsspeicher 165 mit einem E/A-Subsystem 173 verbunden. Das Eingabe/Ausgabe-Subsystem 173 steuert den Informationsfluss zwischen Eingabe/Ausgabe-Steuereinheiten 169 und Einheiten 167 und dem Hauptspeicher 165. Es ist mit dem zentralen Verarbeitungskomplex dadurch verbunden, dass es ein Teil des zentralen Verarbeitungskomplexes sein oder davon getrennt sein kann.
  • Weitere Details in Bezug auf ein Beispiel eines Prozessors wie beispielsweise der Prozessor 104 (oder der Prozessor 171) werden unter Bezugnahme auf 1C beschrieben. Ein Prozessor 104 wie beispielsweise der Prozessor 104 (oder der Prozessor 171) enthält eine Mehrzahl von Funktionskomponenten, die zum Ausführen von Anweisungen verwendet werden. Diese Funktionskomponenten enthalten zum Beispiel eine Anweisungsabrufkomponente 150 zum Abrufen von Anweisungen, die ausgeführt werden sollen; eine Anweisungsdecodiereinheit 152 zum Decodieren der abgerufenen Anweisungen und zum Erhalten von Operanden der decodierten Anweisungen; Anweisungsausführungskomponenten 154 zum Ausführen der decodierten Anweisungen; eine Arbeitsspeicherzugriffskomponente 156 für den Zugriff auf den Arbeitsspeicher zum Ausführen der Anweisung, falls nötig; und eine Zurückschreibkomponente 160 zum Bereitstellen der Ergebnisse der ausgeführten Anweisungen. Eine oder mehrere dieser Komponenten können gemäß einem Aspekt der vorliegenden Erfindung verwendet werden, um eine oder mehrere Anweisungen und/oder Operationen auszuführen, die einem Verarbeiten, 166, von Konfigurationsstatusregistern auf Arbeitsspeichergrundlage zugehörig sind.
  • Der Prozessor 104 (oder der Prozessor 171) enthält in einer Ausführungsform auch ein oder mehrere Register 168, die von einer oder mehreren der Funktionskomponenten verwendet werden sollen. Der Prozessor 104 (oder der Prozessor 171) kann zusätzliche, weniger und/oder andere Komponenten als die hierin bereitgestellten Beispiele enthalten.
  • Weitere Details in Bezug auf eine Ausführungs-Pipeline eines Prozessors wie beispielsweise der Prozessor 104 oder der Prozessor 171 werden unter Bezugnahme auf 1D beschrieben. Obwohl verschiedene Verarbeitungsstufen der Pipeline hierin dargestellt und beschrieben werden, sollte klar sein, dass zusätzliche, weniger und/oder andere Stufen verwendet werden können, ohne von dem Erfindungsgedanken von Aspekten der Erfindung abzuweichen.
  • Unter Bezugnahme auf 1D wird in einer Ausführungsform eine Anweisung aus einer Anweisungswarteschlange abgerufen, 170, und eine Verzweigungsvorhersage 172 und/oder eine Decodierung 174 der Anweisung kann ausgeführt werden. Die decodierte Anweisung kann zu einer Gruppe von Anweisungen 176 hinzugefügt werden, um sie zusammen zu verarbeiten. Die gruppierten Anweisungen werden für einen Mapper 178 bereitgestellt, der alle Abhängigkeiten bestimmt, Ressourcen zuweist und die Gruppe von Anweisungen/Operationen an die entsprechenden Ausgabewarteschlangen versendet. Für die verschiedenen Typen von Ausführungseinheiten gibt es eine oder mehrere Ausgabewarteschlangen, einschließlich zum Beispiel Verzweigung, Laden/Speichern, Gleitkomma, Festkomma, Vektor usw. Während einer Ausgabestufe 180 wird eine Anweisung/Operation an die entsprechende Ausführungseinheit ausgegeben. Alle Register werden gelesen, 182, um ihre Quellen abzurufen, und die Anweisung/Operation wird während einer Ausführungsstufe 184 ausgeführt. Wie angegeben, kann die Ausführung zum Beispiel für eine Verzweigung, ein Laden (LD) oder ein Speichern (ST), eine Festkomma-Operation (FX), eine Gleitkomma-Operation (FP) oder eine Vektoroperation (VX) erfolgen. Alle Ergebnisse werden während einer Zurückschreibstufe 186 in das bzw. die entsprechenden Register geschrieben. Anschließend wird die Anweisung abgeschlossen 188. Wenn eine Unterbrechung oder ein Flush (Entfernen) 190 vorliegt, kann die Verarbeitung zum Anweisungsabruf 170 zurückkehren.
  • In einem Beispiel ist mit der Decodiereinheit ferner eine Registerumbenennungseinheit 192 verbunden, die beim Speichern/Wiederherstellen von Registern verwendet werden kann.
  • Weitere Details in Bezug auf einen Prozessor werden unter Bezugnahme auf 1E beschrieben. In einem Beispiel ist ein Prozessor, wie beispielsweise der Prozessor 104 (oder der Prozessor 171), ein Pipeline-Prozessor, der zum Beispiel Vorhersage-Hardware, Register, Caches, Decodierer, eine Anweisungssequenzierungseinheit und Anweisungsausführungseinheiten enthalten kann. Die Vorhersage-Hardware enthält zum Beispiel eine lokale Verzweigungsverlaufstabelle (BHT, Branch History Table) 105a, eine globale Verzweigungsverlaufstabelle (BHT) 105b und einen globalen Selektor 105c. Auf die Vorhersage-Hardware wird durch ein Anweisungsabruf-Adressregister (IFAR, Instruction Fetch Address Register) 107 zugegriffen, das die Adresse für den nächsten Anweisungsabruf hat.
  • Dieselbe Adresse wird auch für einen Anweisungs-Cache 109 bereitgestellt, der eine Mehrzahl von Anweisungen abrufen kann, auf die als eine „Abrufgruppe“ verwiesen wird. Zum Anweisungs-Cache 109 gehört ein Verzeichnis 111.
  • Auf den Cache und die Vorhersage-Hardware wird ungefähr zu demselben Zeitpunkt mit derselben Adresse zugegriffen. Wenn die Vorhersage-Hardware über Vorhersage-Informationen für eine Anweisung in der Abrufgruppe verfügt, wird diese Vorhersage an eine Anweisungssequenzierungseinheit (ISU, Instruction Sequencing Unit) 113 weitergeleitet, die wiederum Anweisungen zur Ausführung an Ausführungseinheiten ausgibt. Die Vorhersage kann verwendet werden, um das IFAR 107 in Verbindung mit einer Verzweigungszielberechnung 115 und einer Hardware für Verzweigungsziel-Vorhersage (wie beispielsweise einem Verbindungsregister-Vorhersagestack 117a und einem Zählregisterstack 117b) zu aktualisieren. Wenn keine Vorhersage-Informationen verfügbar sind, aber ein oder mehrere Anweisungsdecodierer 119 eine Verzweigungsanweisung in der Abrufgruppe finden, wird für diese Abrufgruppe eine Vorhersage erstellt. Vorhergesagte Verzweigungen werden in der Vorhersage-Hardware, wie beispielsweise in einer Verzweigungsinformations-Warteschlange (BIQ, Branch Information Queue) 125 gespeichert und an die ISU 113 weitergeleitet.
  • Eine Verzweigungsausführungseinheit (BRU, Branch Execution Unit) 121 arbeitet in Reaktion auf Anweisungen, die von der ISU 113 an sie ausgegeben werden. Die BRU 121 hat Lesezugriff auf eine Datei 123 eines Bedingungsregisters (CR, Condition Register). Die Verzweigungsausführungseinheit 121 hat des Weiteren Zugriff auf Informationen, die von der Verzweigungsabtastlogik in der Verzweigungsinformations-Warteschlange 125 gespeichert werden, um den Erfolg einer Verzweigungsvorhersage zu bestimmen, und sie ist mit dem bzw. den Anweisungsabruf-Adressregistern (IFAR) 107, die dem einen oder den mehreren durch den Mikroprozessor unterstützten Threads entsprechen, betriebsfähig verbunden. Gemäß mindestens einer Ausführungsform sind BIQ-Einträge einer Kennung zugehörig und werden durch eine Kennung identifiziert, z.B. ein Verzweigungs-Tag, BTAG (Branch Tag). Wenn eine einem BIQ-Eintrag zugehörige Verzweigung abgeschlossen ist, wird sie als solche gekennzeichnet. BIQ-Einträge werden in einer Warteschlange verwaltet, und die Zuweisung der ältesten Warteschlangeneinträge wird der Reihe nach aufgehoben, wenn sie als Warteschlangeneinträge gekennzeichnet sind, die Informationen enthalten, die einer abgeschlossenen Verzweigung zugehörig sind. Die BRU 121 ist ferner betriebsfähig verbunden, um eine Prädiktor-Aktualisierung zu veranlassen, wenn die BRU 121 eine Verzweigungs-Falschvorhersage erkennt.
  • Wenn die Anweisung ausgeführt wird, erkennt die BRU 121, ob die Vorhersage falsch ist. Ist dies der Fall, muss die Vorhersage aktualisiert werden. Zu diesem Zweck enthält der Prozessor auch eine Prädiktoraktualisierungslogik 127. Die Prädiktoraktualisierungslogik 127 reagiert auf eine Aktualisierungsanzeige von der Verzweigungsausführungseinheit 121 und ist so konfiguriert, dass sie Array-Einträge in einer oder mehreren der lokalen BHT 105a, der globalen BHT 105b und dem globalen Selektor 105c aktualisiert. Die Vorhersage-Hardware 105a, 105b und 105c kann über Schreibanschlüsse verfügen, die sich von den Leseanschlüssen unterscheiden, die von der Anweisungsabruf- und Vorhersageoperation verwendet werden, oder ein einzelner Schreib-/Leseanschluss kann gemeinsam genutzt werden. Die Prädiktoraktualisierungslogik 127 kann ferner betriebsfähig mit einem Verbindungsstack 117a und einem Zählregisterstack 117b verbunden sein.
  • Unter folgender Bezugnahme auf die Bedingungsregister-Datei (CRF, Condition Register File) 123 wird auf die CRF 123 von der BRU 121 zugegriffen und von dieser gelesen, und in sie kann durch die Ausführungseinheiten geschrieben werden, die eine Festkommaeinheit (FXU, Fixed Point Unit) 141, eine Gleitkommaeinheit (FPU, Floating Point Unit) 143 und eine Vektor-Multimedia-Erweiterungseinheit (VMXU, Vector Multimedia Extension Unit) 145 enthalten, sie sind jedoch nicht darauf beschränkt. Eine Bedingungsregisterlogik-Ausführungseinheit (CRL, condition register logic) 147 (wobei darauf auch als die CRU verwiesen wird) und eine Spezialregister- (SPR, special purpose register) Bearbeitungslogik 149 haben Lese- und Schreibzugriff auf die Bedingungsregister-Datei (CRF) 123. Die CRU 147 führt logische Operationen an den in der CRF-Datei 123 gespeicherten Bedingungsregistern aus. Die FXU 141 kann Schreibaktualisierungen für die CRF 123 ausführen.
  • Der Prozessor 104 (oder der Prozessor 171) enthält ferner eine Lade-/Speichereinheit 151 und verschiedene Multiplexer 153 und Pufferspeicher 155 sowie Adressübersetzungstabellen 157 und andere Schaltungsanordnungen.
  • Weitere Details in Bezug auf verschiedene Register, die durch einen Prozessor 200 wie beispielsweise der Prozessor 104 oder der Prozessor 171 verwendet werden, werden unter Bezugnahme auf 2 beschrieben. Wie gezeigt, enthält der Prozessor 200 eine Mehrzahl von prozessorinternen Konfigurationsstatusregistern (CSRs) 202. Zum Beispiel umfassen die prozessorinternen Konfigurationsstatusregister ein Link-Register (LR), ein Zählerregister (CTR), ein Maschinenstatusregister (MSR), ein Gleitkommastatus-Steuerregister (FPSCR), ein Register für die nächste Anweisungsadresse (NIA) und ein oder mehrere Register für Ganzzahl-Ausnahmeregister (XER). Ferner enthält ein Systemarbeitsspeicher 206, der mit dem Prozessor 200 verbunden ist, gemäß einem Aspekt der vorliegenden Erfindung ein oder mehrere arbeitsspeicherinterne Konfigurationsstatusregister 208. Zum Beispiel enthalten die arbeitsspeicherinternen Konfigurationsstatusregister Verzweigungs-Rücksprungregister auf Grundlage von Ereignissen (EBBRR), Verzweigungsregister auf Grundlage von Ereignissen (EBB), Status-Wiederherstellungsregister (SRRs); ein Ganzzahl-Ausnahmeregister (CER); und ein Vektorregister-Speicherregister (VRSAVE). In einem Beispiel werden die arbeitsspeicherinternen Konfigurationsstatusregister 208 in einem arbeitsspeicherinternen Konfigurationsstatus-Registerbereich 210 des Systemarbeitsspeichers 206 gespeichert.
  • Ein Konfigurationsstatusregister, auf das häufig zugegriffen wird (z.B. mehrere Zugriffe hintereinander) kann zu einer Cache-Hierarchie 212 verschoben werden, die mit dem Prozessor 200 und dem Systemarbeitsspeicher 206 verbunden ist.
  • Gemäß einem Aspekt werden auf Grundlage dessen, dass ein oder mehrere Konfigurationsstatusregister verschoben oder arbeitsspeicherintern angeordnet werden, prozessorinterne Zugriffe auf diese Konfigurationsstatusregister durch Zugriffe auf den Arbeitsspeicher ersetzt. Ein Beispiel für eine Decodierlogik, die den Typ des Zugriffs bestimmt, wird unter Bezugnahme auf 3 beschrieben. Diese Verarbeitung wird z.B. durch die Decodiereinheit und/oder eine andere Einheit des Prozessors ausgeführt.
  • Unter Bezugnahme auf 3 wird zunächst eine Anweisung empfangen, SCHRITT 300. Eine Bestimmung wird vorgenommen, ob die Anweisung eine Anweisung zum Verschieben zu einem Konfigurationsstatusregister ist, wie beispielsweise eine Anweisung „zu SPR verschieben“ (mtspr), ABFRAGE 302. Wenn die Anweisung eine Anweisung zum Verschieben zu einem Konfigurationsstatusregister ist, wird eine weitere Bestimmung vorgenommen, ob das in der Anweisung angegebene Konfigurationsstatusregister ein arbeitsspeicherinternes Konfigurationsstatusregister ist, ABFRAGE 304. Ist dies nicht der Fall, wird die übliche Bearbeitung der Anweisung zum Verschieben zu einem Konfigurationsstatusregister ausgeführt, SCHRITT 306. Wenn sich das Konfigurationsstatusregister jedoch in einem arbeitsspeicherinternen Konfigurationsstatusregister befindet, wird eine interne Operation zum Speichern eines Konfigurationsstatusregisters generiert, um das Konfigurationsstatusregister im Arbeitsspeicher zu speichern (z.B. die neuen Inhalte des Konfigurationsstatusregisters im Arbeitsspeicher zu speichern), SCHRITT 308.
  • Wenn unter erneuter Bezugnahme auf die ABFRAGE 302 die empfangene Anweisung keine Anweisung zum Verschieben zu einem Konfigurationsstatusregister ist, wird eine weitere Bestimmung vorgenommen, ob die Anweisung eine Anweisung zum Verschieben aus einem Konfigurationsstatusregister ist, wie beispielsweise eine Anweisung „aus SPR verschieben“ (mfspr), ABFRAGE 312. Wenn die Anweisung eine Anweisung zum Verschieben aus einem Konfigurationsstatusregister ist, wird eine Bestimmung vorgenommen, ob das in der Anweisung angegebene Konfigurationsstatusregister arbeitsspeicherintern ist, ABFRAGE 314. Ist dies nicht der Fall, wird die übliche Bearbeitung der Anweisung zum Verschieben aus einem Konfigurationsstatusregister ausgeführt, SCHRITT 316. Andernfalls wird eine interne Operation zum Laden eines Konfigurationsstatusregisters generiert, um die Inhalte des Registers aus dem Arbeitsspeicher zu erhalten, SCHRITT 318.
  • Wenn unter erneuter Bezugnahme auf die ABFRAGE 312 die empfangene Anweisung keine Anweisung zum Verschieben zu oder einem Verschieben aus einem Konfigurationsstatusregister ist, kann noch eine weitere Bestimmung vorgenommen werden, um zu bestimmen, ob die empfangene Anweisung eine weitere Anweisung ist, die ein Konfigurationsstatusregister verwendet, ABFRAGE 322. Ist dies der Fall, kann abhängig von der Funktion, die durch die Anweisung ausgeführt wird, eine interne Lese- und/oder Schreiboperation generiert werden. Andernfalls fährt die Verarbeitung mit SCHRITT 332 fort, in dem eine herkömmliche Anweisungs-Decodierverarbeitung ausgeführt wird.
  • In anderen Aspekten der vorliegenden Erfindung werden interne Operationen zum Laden eines Konfigurationsstatusregisters und zum Speichern von Werten eines Konfigurationsstatusregisters zusammen mit dem Ausführen von Prozessoroperationen ausgeführt, die keinen Anweisungen entsprechen, z.B. in Reaktion auf ein Eingeben einer Ausnahmeroutine in Reaktion auf ein Empfangen einer Unterbrechungsanforderung.
  • Weitere Details in Bezug auf eine interne Operation zum Laden eines Konfigurationsstatusregisters werden unter Bezugnahme auf 4 beschrieben. Diese Verarbeitung wird durch einen Prozessor ausgeführt. Unter Bezugnahme auf 4 wird eine Arbeitsspeicher-Basisadresse (Basis) von einem Register oder einem Speicherort erhalten (z.B. einem Basisregister, wie beispielsweise ein Thread-Steuerungsbasisregister (TCBR)), das eine Adresse einer Arbeitsspeichereinheit (z.B. Arbeitsspeicherseite) enthält, bei der es sich um die Basisadresse des Arbeitsspeichers handelt, der die Konfigurationsstatusregister enthält, SCHRITT 400. Außerdem wird eine in der Operation angegebene Registernummer erhalten, SCHRITT 402. Diese Registernummer wird einem Versatz in dem Arbeitsspeicher zugeordnet, SCHRITT 404. Zum Beispiel wird jede Nummer eines Konfigurationsstatusregisters (oder eine andere Identifizierung in einer anderen Ausführungsform) einem bestimmten Speicherort im Arbeitsspeicher zugeordnet. Dieser Speicherort ist ein bestimmter Wert (z.B. Versatz) ab der Basisadresse. Anschließend wird ein Laden aus einer Adresse (Basisadresse plus Versatz) ausgeführt, SCHRITT 406, und der geladene Wert wird zurückgegeben, SCHRITT 408.
  • Wie hierin verwendet, verweist Basis auf eine Basisadresse des Arbeitsspeichers, der die arbeitsspeicherinternen Konfigurationsstatusregister enthält, und Basisregister verweist auf ein Register, das die Basis enthält. Ein Beispiel für ein Basisregister ist ein Thread-Steuerungsbasisregister (TCBR), aber andere Kontexte (z.B. Betriebssystem usw.) können andere Basisregister verwenden.
  • Weitere Details in Bezug auf eine interne Operation zum Speichern eines Konfigurationsstatusregisters werden unter Bezugnahme auf 5 beschrieben. Diese Verarbeitung wird durch einen Prozessor ausgeführt. Unter Bezugnahme auf 5 wird zunächst eine Arbeitsspeicher-Basisadresse (Basis) erhalten, z.B. von einem Basisregister (z.B. von TCBR), SCHRITT 500, sowie die in der Operation angegebene Registernummer, SCHRITT 502. Die Registernummer wird einem Versatz im Arbeitsspeicher zugeordnet, SCHRITT 504, und ein Speicheroperand (z.B. die Inhalte des Registers) wird in einer Adresse gespeichert, die durch die Basisadresse plus Versatz angegeben wird, SCHRITT 506.
  • Wie oben angegeben, können andere Anweisungen als die Anweisung zum Verschieben aus oder einem Verschieben zu einem Konfigurationsstatusregister ein Konfigurationsstatusregister verwenden. Damit wird die einer dieser Anweisungen zugehörige Verarbeitung unter Bezugnahme auf 6 beschrieben. Diese Verarbeitung wird durch einen Prozessor ausgeführt. Unter Bezugnahme auf 6 wird in dieser Ausführungsform eine Anweisung/Operation erhalten, die eine Lesereferenz für ein Konfigurationsstatusregister enthält, SCHRITT 600. Auf dieser Grundlage werden eine Arbeitsspeicher-Basisadresse (Basis) für das in der Anweisung/Operation angegebene Konfigurationsstatusregister z.B. aus einem Basisregister, (z.B. von TCBR), SCHRITT 602, sowie die in der Anweisung/Operation angegebene Registernummer erhalten, SCHRITT 604. Die Registernummer wird einem Versatz im Arbeitsspeicher zugeordnet, SCHRITT 606, und die Inhalte aus der Adresse, die durch Basis plus Versatz angegeben wird, werden in ein temporäres Register geladen, SCHRITT 608. Das temporäre Register wird anschließend verwendet, SCHRITT 610.
  • Eine ähnliche Verarbeitung wird für eine Schreibreferenz für ein Konfigurationsstatusregister ausgeführt, wie unter Bezugnahme auf 7 beschrieben. Diese Verarbeitung wird durch einen Prozessor ausgeführt. Unter Bezugnahme auf 7 wird in einem Beispiel eine Schreibreferenz für ein Konfigurationsstatusregister erhalten, SCHRITT 700. Auf dieser Grundlage werden eine Arbeitsspeicher-Basisadresse (Basis), z.B. von einem Basisregister (z.B. von TCBR), für das in der Anweisung/Operation angegebene Konfigurationsstatusregister, SCHRITT 702, sowie die in der Anweisung/Operation angegebene Registernummer erhalten, SCHRITT 704. Die Registernummer wird einem Versatz zugeordnet, SCHRITT 706, und die in der Schreibreferenz enthaltenen Inhalte (z.B. in einem temporären Register) werden in der Adresse gespeichert, die an Basis plus Versatz angegeben ist, SCHRITT 708.
  • Weitere Details in Bezug auf eine betriebliche Umsetzungsansicht einer Schreiboperation für ein Konfigurationsstatusregister (wie beispielsweise ein Verschieben zu einem Konfigurationsstatusregister (z.B. mtspr)) werden unter Bezugnahme auf 8 beschrieben. Diese Verarbeitung wird durch einen Prozessor ausgeführt. Unter Bezugnahme auf 8 wird in einem Beispiel die in der Operation angegebene Registernummer übersetzt, SCHRITT 800. Zum Beispiel wird die Arbeitsspeicheradresse bestimmt, die der Registernummer (oder einer anderen Angabe) entspricht oder dieser zugeordnet ist (z.B. unter Verwendung einer Look-up-Tabelle oder sie wird berechnet). Ferner wird ein Speicherwarteschlangeneintrag zugewiesen, SCHRITT 802, und die Adresse, die dem betreffenden Konfigurationsstatusregister entspricht, wird in dem Speicherwarteschlangeneintrag gespeichert, SCHRITT 804. Außerdem werden die Inhalte (z.B. Datenwert(e)), die den in das betreffende Konfigurationsstatusregister geschriebenen Daten entsprechen, in den Speicherwarteschlangeneintrag geschrieben, SCHRITT 806. In einem Beispiel können die SCHRITTE 804 und 806 nicht an eine Reihenfolge gebunden ausgeführt werden.
  • Die Speicherwarteschlange wird auf Lesevorgänge für die angegebene Adresse überwacht (z.B. Umgehung von Speicherwarteschlange), SCHRITT 808. Ferner kann der Speicherwarteschlangeneintrag auf Grundlage eines Fehlspekulationsereignisses gelöscht werden, was in einem Beispiel bis zu einem architekturgebundenen Reihenfolgepunkt auftreten kann, SCHRITT 810.
  • Die Inhalte (z.B. Datenwerte) werden in eine Adresse in der Arbeitsspeicherhierarchie geschrieben, z.B. einem Cache erster Ebene, SCHRITT 812. Die Daten aus dem Cache erster Ebene werden auf Grundlage einer Leseanforderung bereitgestellt, SCHRITT 814. Ferner werden auf Grundlage einer Cache-Ersetzungsrichtlinie Daten aus dem Cache erster Ebene auf eine oder mehrere nächste Ebenen der Cache-Hierarchie ausgewiesen. Daten aus einer oder mehreren nächsten Ebenen der Cache-Hierarchie werden auf Grundlage einer Leseanforderung bereitgestellt, SCHRITT 818. Auf Grundlage der Cache-Ersetzungsrichtlinie werden Daten aus den Cache-Ebenen auf den Systemarbeitsspeicher, z.B. DRAM, ausgewiesen, SCHRITT 820. Die Daten aus dem Systemarbeitsspeicher werden auf Grundlage einer Leseanforderung bereitgestellt, SCHRITT 822.
  • Weitere Details in Bezug auf eine betriebliche Umsetzungsansicht einer Leseoperation für ein Konfigurationsstatusregister werden unter Bezugnahme auf 9 beschrieben. Diese Verarbeitung wird durch einen Prozessor ausgeführt. Unter Bezugnahme auf 9 wird in einem Beispiel die durch die Leseoperation angegebene Registernummer in eine entsprechende Arbeitsspeicheradresse übersetzt, SCHRITT 900. Eine Ladesequenznummer zum Angeben einer Position in einer Ladewarteschlange, die zum Verfolgen von Ladeanforderungen verwendet wird, und ein Lade-Tag, das Abhängigkeiten verfolgt, werden erhalten, SCHRITT 902. In Bezug auf das Vorhandensein von Daten an der Adresse, die dem Konfigurationsstatusregister entspricht, das in der Speicherwarteschlange gelesen wird, wird ein Test ausgeführt, SCHRITT 904 (d.h. die Daten, die aus dem Arbeitsspeicher in der Speicherwarteschlange gelesen werden sollen). Wenn Daten für das zu lesende Konfigurationsstatusregister in der Speicherwarteschlange gefunden werden, ABFRAGE 906, wird der Wert aus der Speicherwarteschlange erhalten, SCHRITT 908, und die Verarbeitung ist abgeschlossen.
  • Wenn unter erneuter Bezugnahme auf die ABFRAGE 906 die Daten für das zu lesende Konfigurationsstatusregister nicht in der Speicherwarteschlange gefunden werden, wird eine weitere Bestimmung vorgenommen, ob die Daten für das zu lesende Konfigurationsstatusregister in dem Cache erster Ebene gefunden werden, ABFRAGE 910. Ist dies der Fall, wird der Wert aus dem Cache erster Ebene erhalten, SCHRITT 912, und die Verarbeitung ist abgeschlossen.
  • Wenn jedoch unter erneuter Bezugnahme auf die ABFRAGE 910 die Daten nicht in dem Cache erster Ebene gefunden werden, wird eine weitere Bestimmung vorgenommen, ob die Daten für das zu lesende Konfigurationsstatusregister in einem oder mehreren Caches der nächsten Ebene gefunden werden, ABFRAGE 914. Wenn die Daten in einem oder mehreren Caches der nächsten Ebene gefunden werden, werden die Daten aus einem Cache einer nächsten Ebene erhalten, SCHRITT 916, und die Verarbeitung ist abgeschlossen.
  • Wenn sich die Daten unter erneuter Bezugnahme auf die ABFRAGE 914 nicht in einem oder mehreren Caches der nächsten Ebene befinden, wird an die Ladewarteschlange eine Leseanforderung ausgegeben, um die Daten aus dem Systemarbeitsspeicher abzurufen, SCHRITT 918. Die dem Konfigurationsstatusregister entsprechenden Daten werden erhalten, wenn der Ladevorgang aus dem Systemarbeitsspeicher abgeschlossen ist, SCHRITT 920.
  • Gemäß einem Aspekt der vorliegenden Erfindung wird die Zuweisung von arbeitsspeicherinternen Arbeitsspeichereinheiten (z.B. Seiten) ausgeführt, um Software-Kompatibilität bereitzustellen. Zum Beispiel wird die Zuweisung durch Firmware für einen Prozessor ausgeführt, damit dieser ältere Hypervisoren ausführen kann und Hypervisoren ältere Betriebssysteme ausführen können usw.
  • In einem Beispiel weist Firmware nach dem erstmaligen Booten des Systems arbeitsspeicherinterne Seiten für die arbeitsspeicherinternen Konfigurationsstatusregister in einem einer Firmware eigenen Arbeitsspeicher zu. Wenn in einem Beispiel ein Hypervisor keine Kenntnis von den arbeitsspeicherinternen Konfigurationsstatusregistern hat, werden die einer Firmware eigenen Seiten während der gesamten Ausführung des Systems ohne weiteren Software-Verweis auf ein Basisregister verwendet, z.B. TCBR usw.
  • Daher führt der Hypervisor nur Kontextumschaltungen aus, indem der Kontext unter Verwendung z.B. eines Verschiebens aus einem Konfigurationsstatusregister, z.B. mfspr, und einem erneuten Laden des Kontexts, z.B. mit einem Verschieben zu einem Konfigurationsstatusregister, z.B. mtspr gelesen wird. Dies ermöglicht eine bedeutende Vereinfachung der Auslegung und Leistungsvorteile in dem Computersystem.
  • Wenn in einem Beispiel ferner ein Hypervisor Kenntnis von arbeitsspeichergestützten Seiten hat, kann er jede neue Partition so konfigurieren, dass sie einen Satz von Sicherungsseiten hat. Wenn ein Betriebssystem ferner keine Kenntnis von arbeitsspeicherinternen Konfigurationsstatusregistern hat, wird bzw. werden die dem Hypervisor eigene(n) Seite(n) während der gesamten Ausführung des Systems ohne weitere Verweise der Software auf z.B. ein Basisregister, z.B. TCBR usw. verwendet. Wenn der Hypervisor ebenfalls keine Kenntnis hat, verwendet das Betriebssystem der Firmware eigene Seiten.
  • Daher führt das Betriebssystem nur Kontextumschaltungen aus, indem der Kontext unter Verwendung z.B. eines Verschiebens aus einem Konfigurationsstatusregister, z.B. mfspr, und einem erneuten Laden des Kontexts, z.B. mit einem Verschieben zu einem Konfigurationsstatusregister, z.B. mtspr gelesen wird. Dies ermöglicht eine bedeutende Vereinfachung der Auslegung und Leistungsvorteile, wodurch die Verarbeitung in dem Computersystem vereinfacht wird.
  • Wie hierin beschrieben, werden gemäß einem oder mehreren Aspekten ausgewählte Konfigurationsstatusregister im Systemarbeitsspeicher gespeichert. Somit wird ein Verschieben zu und aus Konfigurationsstatusregistern durch Lade- und Speicheranweisungen von der Anweisungsdecodierlogik ersetzt. Die auf diese Weise generierten Lade- und Speichervorgänge sind an Speicherwarteschlangen gebunden, und es wird eine normale Lade- und Speicherverarbeitung ausgeführt. In einem Beispiel sind die Konfigurationsstatusregister, die nicht laufend benötigt werden (z.B. diejenigen im Gegensatz zu Registern wie der Programmzähler (PC), Daten- und Adress-Haltepunktregister, PSW, Gleitkommasteuerung usw.) diejenigen, die im Arbeitsspeicher gespeichert werden.
  • Zum Beispiel wird der Speicherbereich durch das Betriebssystem und den Hypervisor definiert und zum Speichern für Register auf Grundlage des Arbeitsspeichers reserviert. In einer Ausführungsform wird ein physischer Arbeitsspeicherbereich architekturgebunden angegeben (z.B. die ersten oder letzten n Seiten eines physischen Arbeitsspeichers).
  • In mindestens einer Ausführungsform werden arbeitsspeicherinterne Konfigurationsstatusregister normalem, im Cache speicherbarem Arbeitsspeicher zugeordnet. Wenn ein Konfigurationsstatusregister aktualisiert werden muss, wird es in einer Speicherwarteschlange gespeichert. Die Speicherwarteschlange ist nicht nur ein Warteschlangenmechanismus, sondern stellt auf effiziente Weise eine Möglichkeit zum Umbenennen von Speicherorten für eine Speicherung bereit, um eine spekulative Ausführung von Arbeitsspeicherzugriffen zu ermöglichen. Mehrere Versionen von spekulativen Werten für eine Adresse können sich in der Speicherwarteschlange befinden (zusätzlich zu einem maßgeblichen Architekturwert an einem architekturgebundenen Reihenfolgepunkt, der sich im Cache oder Systemarbeitsspeicher befindet). Die Cache-Einträge können nicht an eine Reihenfolge gebunden aktualisiert werden, sobald sie zugewiesen worden sind. Außerdem können Speichervorgänge rückgängig gemacht werden, indem Einträge aus der Speicherwarteschlange gelöscht werden.
  • Dementsprechend kann ein arbeitsspeicherinternes Konfigurationsstatusregister unter Verwendung der Speicherwarteschlange und eines nicht an eine Reihenfolge gebundenen Zurücklesens ohne Leistungseinbußen aktualisiert werden, wobei ein Konfigurationsstatusregister auf Grundlage eines kerninternen Zwischenspeichers zwingend zwei Serialisierungs- und reihenfolgegebundene Zugriffsmehrkosten durchsetzt, da Umsetzungsmittel für eine spekulative Ausführung von prozessorinternen Konfigurationsstatusregistern sehr häufig übermäßig teuer sind.
  • Wenn sich ferner ein Wert nicht in der Speicherwarteschlange befindet, kann ein Lesen des Werts effizienter als aus einem Zwischenspeicher erfolgen, da häufig verwendete Arbeitsspeichersteuerungen (z.B. arbeitsspeicherinterne Konfigurationsstatusregister) im Cache gefunden werden und in weniger als 2 bis 3 Zyklen (Zeit für den Zugriff auf einen Cache erster Ebene) viel schneller zur Verfügung stehen als die Sonderlogik benötigt hat, um auf ein Konfigurationsstatusregister auf Grundlage eines Zwischenspeichers in einem Prozessor zuzugreifen.
  • Wenn in einer Ausführungsform eine Seite zum Aufnehmen von Konfigurationsstatusregistern zugewiesen wird, verweigert die Architektur den Zugriff auf die Seite unter Verwendung von Arbeitsspeicheroperanden. Damit wird ein Ineinandergreifen zwischen einer Arbeitsspeicheroperation und Anweisungen zum Verschieben aus/Verschieben zu einem Konfigurationsstatusregister vermieden.
  • Gemäß einem weiteren Aspekt der vorliegenden Erfindung werden ein oder mehrere Abschnitte eines Konfigurationsstatusregisters arbeitsspeicherintern bereitgestellt, während ein oder mehrere andere Abschnitte eines Konfigurationsstatusregisters prozessorintern bereitgestellt werden. Zum Beispiel kann ein Konfigurationsstatusregister eine Mehrzahl von Abschnitten (z.B. Felder) haben, und ein oder mehrere dieser Abschnitte, auf die zum Beispiel häufig zugegriffen wird, können prozessorintern bleiben, und die übrigen Abschnitte, die zum Beispiel seltener verwendet werden, können zum Arbeitsspeicher verschoben werden. Dies wird ausführlicher unter Bezugnahme auf 10 bis 14 beschrieben.
  • Unter Bezugnahme auf 10 empfängt die Decodiereinheit eines Prozessors (oder einer anderen Komponente) zunächst eine Anweisung, SCHRITT 1000. Eine Bestimmung wird durch die Decodiereinheit (oder eine andere Komponente) vorgenommen, ob die Anweisung eine Anweisung zum Verschieben zu einem Konfigurationsstatusregister ist (mtcsr), wie beispielsweise eine Anweisung „zu SPR verschieben“ (mtspr), ABFRAGE 1002. Wenn es sich um eine Anweisung zum Verschieben zu einem Konfigurationsstatusregister handelt, wird diese Anweisung bearbeitet, SCHRITT 1004, wie im Folgenden beschrieben.
  • Wenn unter erneuter Bezugnahme auf die ABFRAGE 1002 die Anweisung keine Anweisung zum Verschieben zu einem Konfigurationsstatusregister ist, wird eine weitere Bestimmung vorgenommen, ob die Anweisung eine Anweisung zum Verschieben aus einem Konfigurationsstatusregister (mfcsr) ist, wie beispielsweise eine Anweisung „aus SPR verschieben“ (mfspr), ABFRAGE 1006. Wenn es sich um eine Anweisung zum Verschieben aus einem Konfigurationsstatusregister handelt, wird diese Anweisung bearbeitet, SCHRITT 1008, wie im Folgenden beschrieben. Wenn unter erneuter Bezugnahme auf die ABFRAGE 1006 die Anweisung weder eine Anweisung zum Verschieben zu oder zum Verschieben aus einem Konfigurationsstatusregister ist, wird eine herkömmliche Anweisungsdecodierung ausgeführt, SCHRITT 1010.
  • In einer weiteren Ausführungsform können weitere Abfragen vorgenommen werden, ob eine andere Anweisung vorliegt, die ein Konfigurationsstatusregister verwendet, und wenn dies der Fall ist, können diese Anweisungen entsprechend bearbeitet werden, wofür hierin Beispiele beschrieben werden. In noch einer anderen Ausführungsform können Prozessoroperationen, die keinen Anweisungen entsprechen (z.B. Initiieren einer Ausnahmenbearbeitungssequenz) auf ähnliche Weise ausgeführt werden.
  • Weitere Details in Bezug auf eine Bearbeitung einer Anweisung zum Verschieben zu einem Konfigurationsstatusregister werden unter Bezugnahme auf 11 beschrieben. In einem Beispiel kann das Konfigurationsstatusregister ein Sonderregister (SPR) sein, und die Anweisung ist eine Anweisung „zu SPR verschieben“ (mtspr). Dies ist jedoch nur ein Beispiel. Andere Konfigurationsstatusregister können auf ähnliche Weise verarbeitet werden. Diese Logik wird durch einen Prozessor ausgeführt, wie zum Beispiel die Decodiereinheit des Prozessors. In anderen Beispielen führen eine oder mehrere Komponenten diese Logik aus.
  • Unter Bezugnahme auf 11 wird auf Grundlage eines Erhaltens (z.B. Empfangen, Bereitstellung, Auswahl usw.) einer Anweisung zum Verschieben zu einem Konfigurationsstatusregister, wie zum Beispiel eine mtspr-Anweisung, eine Bestimmung vorgenommen, ob mindestens ein Abschnitt des durch die Anweisung angegebenen Konfigurationsstatusregisters (CSR) arbeitsspeicherintern ist, ABFRAGE 1100. Ist dies nicht der Fall, wird die übliche Verarbeitung der Anweisung zum Verschieben zu einem Konfigurationsstatusregister (z.B. mtspr) ausgeführt, SCHRITT 1102.
  • Wenn unter erneuter Bezugnahme auf die ABFRAGE 1100 mindestens ein Abschnitt des Konfigurationsstatusregisters arbeitsspeicherintern ist, wird eine weitere Bestimmung vorgenommen, ob das gesamte Konfigurationsstatusregister arbeitsspeicherintern ist, ABFRAGE 1104. Wenn das gesamte Konfigurationsstatusregister arbeitsspeicherintern ist, wird eine interne Operation zum Speichern des Konfigurationsstatusregisters generiert, SCHRITT 1106. Ein Beispiel für ein Verarbeiten, das dieser internen Operation zugehörig ist, wird unter Bezugnahme auf 5 beschrieben.
  • Wenn unter erneuter Bezugnahme auf die ABFRAGE 1104 nur ein oder mehrere Abschnitte des Konfigurationsstatusregisters arbeitsspeicherintern sind, werden anschließend eine oder mehrere Operationen zum Speichern des Konfigurationsstatusregisters für den einen oder die mehreren arbeitsspeicherinternen Abschnitte des Konfigurationsstatusregisters generiert, SCHRITT 1110. Ferner werden aktualisierte interne Operationen für den einen oder die mehreren kerninternen Konfigurationsstatus-Registerabschnitte generiert, SCHRITT 1112. Die aktualisierten internen Operationen können eine oder mehrere Anweisungen, eine Statusmaschine oder sonstiges sein, die die Operation zum Kopieren der Inhalte von einem oder mehreren Mehrzweckregistern, die Daten für die angegebenen kerninternen Abschnitte enthalten, zu dem bzw. den entsprechenden Abschnitten des kerninternen Konfigurationsstatusregisters ausführen. Die Verarbeitung ist abgeschlossen.
  • Weitere Details in Bezug auf eine Verarbeitung, die einem Bearbeiten einer Anweisung zum Verschieben aus einem Konfigurationsstatusregister zugehörig ist, werden unter Bezugnahme auf 12 beschrieben. In einem Beispiel kann das Konfigurationsstatusregister ein Sonderregister (SPR) sein, und die Anweisung ist eine Anweisung „aus SPR verschieben“ (mfspr). Dies ist jedoch nur ein Beispiel. Andere Konfigurationsstatusregister können auf ähnliche Weise verarbeitet werden. Diese Logik wird durch einen Prozessor ausgeführt, wie zum Beispiel die Decodiereinheit des Prozessors. In anderen Beispielen führen eine oder mehrere Komponenten diese Logik aus.
  • Unter Bezugnahme auf 12 wird auf Grundlage eines Erhaltens (z.B. Empfangen, Bereitstellung, Auswahl usw.) einer Anweisung zum Verschieben aus einem Konfigurationsstatusregister, wie zum Beispiel eine mfspr-Anweisung, eine Bestimmung vorgenommen, ob mindestens ein Abschnitt des Konfigurationsstatusregisters arbeitsspeicherintern ist. Ist dies nicht der Fall, wird eine herkömmliche Verarbeitung der Anweisung zum Verschieben aus dem Konfigurationsstatusregister ausgeführt, SCHRITT 1202.
  • Wenn unter erneuter Bezugnahme auf die ABFRAGE 1200 mindestens ein Abschnitt des Konfigurationsstatusregisters arbeitsspeicherintern ist, wird eine weitere Bestimmung vorgenommen, ob das gesamte Konfigurationsstatusregister arbeitsspeicherintern ist, ABFRAGE 1204. Wenn das gesamte Konfigurationsstatusregister arbeitsspeicherintern ist, wird eine interne Operation zum Laden des Konfigurationsstatusregisters generiert, SCHRITT 1206. Ein Beispiel für ein Verarbeiten, das dieser Operation zugehörig ist, wird unter Bezugnahme auf 4 beschrieben.
  • Wenn unter erneuter Bezugnahme auf die ABFRAGE 1204 nur ein oder mehrere Abschnitte des Konfigurationsstatusregisters arbeitsspeicherintern sind, werden eine oder mehrere interne Operationen zum Laden des Konfigurationsstatusregisters für den einen oder die mehreren arbeitsspeicherinternen Abschnitte des Konfigurationsstatusregisters generiert, SCHRITT 1210. Ferner werden eine oder mehrere interne Leseoperationen für den einen oder die mehreren kerninternen Konfigurationsstatus-Registerabschnitte generiert, SCHRITT 1212.
  • Außerdem werden in einer Ausführungsform eine oder mehrere interne Operationen generiert, um die arbeitsspeicherinternen und kerninternen Abschnitte zu einem architekturgebunden definierten Abbild des Konfigurationsstatusregisters zu kombinieren, SCHRITT 1214. Dies kann zum Beispiel ein Verwenden einer Anweisung „unter Maske einfügen“ (Insert Under Mask) oder OR-, AND- und/oder NOT-Logikschaltungen umfassen, wie im Folgenden ausführlicher beschrieben wird.
  • Weitere Details in Bezug auf die Verwendung eines zusammengesetzten Konfigurationsstatusregisters, in dem ein oder mehrere Abschnitte prozessorintern sind und ein oder mehrere Abschnitte arbeitsspeicherintern sind, werden unter Bezugnahme auf 13A beschrieben, in der eine Lesereferenz beschrieben wird. Diese Logik wird durch einen Prozessor ausgeführt, wie zum Beispiel die Decodiereinheit des Prozessors. In anderen Beispielen führen eine oder mehrere Komponenten diese Logik aus.
  • Unter Bezugnahme auf 13A wird auf Grundlage einer Lesereferenz eines zusammengesetzten Konfigurationsstatusregisters 1300 eine Bestimmung vorgenommen, ob auf einen bestimmten Abschnitt (auf den auch als Komponente, z.B. ein Feld, verwiesen wird) arbeitsspeicherintern oder prozessorintern zugegriffen wird, ABFRAGE 1310. Erfolgt der Zugriff prozessorintern, wird auf die prozessorinterne Komponente zugegriffen, SCHRITT 1320, und die Verarbeitung wird mit ABFRAGE 1350 fortgesetzt, die im Folgenden beschrieben wird. Wenn die bestimmte Komponente jedoch arbeitsspeicherintern ist, ABFRAGE 1310, wird die Verarbeitung unter Bezugnahme auf 6 beschrieben fortgesetzt. Zum Beispiel wird die Arbeitsspeicher-Basisadresse (Basis) erhalten (SCHRITT 1330) sowie eine Registernummer, die in der Anweisung angegeben ist, die auf das zusammengesetzte Konfigurationsstatusregister verweist, SCHRITT 1332. Die Registernummer wird einem Versatz zugeordnet, SCHRITT 1334, und aus der Adresse (Basis plus Versatz) wird ein Laden in ein temporäres Register ausgeführt, SCHRITT 1336. Das temporäre Register wird anschließend verwendet, SCHRITT 1338. Danach, oder nach SCHRITT 1320, wird eine Bestimmung vorgenommen, ob auf eine andere Komponente des zusammengesetzten Konfigurationsregisters zugegriffen werden soll, ABFRAGE 1350. Ist dies der Fall, fährt die Verarbeitung mit ABFRAGE 1310 fort. Andernfalls ist die Verarbeitung abgeschlossen.
  • Weitere Details in Bezug auf die Verwendung eines zusammengesetzten Konfigurationsstatusregisters, in dem ein oder mehrere Abschnitte prozessorintern sind und ein oder mehrere Abschnitte arbeitsspeicherintern sind, werden unter Bezugnahme auf 13B beschrieben, in der eine Schreibreferenz beschrieben wird. Diese Logik wird durch einen Prozessor ausgeführt, wie zum Beispiel die Decodiereinheit des Prozessors. In anderen Beispielen führen eine oder mehrere Komponenten diese Logik aus.
  • Unter Bezugnahme auf 13B wird auf Grundlage einer Schreibreferenz eines zusammengesetzten Konfigurationsstatusregisters 1360 eine Bestimmung vorgenommen, ob auf einen bestimmten Abschnitt (auf den auch als Komponente, z.B. ein Feld, verwiesen wird) arbeitsspeicherintern oder prozessorintern zugegriffen wird, ABFRAGE 1370. Erfolgt der Zugriff prozessorintern, wird auf die prozessorinterne Komponente zugegriffen, SCHRITT 1390, und die Verarbeitung wird mit ABFRAGE 1388 fortgesetzt, die im Folgenden beschrieben wird. Wenn die bestimmte Komponente jedoch arbeitsspeicherintern ist, ABFRAGE 1370, wird die Verarbeitung unter Bezugnahme auf 7 beschrieben fortgesetzt. Zum Beispiel wird die Arbeitsspeicher-Basisadresse (Basis) erhalten (SCHRITT 1380) sowie eine Registernummer, die in der Anweisung angegeben ist, die auf das zusammengesetzte Konfigurationsstatusregister verweist, SCHRITT 1382. Die Registernummer wird einem Versatz zugeordnet, SCHRITT 1384, und an einer Adresse, die durch Basis + Versatz definiert wird, wird ein Speichern ausgeführt, SCHRITT 1386. Danach, oder nach SCHRITT 1390, wird eine Bestimmung vorgenommen, ob auf eine andere Komponente des zusammengesetzten Konfigurationsregisters zugegriffen werden soll, ABFRAGE 1388. Ist dies der Fall, fährt die Verarbeitung mit ABFRAGE 1370 fort. Andernfalls ist die Verarbeitung abgeschlossen.
  • Ein Beispiel für ein zusammengesetztes Konfigurationsstatusregister wird in 14 dargestellt. Wie in diesem Beispiel gezeigt ist ein zusammengesetztes Konfigurationsstatusregister 1400 ein Sonderregister (SPR) 1, das einem Ganzzahl-Ausnahmeregister (XER) entspricht. Dieses Register enthält eine Mehrzahl von Feldern 1402. In einem Beispiel sind ein oder mehrere der Felder prozessorinterne Felder 1404, und ein weiteres Feld 1406 ist ein arbeitsspeicherinternes Feld. In diesem speziellen Beispiel werden Xerf0, 1, 2 (d.h. Feld 0, 1 und 2 von XER) prozessorintern in SO (Zusammenfassungsüberlauf), OV (Überlauf und CA (Übertrag) umbenannt; und Xerf3 (Feld 3 von XER), das in diesem Beispiel nicht umbenannt wird, ist ein arbeitsspeicherinternes Byte-Zählerfeld. Mit dieser Konfiguration können die folgenden IOP-Sequenzen generiert und verwendet werden, um jeweils ein mtspr und ein mfspr für ein zusammengesetztes Konfigurationsstatusregister auszuführen.
    mtspr_xer mtxerf2
    mtxerf0
    mtxerf1
    stxerf3
  • Mit oben Genanntem enthält die mtspr für das XER-Register: ein Feld 2 „Verschieben zu“ von XER (mtxerf2), in dem Inhalte eines Mehrzweckregisters in das XER-Feld 2 kopiert werden; ein Feld 0 „Verschieben zu“ von XER (mtxerf0), in dem Inhalte eines Mehrzweckregisters in das XER-Feld 0 kopiert werden; und ein Feld 1 „Verschieben zu“ von XER (mtxerf1), in dem Inhalte eines Mehrzweckregisters in das XER-Feld 1 kopiert werden. Außerdem enthält sie ein Speichern in Feld 3 von XER (stxerf3), das durch eine Speicheroperation ausgeführt wird, da sich Feld 3 im Arbeitsspeicher befindet.
    mfspr_xer mfxerf2
    mfxerf0
    oder
    Idxerf3
    oder
    mfxerf1
    oder
  • Für das Verschieben aus XER wird jedes der Felder entweder prozessorintern oder arbeitsspeicherintern gelesen, und diese Felder werden z.B. durch eine ODER-Operation kombiniert. Zum Beispiel werden die Inhalte von Feld 2 und Feld 0 gelesen, und eine ODER-Operation wird ausgeführt, um ein Ergebnis 1 bereitzustellen; anschließend werden die Inhalte von Feld 3 gelesen (z.B. unter Verwendung eines Ladevorgangs wie zum Beispiel eine interne xerf3-Ladeoperation Idxerf3, da Feld 3 arbeitsspeicherintern ist) und durch ODER mit Ergebnis 1 verknüpft, um Ergebnis 2 zu erzeugen. Ferner werden die Inhalte von Feld 1 gelesen und durch ODER mit Ergebnis 2 verknüpft, um ein Endergebnis bereitzustellen, das ein Abbild von XER mit seinen Feldern ist, ohne Berücksichtigung, ob sie prozessorintern oder arbeitsspeicherintern sind.
  • Wie hierin beschrieben, generiert eine Anweisung zum Verschieben aus einem Konfigurationsstatusregister gemäß einem Aspekt der vorliegenden Erfindung eine Sequenz von Verschiebungen aus den prozessorinternen Abschnitten und einen Lesevorgang für den arbeitsspeicherintern gespeicherten Abschnitt. Die Inhalte der gelesenen arbeitsspeicherinternen und prozessorinternen Abschnitte werden zusammengestellt, z.B. unter Verwendung beispielsweise von ODER-Anweisungen. Ferner generiert ein Verschieben zu einem Konfigurationsstatusregister eine Sequenz von Verschiebungen zu den prozessorinternen Abschnitten und einen Speichervorgang für den arbeitsspeicherintern gespeicherten Abschnitt.
  • Wenn in einem Aspekt der Arbeitsspeicher Konfigurationsstatusregistern zugewiesen ist, werden die Versätze architekturgebunden (z.B. definiert und extern sichtbar) oder mikroarchitekturgebunden (definiert, aber nicht extern sichtbar) angegeben. Zum Beispiel kann ein Versatz direkt aus der Konfigurationsstatus-Registernummer (oder einer anderen Angabe) abgeleitet werden.
  • Als Beispiel für eine Zuordnung ist jedes Konfigurationsstatusregister dem entsprechenden Versatz (in Doppelwörtern) zugeordnet, d.h. Basis * Konfigurationsstatusregister-Nr., wobei sich ein Konfigurationsstatusregister 1 auf einem ersten Speicherort befindet; ein Konfigurationsstatusregister 2 sich auf dem ersten Speicherort plus einer definierten Anzahl von Bytes befindet (z.B. 8) usw.
  • Die Konfigurationsstatus-Registernummern sind jedoch nicht fortlaufend, wodurch Arbeitsspeicher und Cache-Effizienz verschwendet werden. Somit wird in einer anderen Ausführungsform gemäß einem Aspekt der vorliegenden Erfindung die Konfigurationsstatus-Registernummer nicht für ein direktes Ableiten eines Versatzes in eine Arbeitsspeicherseite verwendet, sondern Konfigurationsstatusregister werden Versätzen stattdessen auf Grundlage einer funktionalen Affinität zugewiesen. Somit werden Konfigurationsstatusregister, die zusammen in gemeinsamen Operationen verwendet werden, denselben oder nebeneinander liegenden Cache-Zeilen zugewiesen, um die Cache-Lokalität zu erweitern. Zum Beispiel verwendet eine EBB-Bearbeitung die folgenden Register, z.B. EBBHR, EBBRR, BESCR und TAR. TAR ist nicht fortlaufend mit den anderen. Sie alle müssen jedoch dem Arbeitsspeicher zugewiesen werden, sodass sie sich letztlich in derselben Cache-Zeile oder einer daneben liegenden Cache-Zeile befinden.
  • Ein Beispiel für eine lineare Zuordnung wird in 15A bis 15B dargestellt. Wie in einem Beispiel gezeigt, ist eine lineare Zuordnung 1500 gering. So werden in einem Beispiel 8 kB (2 Seiten) verwendet, obwohl weniger als 1K von Konfigurationsstatusregistern zugeordnet ist. Ferner sind gemeinsam verwendete Konfigurationsstatusregister wie EBBHR, EBBRR, BESCR und TAR nicht fortlaufend. Außerdem befinden sich Gruppen von Konfigurationsstatusregistern nicht auf einer Ausrichtungsbegrenzung, um sicherzustellen, dass sie sich in derselben Cache-Zeile befinden (z.B. 779 MMCR0; 780 SIAR; 781 SDAR; 782 MMCR1). Des Weiteren können sich einige Konfigurationsstatusregister auf dasselbe Register beziehen; z.B. verschiedene Zugriffsberechtigungen, untergeordnete Felder usw. Dies ist eine ineffiziente Cache-Nutzung. Es besteht ein Prefetch-Mangel (Vorabrufmangel) (zum Sicherstellen, dass jede Aktivität nur einem Cache-Fehltreffer unterliegt); und ein übermäßig großer Cache-Speicherbedarf (was zu einem erhöhten Arbeitssatz führt, wodurch die Trefferquote reduziert wird). Somit werden gemäß einem Aspekt der vorliegenden Erfindung Konfigurationsstatusregister nicht z.B. unter base+(idx*8) gespeichert Stattdessen werden sie zum Beispiel unter base+remap[idx] gespeichert.
  • Die Neuzuordnung stellt sicher, dass Gruppen nebeneinander liegen, um eine Cachezeile gemeinsam zu nutzen; sie eliminiert/reduziert geringe Datendichte, wodurch eine effizientere Cache-Nutzung bereitgestellt wird; und bearbeitet mehrere Namen. Zum Beispiel ist die Neuzuordnung statisch und wird auf Prozessorauslegung ausgeführt und in einer Datenstruktur, wie beispielsweise eine Tabelle, oder durch Berechnung einer definierten Gleichung bereitgestellt. Als weiteres Beispiel ist die Neuzuordnung dynamisch und wird durch Verwendung bestimmt. Wenn zum Beispiel ein Verfolgen von Registern zeigt, dass Register eines Satzes von Registern zusammen verwendet werden, werden diese Register gruppiert und nebeneinander liegend platziert. Es gibt weitere Möglichkeiten.
  • Weitere Details in Bezug auf eine Neuzuordnung werden unter Bezugnahme auf 16 beschrieben. Diese Verarbeitung wird durch einen Prozessor ausgeführt. In einem Beispiel wird eine Konfigurationsstatus-Registernummer von dem Prozessor erhalten, SCHRITT 1600. Auf Grundlage der Konfigurationsstatus-Registernummer wird eine Indexposition (auch unter Versatz bekannt) in der Arbeitsspeichereinheit (z.B. Seite) bestimmt, SCHRITT 1602. Dies kann durch ein Tabellen-Look-Up oder durch Berechnung bestimmt werden. Die Indexposition wird an den Anforderer zurückgegeben (z.B. eine interne Operation), SCHRITT 1604.
  • In einem weiteren Beispiel wird eine Schaltung verwendet, die eine Zuordnungslogik aufweist. Eine Konfigurationsstatus-Registernummer wird in die Zuordnungslogik eingegeben, und die Ausgabe ist ein Seitenindex.
  • Wie oben in einer Ausführungsform beschrieben, werden die Konfigurationsstatus-Registernummern wie in der Architektur definiert, neu so zugeordnet, dass diese Konfigurationsstatusregister, die zusammen verwendet werden, nahe beieinander platziert werden, um einen effizienteren Cache bereitzustellen. Dies reduziert die Anzahl von Cache-Zeilen, die für die Konfigurationsstatusregister verwendet werden, und konkurriert weniger mit anderen Programmen um die Nutzung des Cache. Ferner wird dadurch sichergestellt, dass nach einem Laden einer Cache-Zeile mit einem bestimmten Konfigurationsstatusregister, und wenn die Strafe für einen Cache-Fehltreffer für diesen Wert bezahlt worden ist, andere Konfigurationsstatusregister, die ebenfalls in Verbindung mit diesem Konfigurationsstatusregister verwendet werden können, im Cache Treffer erzielen und demzufolge keine weiteren Strafen für Cache-Fehltreffen erhalten.
  • In einer weiteren Ausführungsform schreibt eine Anweisung sync_o_csr einen Versionsstempel in eine Seite, wenn verschiedene Versatzzuweisungen möglich sind. Ein Versionsstempel kann zum Anpassen von Versätzen verwendet werden, wenn eine Partition zwischen verschiedenen Hosts migriert wird und/oder um Versätze entweder direkt in Hardware (z.B. wenn ein sync_i_csr eine Versatzversionsnummer für eine Partition lesen kann) oder in Software anzupassen.
  • Gemäß einem anderen Aspekt der vorliegenden Erfindung wird eine Funktion bereitgestellt, um eine globale Operation zum Speichern oder Laden von mehreren Konfigurationsstatusregistern auszuführen. Ein einzelner Lade- oder Speichervorgang für ein Konfigurationsstatusregister ist kostspielig, da jeder Lese- oder Schreibvorgang der Reihe nach ausgeführt werden muss und vor dem Starten der nächsten Anweisung abgeschlossen sein muss. Ferner muss eine korrekte Ausnahme/Fehler-Aufeinanderfolge sichergestellt werden, da eine mangelnde Umbenennung für prozessorinterne Konfigurationsstatusregister ein Zurücksetzen nicht zulässt. Gemäß einem oder mehreren Aspekten der vorliegenden Erfindung werden jedoch globale Ladevorgänge aus und Speichervorgänge in Arbeitsspeichereinheiten, wie beispielsweise Seiten, bereitgestellt.
  • Zum Beispiel wird eine Anweisung oder Operation zum Speichern eines Konfigurationsstatusregisters (z.B. ST_CSR) verwendet, um mehrere prozessorinterne Konfigurationsstatusregister arbeitsspeicherintern zu speichern (d.h. die Inhalte der Konfigurationsstatusregister, die dem aktuellen Kontext zugehörig sind (z.B. Anwendung, Thread usw.) in ausgewählten Arbeitsspeicher-Speicherorten zu speichern, die für die bestimmten Konfigurationsstatusregister definiert sind), und eine Anweisung oder Operation zum Laden von Konfigurationsstatusregistern (z.B. LD_CSR) wird verwendet, um arbeitsspeicherintern gespeicherte Konfigurationsstatusregister zurück in prozessorinterne zu laden (d.h. die Inhalte der Konfigurationsstatusregister, die dem aktuellen Kontext zugehörig sind, aus dem Arbeitsspeicher zurück in den Prozessor zu laden).
  • Prozessorinternen Konfigurationsstatusregistern (auch als nicht arbeitsspeichergestützt bezeichnet), die im Arbeitsspeicher gespeichert werden können, werden Speicherorte im Arbeitsspeicher zugewiesen, z.B. in Sicherungs-Arbeitsspeichereinheiten (z.B. Seiten). In einem Beispiel sind die Arbeitsspeicher-Speicherorte und/oder -einheiten gut definierte, vordefinierte Speicherorte/Einheiten, und daher brauchen die Anweisungen keinen Operanden, um die Speicherorte/Einheiten anzugeben. In einer anderen Ausführungsform können die bestimmten Speicherorte/Einheiten als ein Operand der Anweisung angegeben werden. In einer anderen Ausführungsform kann jede Anweisung einen Operanden enthalten, um bestimmte Register anzugeben, die gespeichert/geladen werden sollen. Weitere Variationen sind ebenfalls möglich.
  • Ferner sind Seiten nur ein Beispiel für Arbeitsspeichereinheiten. Weitere Einheiten sind möglich. Obwohl eine Seite typischerweise 4 kB groß ist, kann sie in anderen Ausführungsformen auch andere Größen aufweisen. Es gibt viele Möglichkeiten.
  • Neben den oben beschriebenen Anweisungen ST_CSR und LD_CSR ist eine andere Anweisung, die gemäß einem Aspekt der vorliegenden Erfindung verwendet werden kann, mtspr TCBR, next_u->csr_page. Diese Anweisung wird verwendet, um die Basisadresse des Arbeitsspeicherbereichs zu laden, die zum Speichern der arbeitsspeicherinternen Konfigurationsstatusregister für einen bestimmten Kontext (z.B. Prozessor, Thread usw.) in einem Register wie TCBR verwendet wird. Diese Adresse wird dann für die Verarbeitung benutzt, die wie hierin beschrieben eine Basisadresse verwendet. In dieser Anweisung bezieht sich next_u->csr_page auf die Benutzerdatenstruktur, die Daten für den Kontext speichert, der die Anweisung ausgibt. Diese Daten enthalten die Basisadresse der Arbeitsspeichereinheit (z.B. Seite), die die arbeitsspeicherinternen Konfigurationsstatusregister speichert. Obwohl mtspr angegeben ist, können andere Anweisungen zum Verschieben zu einem Konfigurationsstatusregister (mtcsr) verwendet werden. Auch TCBR ist nur ein Beispiel für ein Basisregister. Andere Basisregister können angegeben werden. Viele Variationen sind möglich.
  • Zusätzlich zu den oben genannten Anweisungen können zwei Synchronisationsanweisungen bereitgestellt werden, um den Cache mit Arbeitsspeicher oder prozessorinternen Registern zu synchronisieren. Zum Beispiel wird sync_o_csr zum Synchronisieren des Cache und eines oder mehrerer arbeitsspeicherinterner Konfigurationsstatusregister verwendet; und sync_i_csr wird verwendet, um den Cache und ein oder mehrere prozessorinterne Konfigurationsstatusregister zu synchronisieren.
  • Wie hierin beschrieben, werden in einem Aspekt mehrere Konfigurationsstatusregister geladen oder gespeichert. Es gibt keine intervenierenden Ausnahmen, d.h. Ausnahmen werden vermieden; die Operation wird entweder auf allen Konfigurationsstatusregistern der Operation oder auf keinem ausgeführt. Ferner sind keine Seitenfehler vorhanden (z.B. sind die Seiten fixiert; wenn außerdem eine Seite geladen worden ist, werden Verweise auf dieselbe Seite zugesichert). Falls gewünscht, kann Hardware einen Prozess neu startbar machen; z.B. eine Lade- oder Speichersequenz in Mikrocode oder einer Statusmaschine.
  • Unter Verwendung von Anweisungen zum Speichern/Laden von mehreren Konfigurationsstatusregistern werden bestimmte kostspielige Operationen, wie beispielsweise Sicherstellen von Reihenfolgepunkt und an eine Reihenfolge gebundenes Abschließen von Anweisungen, seltener verwendet, wie unter Bezugnahme auf 17A bis 17C beschrieben. Die Verarbeitung von 17A bis 17C wird durch einen Prozessor ausgeführt.
  • Unter Bezugnahme auf 17A wird ein Beispiel eines Verwendens von einzelnen Anweisungen (d.h. keiner globalen Operation) zum Speichern einer Mehrzahl von Konfigurationsstatusregistern im Arbeitsspeicher unter Bezugnahme auf 17A beschrieben. In einem Beispiel werden zum Verschieben eines Konfigurationsstatusregisters zum Arbeitsspeicher eine Anweisung zum Verschieben aus einem Konfigurationsstatusregister und eine Speicheranweisung verwendet. Zum Beispiel ist das Konfigurationsstatusregister in einem Beispiel ein SPR, und die Anweisung zum Verschieben aus einem Konfigurationsstatusregister ist eine mfspr. Andere Konfigurationsstatusregister und entsprechende Anweisungen können verwendet werden.
  • In diesem Beispiel wird auf Grundlage einer Ausführung einer mfspr-Anweisung, wie beispielsweise einer Anweisung „aus SPR verschieben“ (mfspr) ein Reihenfolgepunkt sichergestellt, SCHRITT 1700. Anschließend werden Inhalte des Konfigurationsstatusregisters (z.B. SPR), das durch die Anweisung angegeben wird, aus dem Konfigurationsstatusregister z.B. in ein Mehrzweckregister (GPR) kopiert, SCHRITT 1702. Die Anweisung wird der Reihenfolge nach abgeschlossen, SCHRITT 1794. Danach werden die Inhalte des Mehrzweckregisters über eine Speicheranweisung (STD) im Arbeitsspeicher gespeichert, SCHRITT 1706. Dieser gleiche Prozess wird für jedes Konfigurationsstatusregister wiederholt, das im Arbeitsspeicher gespeichert werden soll (z.B. SCHRITT 1708 bis 1722), wobei es sich um viele Konfigurationsstatusregister handeln kann. Somit ist eine Operation zum Sicherstellen eines Reihenfolgepunkts und zum an eine Reihenfolge gebundenen Abschließen der Anweisung für jedes Konfigurationsstatusregister erforderlich, das im Arbeitsspeicher gespeichert werden soll.
  • Gemäß einem Aspekt der vorliegenden Erfindung wird jedoch eine Anweisung zum Speichern eines Konfigurationsstatusregisters (ST_CSR) bereitgestellt, die mehrere Konfigurationsstatusregister im Arbeitsspeicher unter Verwendung einer einzelnen Operation zum Sicherstellen eines Reihenfolgepunkts und einer einzelnen Operation zu einem an eine Reihenfolge gebundenen Abschließen der Anweisung speichert, wie unter Bezugnahme auf 17B beschrieben.
  • Unter Bezugnahme auf 17B wird auf Grundlage einer Ausführung einer Anweisung zum Speichern eines Konfigurationsstatusregisters (ST_CSR) ein Reihenfolgepunkt erreicht, SCHRITT 1750. Anschließend werden Inhalte eines ausgewählten Konfigurationsstatusregisters in ein temporäres Register geladen, SCHRITT 1752. Ferner werden die Inhalte des temporären Registers anschließend im Arbeitsspeicher gespeichert (z.B. auf einer Arbeitsspeicher-Steuerseite), SCHRITT 1754. Die Lade-/Speicher-Operationen werden für ein oder mehrere weitere Konfigurationsstatusregister einmal oder mehrmals wiederholt, 1756 bis 1762. Anschließend an ein Kopieren der ausgewählten Konfigurationsstatusregister in den Arbeitsspeicher (wobei es sich um viele derartige Register handeln kann), wird die Anweisung reihenfolgegemäß abgeschlossen, SCHRITT 1770.
  • In einem Beispiel hat die Anweisung ST_CSR keinen Operanden zum Angeben der Register, die kopiert werden sollen; stattdessen werden alle prozessorinternen Konfigurationsstatusregister des aktuellen Kontexts (z.B. Prozess, Thread usw.) kopiert. In einem anderen Beispiel kann ein Operand enthalten sein und zum Angeben von einem oder mehreren Konfigurationsstatusregistern verwendet werden, die in den Arbeitsspeicher kopiert werden sollen. Weitere Variationen sind ebenfalls möglich.
  • In einem weiteren Beispiel können mehrere Konfigurationsstatusregister unter Verwendung einer globalen Ladeoperation (z.B. LD_CSR) aus dem Arbeitsspeicher nach prozessorintern kopiert werden.
  • Unter Bezugnahme auf 17C wird auf Grundlage einer Ausführung einer Anweisung zum Laden eines Konfigurationsstatusregisters (LD_CSR) ein Reihenfolgepunkt sichergestellt, SCHRITT 1780. Anschließend werden Inhalte eines ausgewählten Konfigurationsstatusregisters aus dem Arbeitsspeicher erhalten und in ein temporäres Register geladen, SCHRITT 1782. Danach werden die Inhalte des temporären Registers in einem entsprechenden prozessorinternen Konfigurationsstatusregister gespeichert, SCHRITT 1784. Die Lade-/Speicher-Operationen werden für ein oder mehrere weitere (und möglicherweise viele) Konfigurationsstatusregister einmal oder mehrmals wiederholt, 1786 bis 1792. Danach wird die Anweisung reihenfolgegemäß abgeschlossen, SCHRITT 1794.
  • In einem Beispiel hat die Anweisung LD_CSR keinen Operanden zum Angeben der Register, die kopiert werden sollen; stattdessen werden alle Konfigurationsstatusregister des aktuellen Kontexts (z.B. Prozess, Thread usw.) kopiert. In einem anderen Beispiel kann ein Operand enthalten sein und zum Angeben von einem oder mehreren Konfigurationsstatusregistern verwendet werden, die aus dem Arbeitsspeicher kopiert werden sollen.
  • In einem Aspekt führt eine Anweisung zum Ausführen einer globalen Operation dieselbe Operation (z.B. ein Speichern, ein Laden usw.) an einer Gruppe von Konfigurationsstatusregistern aus, in der die Gruppe durch ein gemeinsames Merkmal definiert ist. Das gemeinsame Merkmal kann zum Beispiel ein numerischer Bereich von Registern sein; ein Aufweisen einer selben Zugriffsberechtigung oder desselben Kontexts (z.B. Benutzer, Betriebssystem, Hypervisor); ein Aufweisen eines selben funktionalen Zwecks (z.B. Ausnahmenbehandlung, Zeitmessung usw.); oder ein Aufweisen einer selben Umsetzungseigenschaft (z.B. ein arbeitsspeicherintern gespeicherter Satz von Konfigurationsstatusregistern).
  • Die Verwendung von globalen Speicher- und/oder Lade-Operationen verbessert die Verarbeitung im Computer. Zum Beispiel kann die Verarbeitung einer Kontextumschaltung unter Verwendung einer globalen Speicheroperation zum effizienten Kopieren einer Mehrzahl von Konfigurationsstatusregistern in den Arbeitsspeicher schneller und effizienter ausgeführt werden. Weitere Vorteile können ebenfalls verwirklicht werden.
  • In einem weiteren Aspekt wird zum Vereinfachen der Verarbeitung eine architekturgebundene Positionierungssteuerung bereitgestellt, um anzugeben, wo im Arbeitsspeicher die Konfigurationsstatusregister gespeichert sind. Zum Beispiel definiert Hardware einen Satz von Steuerungen zum Identifizieren, wo im Arbeitsspeicher die Konfigurationsstatusregister gespeichert sind. Zum Beispiel wird mindestens ein Konfigurationsstatusregister zum Angeben der Basisadresse zum Speichern des Anwendungsstatus bereitgestellt. Zum Beispiel ist die Basisadresse eine physische Gast-Adresse, d.h. das Gast-Betriebssystem gibt eine Adresse in seinem eigenen Adressraum an. Wenn zum Beispiel eine Adresse angegeben ist, wird eine Adresse auf Gast-Ebene (z.B. reale, physische oder virtuelle Gast-Adresse) angegeben, da eine Erlaubnis für einen Gast, eine physische Host-Adresse anzugeben, Virtualisierung und Sicherheit beeinträchtigen können.
  • Weitere Details in Bezug auf ein Angeben einer architekturgebundenen Konfigurationssteuerung werden unter Bezugnahme auf 18A beschrieben. In einem Beispiel führt ein Prozessor diese Logik aus. Zunächst wird eine Adresse empfangen, die für einen Arbeitsspeicher-Sicherungsspeicherort (d.h. eine Basisadresse) in Bezug auf eine gegenwärtige Ausführungsumgebung (z.B. Anwendungsstatus, Thread-Status, Betriebssystem-Status, Hypervisor-Status, bestimmte Gast- oder Host-Betriebssystemebene usw.) indikativ ist, SCHRITT 1800. Zum Beispiel verwendet ein Hypervisor Hypervisor-Adressen (z.B. virtuelle oder absolute, physische, reale Host-Adressen), und ein Betriebssystem verwendet reale oder virtuelle Gast-Adressen in Bezug auf die virtuelle Maschine/logische Partition. Der Prozessor erhält die Adresse, die einen Speicherort der Arbeitsspeicherseite angibt, um Konfigurationsstatusregister (d.h. die Basisadresse) zu speichern.
  • Optional wird diese Basis-Adresse in eine physische Adresse übersetzt, SCHRITT 1802. (Eine Übersetzung kann bereits ausgeführt worden sein, oder die Adresse muss nicht übersetzt werden.) In einer Ausführungsform kann diese Übersetzung einen Seitenfehler verursachen. In einer weiteren Ausführungsform wird ein Zusammenwirken von Hardware und Software verwendet, um den Seitenfehler zu vermeiden, z.B. durch Fixieren der Seite vor einem Ausführen von einem oder mehreren Aspekten der vorliegenden Technik.
  • Außerdem wird in einem Beispiel die übersetzte Adresse erfasst, SCHRITT 1804. Das heißt, dass die übersetzte Adresse in einem Beispiel in einem Cache zwischengespeichert wird. In einer anderen Ausführungsform werden sowohl die nicht übersetzten als auch die übersetzten Adressen gespeichert.
  • In einer anderen Ausführungsform wird unter Bezugnahme auf 18B eine erfasste Adresse in Bezug auf die Arbeitsspeichersteuerung (z.B. Konfigurationsstatusregister) erhalten, auf die verwiesen wird, SCHRITT 1850. Außerdem kann die Adresse in eine physische Adresse übersetzt werden, SCHRITT 1852, und auf die arbeitsspeicherinterne Steuerung (z.B. Konfigurationsstatusregister) wird zugegriffen, SCHRITT 1854. Die Übersetzung kann einen Seitenfehler verursachen, aber unter Verwenden von zusammenwirkender Hardware und Software kann der Seitenfehler vermieden werden, indem z.B. die Seite vor einem Ausführen von einem oder mehreren Aspekten der vorliegenden Technik fixiert wird.
  • In einer Ausführungsform wird die Basisadresse in einem Konfigurationsstatusregister gespeichert, z.B. nicht im Arbeitsspeicher, um eine zirkuläre Abhängigkeit zu vermeiden. Weitere Beispiele sind möglich.
  • Ferner wird in einer Ausführungsform die Basisadresse in eine physische/reale Adresse übersetzt; und in einer anderen Ausführungsform wird die Basisadresse in die Überwachungsadresse der nächsten Ebene übersetzt (d.h. wenn ein Betriebssystem eine Seitenadresse einrichtet, wird sie in eine Überwachungsadresse übersetzt). Weitere Beispiele sind möglich.
  • Zum Beispiel werden sowohl die nicht übersetzten als auch die übersetzten (physischen/realen) Basisadressen gespeichert. Dadurch wird es überflüssig, eine Adressübersetzung (z.B. dynamische Adressübersetzung (DAT)) bei jedem Konfigurationsstatus-Registerzugriff auszuführen und Seitenfehler zu bearbeiten.
  • In einer Ausführungsform wird die übersetzte (reale/physische) Basisadresse in einem Prozessorregister verwaltet, und die nicht übersetzte Basisadresse wird in einem arbeitsspeicherinternen Konfigurationsstatusregister verwaltet. In einer derartigen Ausführungsform wird die nicht übersetzte Adresse in Reaktion auf eine Software-Anforderung bereitgestellt, um die Basisadresse des Konfigurationsstatusregisters wieder auszulesen. Die übersetzte Adresse kann verwendet werden, um auf eine derartige Adresse von ihrem arbeitsspeicherinternen Speicherort aus zuzugreifen. Es gibt weitere Möglichkeiten.
  • Wie hierin beschrieben, wird eine Steuerung wie beispielsweise ein Konfigurationsstatusregister bereitgestellt, das eine Basisadresse enthält, die angibt, wo ein oder mehrere arbeitsspeicherinterne Konfigurationsstatusregister im Arbeitsspeicher gespeichert sind. Diese arbeitsspeicherinternen Konfigurationsstatusregister sind Register, die architekturgebunden als prozessorinterne Register definiert sind, aber gemäß einem oder mehreren Aspekten der vorliegenden Erfindung in arbeitsspeicherinterne Konfigurationsstatusregister konvertiert worden sind. Diese arbeitsspeicherinternen Konfigurationsstatusregister unterscheiden sich von Konfigurationswerten, die im Arbeitsspeicher gespeichert sind, da diese Werte allermindestens keine Register sind und sie architekturgebunden als arbeitsspeicherintern definiert sind. Sie sind nicht architekturgebunden definierte Prozessor-Register.
  • In einem weiteren Aspekt werden Effizienzsteigerungen während einer Kontextumschaltung zwischen der Programmumgebung und beispielsweise dem Betriebssystem oder einer anderen Überwachungsumgebung und/oder zwischen verschiedenen Anwendungen oder Threads usw. erzielt. Wenn eine Kontextumschaltung ausgeführt werden soll, werden Daten für einen vorherigen Kontext gespeichert. In einem Beispiel werden zum Speichern der Kontextdaten Inhalte der Konfigurationsstatusregister aus den prozessorinternen Registern ausgelesen und im Arbeitsspeicher gespeichert. Anschließend werden die Daten für den nächsten Kontext geladen, wozu auch ein Laden der Konfigurationsstatusregister gehört, um die Ausführung wieder aufzunehmen. Dieser Prozess ist kostspielig.
  • Selbst gemäß einem Aspekt der vorliegenden Erfindung mit Ausführung von arbeitsspeicherinternem Konfigurationsstatusregister, in der eine Spekulation auf Grundlage einer Speicherwarteschlange und eine nicht an eine Reihenfolge gebundene Ausführung diesen Prozess beschleunigen, sind mit einem Speichern und Wiederherstellen des Kontexts immer noch bedeutende Kosten verbunden.
  • Daher wird die Kontextumschaltung gemäß einem Aspekt der vorliegenden Erfindung durch Seitenbearbeitung des Konfigurationsstatusregisters ausgeführt. In einem Beispiel ist der Speicherort im arbeitsspeicherinternen Speicher für das Konfigurationsstatusregister konfigurierbar. Beim Umschalten von Kontexten anstatt eines Kopierens von alten Konfigurationsstatus-Registerdaten aus einer Arbeitsspeichereinheit des Konfigurationsstatusregisters (z.B. Seite) und eines Kopierens von neuen Daten in die Konfigurationsstatus-Registerseite wird eine andere Arbeitsspeichereinheit des Konfigurationsstatusregisters (z.B. Seite) ausgewählt, wodurch die Werte der Konfigurationsstatusregister geändert werden, die vom Prozessor gesehen werden.
  • Gemäß einem Aspekt der vorliegenden Erfindung wird eine Kontextumschaltung durch ein Modifizieren des Basiszeigers oder der Basisadresse (hierin als Basis bezeichnet) ausgeführt, die z.B. in einem Basis-Konfigurationsstatusregister (hierin als Basisregister bezeichnet) enthalten ist, das einen Speicherort im Arbeitsspeicher für ein oder mehrere Konfigurationsstatusregister (hierin als CSR-Sicherungsarbeitsspeicher bezeichnet) angibt, um die Notwendigkeit eines Hochladens und erneuten Ladens der Konfigurationsstatusregister zu vermeiden.
  • Es können mehrere Typen von Kontextumschaltungen vorhanden sein, die aus diesem Aspekt Nutzen ziehen können, einschließlich einer Betriebssystem-Kontextumschaltung, in der das Betriebssystem eine Umschaltung zwischen verschiedenen Anwendungen ausführt; einer Hypervisor-Kontextumschaltung, in der ein Hypervisor oder eine virtuelle Maschine Umschaltungen zwischen verschiedenen Partitionen oder virtuellen Maschinen überwacht; und einer Hardware-Thread-Kontextumschaltung zwischen verschiedenen Hardware-Threads. Jede Kontextumschaltung wirkt sich auf verschiedene Register aus. Wenn zum Beispiel eine Anwendung als Teil einer von einem Betriebssystem verwendeten Kontextumschaltung umgeschaltet wird, werden einige Konfigurationsstatusregister, die der Anwendung entsprechen, auf einen anderen Speicherort geändert, nicht aber andere Konfigurationsstatusregister (z.B. nicht die Konfigurationsstatusregister des Betriebssystems). Ferner können bei einer Hypervisor-Kontextumschaltung mehr Register vorhanden sein, die umgeschaltet werden müssen. Ähnliches gilt für eine Hardware-Thread-Kontextumschaltung. Weitere Details in Bezug auf eine Hardware-Thread-Kontextumschaltung werden im Folgenden beschrieben.
  • In einer Ausführungsform verwendet der Prozessor für eine Hardware-Thread-Kontextumschaltung einen Thread-Scheduler, um eine Auswahl aus einer Mehrzahl von Threads zu treffen, die in den Prozessor geladen sind. Gemäß einem Aspekt der vorliegenden Erfindung kann die Hardware jedoch aus einer Mehrzahl von Threads auswählen, die durch die Hardware geplant werden können, wobei die Mehrzahl die Anzahl von Hardware-Thread-Kontexten überschreitet, die in den Prozessor geladen sind. Gemäß einem Aspekt der vorliegenden Erfindung bedeutet dies, dass die Fähigkeit zur Kontextumschaltung, wie hierin beschrieben, es der Hardware ermöglicht, mehr Threads zu verwenden als in den Prozessor geladen sind. Der Thread wird ausgewählt, und die Hardware plant den Thread durch ein Auswählen der arbeitsspeicherinternen Konfigurationsinformationen dieses Threads. In einer Ausführungsform werden einige der ausführbaren Register in einer chipinternen Registerdatei oder einem schnellen Speicher zweiter Ebene gespeichert. In einer anderen Ausführungsform werden allgemeine Register auch auf einer arbeitsspeicherinternen Konfigurationsseite gespeichert und von dort geladen, wenn ein Thread geplant wird. Dies wird entweder nach Bedarf (z.B. jedes Register beim erstmaligen Zugriff) oder global ausgeführt (z.B. alle Register zu einem geplanten Zeitpunkt).
  • In einer Ausführungsform passt die Hardware in Reaktion auf ein Hardware-Kriterium den Basiszeiger selbst an, statt einen Software-Agent (z.B. Betriebssystem oder Hypervisor) entscheiden zu lassen, einen Zeiger auf eine andere Konfigurationsstatus-Registerbasis zu ändern. Einer aus der Mehrzahl von Threads wird in der Hardware ausgewählt, und auf Grundlage der Mehrzahl von Threads wird einer der Zeiger auf eine Systemspeicherseite ausgewählt, die Konfigurationsstatusregister aufweist.
  • Weitere Details zum Ausführen einer Kontextumschaltung werden unter Bezugnahme auf 19A und 19B beschrieben. 19A stellt einen Prozess zum Ausführen einer Kontextumschaltung dar, in dem die Daten kopiert werden; und 19B stellt einen anderen Prozess zum Ausführen einer Kontextumschaltung dar, in dem Zeiger gemäß einem Aspekt der vorliegenden Erfindung modifiziert werden.
  • Wenn unter erster Bezugnahme auf 19A eine Kontextumschaltung ausgeführt werden soll, wird ein Herauskopieren des Kontexts initialisiert, um die alten Kontextdaten herauszukopieren, SCHRITT 1900. Dazu gehört ein Auffinden der Kontextstruktur (z.B. eine Supervisor-Struktur) für einen vorherigen Kontext und ein Identifizieren eines ersten Konfigurationsstatusregisters für den Kontext, der ausgelesen werden soll.
  • Zum Beispiel kann der Kontext der einer virtuellen Maschine, einer logischen Partition, eines Prozesses, eines Threads usw. sein. Der Prozess zum Herauskopieren wird fortgesetzt.
  • Das Konfigurationsstatusregister, das gespeichert werden soll, wird ausgewählt, SCHRITT 1902. In diesem Durchlauf handelt es sich um das oben identifizierte Konfigurationsstatusregister. Das ausgewählte Konfigurationsstatusregister wird gelesen, SCHRITT 1904, und die Inhalte des Konfigurationsstatusregisters werden in einer arbeitsspeicherinternen Struktur gespeichert, die z.B. durch die Supervisor-Software (oder - Hardware) zum Speichern von Kontextumschaltungsdaten verwaltet wird, SCHRITT 1906. Als Nächstes wird eine Bestimmung vorgenommen, ob noch mehr Konfigurationsstatusregister für eine Kontextumschaltung vorhanden sind, ABFRAGE 1908. Ist dies der Fall, fährt die Verarbeitung mit SCHRITT 1902 fort.
  • Anschließend an das Herauskopieren der Daten für den vorherigen Kontext wird ein Hineinkopieren für den neuen Kontext ausgeführt. Somit wird ein Hineinkopieren des Kontexts initialisiert, in dem sich die Kontextstruktur für den nächsten Kontext befindet und das erste Konfigurationsstatusregister für das Hineinschreiben des Kontexts identifiziert wird, SCHRITT 1910. Das Konfigurationsstatusregister, das arbeitsspeicherintern geladen werden soll, wird ausgewählt, SCHRITT 1912, und der Inhalt für das ausgewählte Konfigurationsstatusregister wird aus einer Kontextstruktur ausgelesen (z.B. einer Supervisor-Struktur), SCHRITT 1914. Die gelesenen Kontextdaten werden in das arbeitsspeicherinterne Konfigurationsstatusregister geschrieben, SCHRITT 1916. Eine Bestimmung wird vorgenommen, ob noch mehr Konfigurationsstatusregister für eine Kontextumschaltung vorhanden sind, ABFRAGE 1920. Ist dies der Fall, fährt die Verarbeitung mit SCHRITT 1912 fort. Andernfalls ist die Verarbeitung abgeschlossen.
  • Unter Bezugnahme auf 19B wird ein weiteres Beispiel für einen Kontextumschaltungsprozess gemäß einem Aspekt der vorliegenden Erfindung beschrieben. In diesem Beispiel werden anstelle eines Kopierens der Daten Zeiger auf die Daten bearbeitet. Zuerst wird ein Herauskopieren eines Kontexts initialisiert, in dem sich die Kontextstruktur (z.B. Supervisor- oder Hardware-Struktur) für einen vorherigen Kontext befindet, SCHRITT 1950. Wiederum kann der Kontext der einer virtuellen Maschine, einer logischen Partition, eines Prozesses, eines Threads usw. sein. Als Nächstes werden prozessorinterne Konfigurationsstatusregister bearbeitet, SCHRITT 1952, sodass die Inhalte der Register im Arbeitsspeicher gespeichert werden. In einer Ausführungsform wird dies zum Beispiel unter Verwendung einer Kopierschleife erreicht, wie unter Bezugnahme auf 19A beschrieben. In einer anderen Ausführungsform wird dies zum Beispiel unter Verwendung einer globalen Kopieroperation erreicht (z.B. ST_CSR).
  • Die Adresse der arbeitsspeicherinternen Konfigurationsstatus-Registerdateneinheit (d.h. die Adresse der Arbeitsspeicherseite, die zum Speichern der Konfigurationsstatusregister für diesen Kontext verwendet wird (die Basisadresse)) kann gelesen werden, SCHRITT 1945, und in einer Kontextstruktur gespeichert werden, SCHRITT 1956. In einem Beispiel ändert sich diese Adresse nicht, sodass keine Notwendigkeit besteht, sie wiederholt zu lesen und in der Kontextstruktur zu speichern. Stattdessen wird sie beim ersten Mal, oder wenn diese Seite zu einem neuen Speicherort verschoben wird, gespeichert. Der Wert wird in einer arbeitsspeicherinternen Struktur, die z.B. durch die Supervisor-Software oder -Hardware verwaltet wird, für ein Speichern von Kontextumschaltungsdaten gespeichert.
  • Anschließend an den Prozess zum Ausführen eines Herauskopierens wird ein Prozess zum Hineinkopieren verwendet, um auf die neuen Kontextdaten zu zeigen. Somit wird ein Prozess zum Hineinkopieren initialisiert, in dem sich die Kontextstruktur (z.B. Supervisor- oder Hardware-Struktur) für den nächsten Kontext befindet und ein erstes Konfigurationsstatusregister für das Hineinschreiben des Kontexts identifiziert wird, SCHRITT 1960. Die Adresse für die Konfigurationsstatus-Registerdateneinheit für den nächsten Kontext wird geladen, SCHRITT 1962. Das bedeutet zum Beispiel, dass die Adresse der Arbeitsspeicherseite (die Basisadresse) zum Speichern der Konfigurationsstatusregister für den neuen Kontext erhalten wird. Außerdem wird die Seitenadresse des arbeitsspeicherinternen Konfigurationsstatusregisters (Basisadresse) geschrieben, SCHRITT 1964. Ferner werden die prozessorinternen Konfigurationsstatusregister bearbeitet, SCHRITT 1966. Zum Beispiel werden die prozessorinternen Konfigurationsstatusregister für diesen Kontext aus dem Arbeitsspeicher geladen, z.B. unter Verwendung einer Kopierschleife oder eines globalen Ladevorgangs (z.B. LD_CSR).
  • Wie oben beschrieben, kann bei einer Kontextumschaltung eine Zeigerveränderung verwendet werden. Dies gilt auch für eine Migration von virtuellen Maschinen.
  • In einer Ausführungsform können arbeitsspeicherinterne Register verwendet werden, um eine Migration einer virtuellen Maschine (oder logischen Partition) und/oder Live-Migration einer Maschine zu beschleunigen. In einem Beispiel werden die Seiten gemäß herkömmlichen Techniken migriert, arbeitsspeicherinterne Konfigurationsstatusregister werden jedoch nicht verschoben. Zum Beispiel wird eine Anweisung oder Operation ST_CSR verwendet, um prozessorinterne Konfigurationsstatusregister zu erfassen, es werden aber keine Konfigurationsstatusregister verschoben. Stattdessen wird die arbeitsspeicherinterne Konfigurationsarbeitsspeicherseite verschoben.
  • In einer weiteren Ausführungsform wird bzw. werden für eine Live-Maschinenmigration die arbeitsspeicherinternen Konfigurationsstatusregister verschoben, wenn die Maschine in einen Ruhezustand versetzt worden ist. Dies kann mehrere Seiten umfassen, wenn mehrere Kontexte vorhanden sind (z.B. mehrere Threads/Prozesse usw.).
  • Wenn in einer Ausführungsform die Host- und Zielmigrationsformate nicht kompatibel sind (z.B. wenn Konfigurationsstatusregister verschiedenen Versätzen in einer arbeitsspeicherinternen Konfigurationsregisterseite durch eine unterschiedliche Umsetzung einer Architektur zugeordnet werden), wird durch den Migrations-Agent eine Anpassung vorgenommen. In einer derartigen Ausführungsform wird eine architekturgebundene oder mikro-architekturgebundene Versionsnummer von Konfigurationsstatus-Registerformaten bereitgestellt, und das empfangende System ist für die Anpassung des Layouts zuständig. In einer anderen Ausführungsform vereinbaren ein empfangendes und ein sendendes System ein Transferformat. In einer anderen Ausführungsform wird ein Transferformat definiert, z.B. eine lineare Liste von Konfigurationsstatus-Registerwerten oder ein <Schlüssel, Wert>-Paar, in dem der Schlüssel eine Konfigurationsstatus-Registernummer ist, und der Wert der Wert des Konfigurationsstatusregisters ist.
  • In noch weiteren Ausführungsformen können Prozessoren mehrere Versionen von Layouts unterstützen, wobei die Neuzuordnungslogik auf einer extern konfigurierten Layout-Zuordnung des Konfigurationsstatusregisters beruht (z.B. wird die Software durch Laden einer Layout-Kennung in ein Konfigurationsstatusregister angegeben).
  • In mindestens einigen Prozessorausführungsformen kann die Auslegung einige Werte in benutzerdefinierten prozessorinternen Speicherorten für Register zwischenspeichern, die als arbeitsspeicherinterne Register identifiziert wurden. Wenn daher eine Kontextumschaltung ausgeführt wird, müssen zwischengespeicherte Kopien synchronisiert werden, d.h. alte zwischengespeicherte Werte ungültig gemacht werden. In einem Beispiel wird eine Kontext-Synchronisierungsanweisung (csync) bereitgestellt, die dem Prozessor angibt, alte zwischengespeicherte Werte ungültig zu machen. Dies wird zum Beispiel bei jeder Ausführung einer Kontextumschaltung ausgeführt. In einem Beispiel werden die zwischengespeicherten Werte ungültig gemacht, die allen Konfigurationsstatusregistern für einen Kontext entsprechen. In anderen Beispielen werden zwischengespeicherte Wert für bestimmte Register ungültig gemacht.
  • Wie hierin beschrieben, kann in einer Kontextumschaltung eine neue Seite angegeben werden, statt die Konfigurationsstatusregister zu kopieren. Auf diese Weise ist das Kontextabbild des Konfigurationsstatusregisters bereits gespeichert und reduziert die Kontextumschaltung zumindest für Konfigurationsstatusregister, die arbeitsspeicherintern verwaltet werden.
  • Ferner ist in einer Ausführungsform eine Kontextumschaltung mit Seitenersetzung aktiviert. Ein Arbeitsspeicherabbild (zumindest für diejenigen Register, die im Arbeitsspeicher bleiben sollen) wird vor einem Laden einer neuen Arbeitsspeicher-Kontextseite synchronisiert.
  • Gemäß einer Ausführungsform werden Anweisungen zum Synchronisieren von Konfigurationsstatusregistern bereitgestellt. Dies kann insbesondere zwischengespeicherte Werte löschen und ein Zwischenspeichern unterdrücken, nachdem die Umschaltung ausgeführt worden ist, und kann umgekehrt angeben, dass wieder Werte im Cache gespeichert werden können. Zu beispielhaften Anweisungen zählen:
    Ausgehende CSRs synchronisieren: sync_o_CSR
    Neue Sicherungsseite laden mtspr TCBR, next_u->spr_page
    Eingehende SPRs synchronisieren sync_i_CSR
  • In einer weiteren Ausführungsform führt eine Anweisung zum Verschieben zu einem Konfigurationsstatusregister (z.B. Anweisung mtspr) zu einem Basisregister (z.B. TCBR) automatisch eine Synchronisierung von zwischengespeicherten ausgehenden und eingehenden Werten aus.
  • In einem weiteren Aspekt wird auf Grundlage eines Ladens einer Gast-Basisadresse, die einen Speicherort im Arbeitsspeicher angibt, der zum Speichern von einem oder mehreren arbeitsspeicherinternen Konfigurationsstatusregistern verwendet wird und in einem Basis-Konfigurationsstatusregister gespeichert sein kann, eine Adressübersetzung aus der Gast-Basisadresse in eine entsprechende Host-Basisadresse ausgeführt, um die Möglichkeit eines Seitenfehlers zu vermeiden. Diese Übersetzung wird z.B. sofort, d.h. auf Grundlage eines Empfangens der Basisadresse und vor einem Verwenden der Basisadresse z.B. während einer Speicherreferenz ausgeführt. Wenn zum Beispiel eine virtuelle Adresse in ein Basisregister geladen wird (z.B. ein Laden in die Basis wie zum Beispiel ein Laden einer Gast-Basisadresse in ein Konfigurationsstatus-Basisregister (z.B. TCBR)), das als Basisadresse verwendet werden soll, führt das System automatisch eine Adressübersetzung in eine physische Arbeitsspeicheradresse aus, und die übersetzte physische Adresse wird in Verbindung mit der virtuellen Adresse erfasst. Gemäß einer architekturgebundenen Ausführungsform wird ein Laden in das Basisregister als eine Anweisung identifiziert, die eine auszuführende Übersetzung verursacht oder verursachen kann.
  • Wenn eine Adresse nicht übersetzt werden kann, wird ein Übersetzungsfehler angenommen. Die Seite muss für ein Lesen und ein Schreiben gemäß angegebenen Berechtigungen in beispielsweise einem Seitentabelleneintrag (im Folgenden beschrieben) zugänglich sein, oder es wird ein Seitenfehler gemeldet.
  • Weitere Details in Bezug auf ein automatisches Ausführen einer Adressübersetzung auf Grundlage der Ausführung einer Operation, die verursacht oder verursachen kann, dass eine Übersetzung einer Basisadresse ausgeführt wird, werden unter Bezugnahme auf 20 beschrieben. Diese Verarbeitung wird durch einen Prozessor ausgeführt, und in einem Beispiel wird diese Verarbeitung auf Grundlage einer Ausführung einer Anweisung zum Verschieben zu einem Konfigurationsstatusregister (z.B. eine Anweisung mtspr) zu einem Arbeitsspeicher-Basisregister eines Konfigurationsstatusregisters ausgeführt. Sie kann auch auf Grundlage einer Ausführung von anderen Anweisungen ausgeführt werden.
  • Auf Grundlage eines Ausführens der Anweisung wird eine Adresse, die für einen Arbeitsspeicher-Sicherungsspeicherort indikativ ist (z.B. eine Basisadresse), in Bezug auf die vorliegende Ausführungsumgebung empfangen, SCHRITT 2000. Gemäß einer Definition der mtspr ist die mtspr so definiert, dass sie möglicherweise einen Seitenfehler einer dynamischen Adressübersetzung (DAT) verursacht. Daher wird gemäß einem Aspekt der vorliegenden Erfindung eine Adressübersetzung automatisch als Teil der mtspr ausgeführt, sogar noch bevor bestimmt wird, dass eine Übersetzung erforderlich ist.
  • Die empfangene Basisadresse wird unter Verwendung von z.B. DAT-Tabellen in eine physische Basisadresse übersetzt, wofür ein Beispiel im Folgenden ausführlicher beschrieben wird, SCHRITT 2002. Eine Bestimmung wird vorgenommen, ob ein DAT-Übersetzungsfehler aufgetreten ist, ABFRAGE 2004. Wenn ein DAT-Übersetzungsfehler aufgetreten ist, wird ein DAT-Seitenfehler angegeben, SCHRITT 2006. Eine Software-Routine eines Seitenfehler-Handlers wird eingegeben, SCHRITT 2008, und der Seitenfehler-Handler wird ausgeführt, SCHRITT 2010. In einem Beispiel wird die Anweisung gemäß einem Seitenfehler-Handler neu gestartet, wenn es sich um einen zulässigen Fehler gehandelt hat (z.B. ausgelagert). Andernfalls empfängt der Kontext bzw. die Ausführungsumgebung (z.B. Betriebssystem, Hypervisor, virtuelle Maschine, Thread, Prozess usw.) eine Fehleranzeige, die möglicherweise die Beendigung des Kontexts verursacht.
  • Wenn unter erneuter Bezugnahme auf die ABFRAGE 2004 kein DAT-Übersetzungsfehler vorliegt, wird die nicht übersetzte Basisadresse erfasst (z.B. in einem Register gespeichert), SCHRITT 2012. Ferner wird die übersetzte Basisadresse erfasst (z.B. zwischengespeichert), SCHRITT 2014. Optional wird die betreffende Seite fixiert, SCHRITT 2016.
  • Weitere Details in Bezug auf ein Beispiel einer dynamischen Adressübersetzung werden unter Bezugnahme auf 21A bis 21B beschrieben. Diese Verarbeitung wird durch einen Prozessor ausgeführt.
  • Eine dynamische Adressübersetzung ist der Prozess eines Übersetzens einer virtuellen Adresse in die entsprechende reale (oder absolute) Adresse. Die dynamische Adressübersetzung kann für Anweisungs- und Datenadressen angegeben werden, die durch die CPU generiert werden. Die virtuelle Adresse kann eine primäre virtuelle Adresse, eine sekundäre virtuelle Adresse, eine durch ein AR (Access Register (Zugriffsregister)) angegebene virtuelle Adresse oder eine virtuelle Home-Adresse sein. Die Adressen werden jeweils mithilfe des primären, sekundären, AR-spezifizierten oder Home-Adressraum-Steuerelements (ASCE) übersetzt. Nach einer Auswahl des entsprechenden Adressraum-Steuerelements ist der Übersetzungsprozess für alle vier Typen von virtuellen Adressen derselbe. Ein Adressraum-Steuerelement kann eine Segmenttabellenzuweisung oder eine Bereichstabellenzuweisung sein. Eine Segmenttabellenzuweisung oder Bereichstabellenzuweisung veranlasst, dass die Übersetzung mithilfe von Tabellen ausgeführt wird, die durch das Betriebssystem in realem oder absolutem Speicher erstellt werden.
  • Wenn in dem Übersetzungsprozess eine Segmenttabellenzuweisung oder eine Bereichstabellenzuweisung verwendet wird, werden drei Typen von Informationseinheiten erkannt - Bereiche, Segmente und Seiten. Die virtuelle Adresse wird demgemäß in vier Felder unterteilt. In einem Beispiel werden für eine 64-Bit-Adresse Bit 0 bis 32 als Bereichsindex (RX) bezeichnet, Bit 33 bis 43 werden als Segmentindex (SX) bezeichnet, Bit 44 bis 51 werden als Seitenindex (PX) bezeichnet, und Bit 52 bis 63 werden als Byte-Index (BX) bezeichnet. Der RX-Teil einer virtuellen Adresse selbst ist in drei Felder unterteilt. In einer Ausführungsform werden Bit 0 bis 10 als der erste Bereichsindex (RFX) bezeichnet, Bit 11 bis 21 werden als der zweite Bereichsindex (RSX) bezeichnet, und Bit 22 bis 32 werden als der dritte Bereichsindex (RTX) bezeichnet.
  • Ein Beispiel für die Übersetzung einer virtuellen Adresse in eine reale Adresse wird unter Bezugnahme auf 21A beschrieben. Dieser Prozess wird hierin als ein DAT-Walk (oder ein Seiten-Walk) bezeichnet, in dem die Adressübersetzungstabellen durchgegangen werden, um eine Adresse (z.B. eine virtuelle Adresse) in eine andere Adresse (z.B. eine reale Adresse) zu übersetzen. In diesem Beispiel enthält ein Adressraum-Steuerelement (ASCE) 2100 einen Tabellenursprung 2102 sowie eine Bezeichnungstyp- (DT) Steuerung 2104, die eine Angabe einer Übersetzungs-Startebene ist (d.h. eine Angabe, auf welcher Ebene in der Hierarchie die Adressübersetzung begonnen werden soll). Unter Verwendung des Tabellenursprungs 2102 und des DT 2104 wird der Ursprung einer bestimmten Tabelle ausfindig gemacht. Anschließend werden auf Grundlage der Tabelle Bits der virtuellen Adresse zum Indizieren in die bestimmte Tabelle verwendet, um den Ursprung der Tabelle der nächsten Ebene zu erhalten. Wenn zum Beispiel der Bereich der ersten Tabelle (RFT) 2106 ausgewählt wird, werden Bit 0 bis 10 (RFX) 2108 der virtuellen Adresse zum Indizieren in den Bereich der ersten Tabelle verwendet, um einen Ursprung eines Bereichs einer zweiten Tabelle 2110 zu erhalten. Anschließend werden Bit 11 bis 21 (RSX) 2112 der virtuellen Adresse zum Indizieren in den Bereich der zweiten Tabelle (RST) 2110 verwendet, um einen Ursprung eines Bereichs einer dritten Tabelle 2114 zu erhalten. Desgleichen werden Bit 22 bis 32 (RTX) 2116 der virtuellen Adresse zum Indizieren in den Bereich der dritten Tabelle (RTT) 2114 verwendet, um einen Ursprung einer Segmenttabelle 2118 zu erhalten. Anschließend werden Bit 33 bis 43 (SX) 2120 der virtuellen Adresse zum Indizieren in die Segmenttabelle 2118 verwendet, um einen Ursprung einer Seitentabelle 2122 zu erhalten, und Bit 44 bis 51 (PX) 2124 der virtuellen Adresse werden zum Indizieren in die Seitentabelle 2122 verwendet, um einen Seitentabelleneintrag (PTE) 2125 mit einer realen Seitenrahmenadresse (PFRA) 2126 zu erhalten. Die reale Seitenrahmenadresse wird anschließend mit einem Versatz 2128 (Bit 52 bis 63) kombiniert (z.B. verkettet), um eine reale Adresse zu erhalten. In einer Ausführungsform kann eine Präfix-Verwendung angewendet werden, um die entsprechende absolute Adresse zu erhalten.
  • Ein weiteres Beispiel für eine Adressübersetzung wird unter Bezugnahme auf 21B beschrieben. In diesem Beispiel wird ein DAT-Walk ausgeführt, um eine anfängliche virtuelle Gast-Adresse in eine finale reale Host-Adresse zu übersetzen. In diesem Beispiel ist das Adressraum-Steuerelement (ASCE) 2100 ein Gast-Adressraum-Steuerelement, und DT 2104 des ASCE 2100 gibt an, dass eine Gast-Übersetzung, die durch eine Gast-Adressübersetzungsstruktur 2160 bestimmt wird, in dem Bereich der ersten Tabelle 2106 beginnen soll, auf die durch den Tabellenursprung 2102 gezeigt wird. Somit werden die entsprechenden Bits der anfänglichen virtuellen Gast-Adresse (z.B. RFX 2108) zum Indizieren in den Bereich der ersten Tabelle 2106 verwendet, um einen Zeiger eines Eintrags des Bereichs der ersten Tabelle zu erhalten. Die Adresse des Bereichs der ersten Tabelle (RFTE) ist eine reale oder absolute Gast-Adresse. Diese reale oder absolute Gast-Adresse entspricht mit angewendetem Ursprung und Limit des Hauptspeichers gegebenenfalls einer virtuellen Host-Adresse. Die dazwischenliegende virtuelle Host-Adresse wird anschließend unter Verwendung von Host-Adressübersetzungsstrukturen 2170 übersetzt. Insbesondere ist ein Adressraum-Steuerelement (ASCE) 2150 ein Host-Adressraum-Steuerelement, das zum Angeben einer Startebene für eine Übersetzung in Host-Adressübersetzungsstrukturen 2172 verwendet wird. Auf Grundlage der Startebene (z.B. Bereich der ersten Tabelle), die durch DT 2154 angegeben wird, werden die bestimmten Bits der virtuellen Host-Adresse zum Indizieren in die angegebene Tabelle mit Tabellenursprung 2152 verwendet, die für eine Übersetzung unter Verwendung einer Host-Adressübersetzung 2172 verwendet werden soll, wie unter Bezugnahme auf 21A beschrieben. Die Übersetzung der virtuellen Host-Adresse, die dem Gast-RFTE entspricht, wird fortgesetzt, bis eine reale Host-Seitenrahmenadresse (PFRA) 2174a erhalten wird.
  • Die Daten auf der dazwischenliegenden realen Host-Seitenrahmenadresse sind ein Zeiger auf die nächste Ebene von Gast-Adressübersetzungsstrukturen (z.B. Gast-Bereich der zweiten Tabelle 2110 in diesem speziellen Beispiel), und die Übersetzung wird wie oben beschrieben fortgesetzt. Insbesondere werden die Host-Adressübersetzungsstrukturen 2176, 2178, 2180 und 2182 zum Übersetzen der dazwischenliegenden virtuellen Host-Adressen verwendet, die jeweils dem Gast-Bereich der zweiten Tabelle 2110, dem Bereich der dritten Tabelle 2114, der Segmenttabelle 2118 und Seitentabelle 2122 zugehörig sind, was jeweils zu Host-PFRAs 2174b, 2174c, 2174d und 2174e führt. Die reale Host-Seitenrahmenadresse 2174e enthält die Adresse eines Gast-Seitentabelleneintrags 2125. Der Gast-Seitentabelleneintrag 2125 enthält eine reale Gast-Seitenrahmenadresse 2126, die mit dem Versatz von der anfänglichen virtuellen Gast-Adresse verkettet ist, um die entsprechende absolute Gast-Adresse zu erhalten. In einigen Fällen werden Ursprung und Limit des Hauptspeichers anschließend angewendet, um die entsprechende virtuelle Host-Adresse zu berechnen, die dann, wie oben beschrieben, unter Verwendung von Adressübersetzungsstrukturen 2184 übersetzt wird, um die reale Host-Seitenrahmenadresse 2174f zu erhalten. Die reale Host-Seitenrahmenadresse wird anschließend mit dem Versatz (z.B. Bit 52 bis 63) der virtuellen Host-Adresse kombiniert (z.B. verkettet), um die reale finale Host-Adresse zu erhalten. Damit wird die Übersetzung einer virtuellen Gast-Adresse in eine reale Host-Adresse abgeschlossen.
  • Obwohl die Übersetzung in den vorgenannten Beispielen am Bereich der ersten Tabelle beginnt, handelt es sich hierbei nur um ein Beispiel. Die Übersetzung kann für den Gast oder den Host auf jeder Ebene beginnen.
  • Zum Verbessern der Adressübersetzung wird in einer Ausführungsform die Zuordnung der Übersetzung der virtuellen Adresse zu einer realen oder absoluten Adresse in einem Eintrag eines Adressübersetzungspufferspeichers (TLB) gespeichert. Der TLB ist ein Cache, der durch die Verwaltungs-Hardware des Arbeitsspeichers zum Verbessern der Geschwindigkeit von virtueller Adressübersetzung verwendet wird. Bei der nächsten Anforderung einer Übersetzung für eine virtuelle Adresse wird der TLB geprüft, und wenn sie in dem TLB vorhanden ist, ergibt sich ein TLB-Treffer und die reale oder absolute Adresse wird daraus abgerufen. Andernfalls wird ein Seiten-Walk ausgeführt, wie oben beschrieben.
  • Wie angegeben, können Gast-Übersetzungen in dem TLB enthalten sein. Diese Einträge können zusammengesetzte Gast-/Host-Einträge sein, die implizit eine oder mehrere Host-Übersetzungen enthalten. Zum Beispiel kann ein virtueller Gast-TLB-Eintrag die gesamte Übersetzung von der anfänglichen virtuellen Gast-Adresse bis hin zu der finalen realen oder absoluten Host-Adresse zwischenspeichern. In diesem Fall enthält der Gast-TLB-Eintrag implizit alle dazwischenliegenden Host-Übersetzungen 2172, 2176, 2178, 2180 und 2182 sowie die finale Host-Übersetzung 2184, wie oben in 21B beschrieben. In einem weiteren Beispiel kann ein hierarchischer TLB einen Eintrag in einer ersten Ebene des TLB, die eine Übersetzung von der anfänglichen virtuellen Gast-Adresse bis hin zu dem zugehörigen Ursprung der Gast-Seitentabelle 2122 zwischenspeichert, und einen separaten Eintrag von einer zweiten Ebene des TLB enthalten, die die Übersetzung von der Gast-Seitentabellen-Eintragsadresse bis zu der finalen realen oder absoluten Host-Adresse zwischenspeichert. In diesem Beispiel enthalten Gast-Einträge in der ersten Ebene des TLB implizit dazwischenliegende Host-Übersetzungen 2172, 2176, 2178 und 2180, die den Host-Übersetzungen entsprechen, die Gast-Bereichs- und -Segmenttabellen unterstützen, und Gast-Einträge in der zweiten Ebene enthalten implizit eine dazwischenliegende Host-Übersetzung 2182, die die Gast-Seitentabelle und finale Host-Übersetzung 2184 unterstützt, wie in 21B beschrieben. Viele Umsetzungen eines Adressübersetzungspufferspeichers sind möglich.
  • In den vorgenannten Beispielen ist die reale Seitenrahmenadresse in einem Seitentabelleneintrag einer Seitentabelle enthalten. Die Seitentabelle enthält einen oder mehrere Einträge, und weitere Details eines Seitentabelleneintrags werden unter Bezugnahme auf 22 beschrieben.
  • In einem Beispiel ist ein Seitentabelleneintrag (PTE) 2200 einer bestimmten Arbeitsspeicherseite zugehörig und enthält zum Beispiel:
    1. (a) reale Seitenrahmenadresse (PFRA) 2202: Dieses Feld stellt die höchstwertigen Bits einer realen (z.B. realen Host-) Speicheradresse bereit. Wenn diese Bits mit dem Byte-Indexfeld der virtuellen Adresse auf der rechten Seite verkettet werden, wird die reale Adresse erhalten.
    2. (b) Indikator „Seite ungültig“ (I) 2204: Dieses Feld steuert, ob die dem Seitentabelleneintrag zugehörige Seite verfügbar ist. Wenn der Indikator Null ist, fährt die Adressübersetzung unter Verwendung des Seitentabelleneintrags fort. Wenn der Indikator Eins ist, kann der Seitentabelleneintrag nicht für die Übersetzung verwendet werden.
    3. (c) Indikator „Seitenschutz“ 2206: Dieses Feld steuert, ob Speicherzugriffe auf die Seite gestattet sind.
    4. (d) Indikator „Fixierung“ 2208: Dieses Feld wird gemäß einem Aspekt der vorliegenden Erfindung verwendet, um anzugeben, ob diese Seite nicht fixiert werden soll. In einem Beispiel gibt eine Eins an, dass sie fixiert werden soll, und eine Null gibt an, dass sie nicht fixiert werden soll.
  • Ein Seitentabelleneintrag kann mehr, weniger und/oder andere Felder als hierin beschrieben enthalten. Zum Beispiel kann der PTE in der Power Architecture einen Referenzindikator enthalten, der angibt, ob auf einen entsprechenden Arbeitsspeicherblock verwiesen worden ist, und/oder einen Änderungsindikator, der angibt, dass in einen entsprechenden Arbeitsspeicherblock gespeichert worden ist. Weitere Variationen sind möglich.
  • In noch einem weiteren Aspekt der vorliegenden Erfindung sind Konfigurationsstatusregister getrennt und sind auf Grundlage von zum Beispiel Host- und Gast-Attributen, Kontext und/oder Ausführungsumgebung (z.B. Thread-Status, Anwendungsstatus, Betriebssystemstatus, Hypervisor-Status, bestimmte Gast- oder Host-Betriebssystemebene usw.) zugewiesen, um eine größere Verwaltungsflexibilität zu ermöglichen. Zum Beispiel können Konfigurationsstatusregister nach Hypervisor, Betriebssystem, Anwendung, Thread-Nummer oder andere Ausführungsumgebungen usw. getrennt sein.
  • Als spezielle Beispiele werden Konfigurationsstatusregister für Hypervisor-Berechtigung in einer einem Hypervisor zugewiesenen Arbeitsspeichereinheit (z.B. einer Seite) gespeichert; Konfigurationsstatusregister für Betriebssystem-Berechtigung werden in einer Betriebssystem-Arbeitsspeichereinheit (z.B. einer Seite) gespeichert usw. Wenn ferner mehrere Threads unterstützt werden und Konfigurationsstatusregister für jeden Thread repliziert werden, können getrennte Arbeitsspeichereinheiten (z.B. Seiten) für jeden Thread unterstützt werden. Ein Beispiel für eine derartige Trennung ist in 23 dargestellt.
  • Wie in 23 gezeigt, wird ein Satz von Konfigurationsstatusregistern 2300 von einem Thread oder Prozess 2302 verwendet; ein anderer Satz von Konfigurationsstatusregistern 2304 wird von einem Betriebssystem 2306 verwendet; noch ein weiterer Satz von Konfigurationsstatusregistern 2308 wird von einem Hypervisor 2310 verwendet; und noch ein anderer Satz von Konfigurationsstatusregistern 2312 wird von einem Hypervisor 2314 verwendet. Weitere Beispiele sind ebenfalls möglich.
  • In einem Beispiel sind die Konfigurationsregister für eine bestimmte Ausführungsumgebung für diese Ausführungsumgebung statisch definiert und enthalten diejenigen Register, durch die die Ausführungsumgebung gelesen oder geschrieben werden kann. In einem weiteren Beispiel werden die Register auf Grundlage von Verwendung dynamisch zugewiesen. Weitere Beispiele sind ebenfalls möglich.
  • In einer Ausführungsform wird ein separater Arbeitsspeicherbereich (z.B. als ein Vielfaches einer zuweisbaren Übersetzungseinheit) jeder separat steuerbaren Ausführungsumgebung (z.B. Thread, Prozess, Betriebssystem, Hypervisor) zugewiesen, und daher wird der Satz von Konfigurationsstatusregistern, die dieser Ausführungsumgebung zugehörig sind, diesem Arbeitsspeicherbereich zugewiesen. Zum Beispiel werden Konfigurationsstatusregister einem entsprechenden Arbeitsspeicherbereich auf Grundlage von logischem Eigentum zugewiesen, da auf einige Konfigurationsstatusregister von mehreren Ausführungsumgebungen zugegriffen werden kann (z.B. ein Lesen, das von einem Betriebssystem und ein Lesen/Schreiben (R/W), das von einen Hypervisor zugreifbar ist).
  • Obwohl verschiedene Ausführungsumgebungen verschiedene Berechtigungsebenen haben können, hat in einem Aspekt eine Berechtigungsebene höherer Ebene Steuerungszugriff auf untere Ebenen. Eine bestimmte Berechtigungsebene kann mit Anweisungen LD_CSR und ST_CSR und hierin beschriebenen Synchronisierungsoperationen angegeben werden.
  • In einem Beispiel werden die Konfigurationsstatus-Registernummern neu zugeordnet, wie oben beschrieben, d.h. Indices von Konfigurationsstatus-Registernummern werden komprimiert, um Register in Bezug auf jede Gruppierung zusammenzulegen.
  • Durch Bereitstellen eines bestimmten Satzes von Registern pro Ausführungsumgebung werden bestimmte Verarbeitungstypen vereinfacht, darunter Kontextumschaltung. Wie oben beschrieben, vereinfacht die Zuweisung von bestimmten Sätzen von Registern auf Grundlage von Ausführungsumgebung und einem Zuweisen von getrennten Arbeitsspeichereinheiten zu diesen Sätzen das Verwalten der Register sowie von Prozessen, die diese Register verwenden, darunter Kontextumschaltung.
  • Wie hierin beschrieben, kann eine Kontextumschaltung durch Ändern eines Basisadressenzeigers in ein Konfigurationsstatus-Basisregister anstelle eines Löschens und erneuten Ladens des Konfigurationsstatus-Registerstatus ausgeführt werden. Um dies zu erreichen, muss ein Benutzermodusstatus unabhängig von einem Supervisor-Status umschaltbar sein, um Benutzerkontexte umzuschalten; ein Betriebssystemstatus muss unabhängig von einem Hypervisor-Status umschaltbar sein, um virtuelle Maschinen umzuschalten; und ein Thread-pro-Hardware- oder Subprozessor-Status muss unabhängig umschaltbar sein, wenn diese unabhängig von anderen Threads/Subprozessoren umgeschaltet werden sollen. Die separaten Arbeitsspeicherbereiche und separat zuweisbaren Konfigurationsstatusregister vereinfachen dies.
  • Gemäß einem Aspekt der vorliegenden Erfindung bezeichnen separate Konfigurationsstatus-Basisregister den Speicherort (Basis) jeder Gruppierung von arbeitsspeicherinternen Konfigurationsstatusregistern. Ferner besteht in mindestens einer Ausführungsform der Steuerungszugriff auf jedes der Basisregister darin, über entsprechende Zugriffsberechtigungen zu verfügen. Zum Beispiel ist für Kontexte, die durch das Betriebssystem umgeschaltet werden sollen, eine Betriebssystem-Berechtigung eine Mindestvoraussetzung, um das Basisregister zu modifizieren; und für Kontexte, die durch den Hypervisor umgeschaltet werden sollen, ist eine Hypervisor-Berechtigung die Mindestvoraussetzung zum Modifizieren derartiger Basisregister usw.
  • In einem weiteren Aspekt wird eine Funktionalität bereitgestellt, um das Verschieben einer Arbeitsspeichereinheit (z.B. eine Seite) durch Software auf Host-Ebene (wie beispielsweise ein Hypervisor oder Virtual-Machine-Monitor) zu verhindern, die den Speicher für ein oder mehrere Konfigurationsstatusregister bereitstellt (d.h. den CSR-Sicherungsarbeitsspeicher). In einem Beispiel umfasst dies ein Fixieren der Arbeitsspeichereinheit und ein Bereitstellen einer Angabe für automatisches Fixieren für den CSR-Sicherungsarbeitsspeicher.
  • Wenn ein Konfigurationsstatusregister geschrieben wird, das die Basis des Arbeitsspeichers angibt, von dem der Speicher für ein oder mehrere Konfigurationsstatusregister (d.h. das Basisregister wie beispielsweise TCBR) bereitgestellt wird, wird dem Host des derzeitigen Gasts gemäß einer architekturgebundenen Spezifikation eine Angabe bereitgestellt. In mindestens einer Ausführungsform entspricht die Angabe einer Ausnahme. In einer Ausführungsform gibt ein Ausnahmetyp ein Schreibereignis in ein Konfigurationsstatus-Basisregister an. In Reaktion auf ein Empfangen einer Angabe einer Änderung eines Konfigurationsstatus-Basisregisters führt mindestens eine Software eines Host-Supervisors Operationen zum Aktualisieren von Seitenfixierungsinformationen aus. In einem Aspekt der Erfindung enthält ein Aktualisieren von Fixierungsinformationen ein Aufzeichnen der Adresse einer fixierten Seite eines CSR-Sicherungsarbeitsspeichers oder ein Einrichten eines der Seite entsprechenden Fixierungsindikators. In einem weiteren Aspekt enthält ein Aktualisieren von Fixierungsinformationen ferner ein Aufheben der Fixierung einer vorher fixierten Seite eines CSR-Sicherungsarbeitsspeichers durch Entfernen einer vorher aufgezeichneten Adresse für ein bestimmtes Konfigurationsstatus-Basisregister aus einem Pool von einer oder mehreren aufgezeichneten Adressen, die einem fixierten CSR-Sicherungsarbeitsspeicher entsprechen, oder ein erneutes Einrichten des Fixierungsindikators.
  • Gemäß einem Aspekt der vorliegenden Erfindung stellen diese Aktualisierungen sicher, dass die eine oder die mehreren Host-Ebenen keine Auslagerung oder Verschiebung des CSR-Sicherungsarbeitsspeichers vornehmen, wodurch eine zwischengespeicherte Adressenübersetzung ungültig gemacht wird oder andernfalls eine Aktualisierung eines Konfigurationsstatusregisters verursacht, was zu einem Seitenübersetzungsfehler führt. In einem weiteren Aspekt der vorliegenden Erfindung können Fixierungsinformationen auch zum Verschieben des Speicherorts des CSR-Sicherungsarbeitsspeichers verwendet werden, indem eine Bekanntgabe seines Speicherorts bereitgestellt wird und einem oder mehreren Hosts die Möglichkeit gegeben wird, alle zwischengespeicherten Übersetzungen zu aktualisieren.
  • Um ferner Gast-Schemas auf mehreren Ebenen zu unterstützen, kann die Anweisung, die das Basisregister initialisiert, das auf den Arbeitsspeicher zur Sicherung der Konfigurationsstatusregister (den CSR-Sicherungsarbeitsspeicher) zeigt, ferner so angegeben werden, dass sie Ausnahmen auf mehreren Host-Ebenen transitiv auslöst, um so eine geeignete Fixierung sicherzustellen. In einer Ausführungsform wird nur eine Host-Ebene benachrichtigt, und dieser Host veranlasst ein Fixieren durch HCALLS (Hypervisor-Aufrufe) nach Bedarf/gegebenenfalls.
  • Durch Fixieren von Arbeitsspeichersicherungsseiten für Konfigurationsstatusregister im Arbeitsspeicher werden Seitenfehler vermieden, wenn auf ein Konfigurationsstatusregister zugegriffen wird. Dies kann für die Software unerwartet auftreten und in einiger Software zu panic() führen, wie zum Beispiel, wenn die Software prüft, welche Anweisung eine Falle verursacht hat und herausfindet, dass es eine Anweisung war, die nicht für ein Zugreifen auf den Arbeitsspeicher definiert war, die einen Datenseitenfehler verursacht hat. Panic() ist ein Aufruf in Betriebssystemen, der ausgeführt wird, wenn ein unerwartetes Ereignis eintritt, und der für gewöhnlich zu einem Systemabsturz führt.
  • Eine Fixierung wird zum Beispiel ebenfalls verwendet, um zirkuläre Ausnahmen zu vermeiden (wenn z.B. die für ausnahmebezogene Konfigurationsstatusregister verwendete Seite nicht verfügbar ist, müsste für diese Seite eine Seitenfehlerausnahme ausgelöst werden usw.); und um eine schnelle Reaktion sicherzustellen (z.B. auf Ausnahmen und andere externe Ereignisse, an denen eine Bearbeitung von Konfigurationsstatusregistern beteiligt ist).
  • In einer Ausführungsform wird eine Fixierung in Software vorgenommen. Wie zum Beispiel im Folgenden beschrieben, kann eine Fixierung unter Verwendung von Hypervisor-Aufrufen (HCALL) in Verbindung mit einer Software-Kontextumschaltung in einer paravirtualisierten Umgebung ausgeführt werden.
  • Wenn in einer Ausführungsform ein Kontext (z.B. ein Thread-Kontext oder ein Prozesskontext oder ein Kontext einer logischen Partition oder ein Kontext einer virtuellen Maschine oder ein Betriebssystem-Kontext usw.) durch Überwachungssoftware initialisiert wird, weist der Supervisor Arbeitsspeicher zu, um Speicher für ein oder mehrere Konfigurationsstatusregister bereitzustellen, die dem initialisierten Kontext entsprechen.
  • In einem Beispiel kann dies durch ein Aufrufen einer Zuweisungsroutine ausgeführt werden, die Arbeitsspeicher von geeigneter Ausrichtung und Größe bereitstellt. Gemäß mindestens einer Ausführungsform wird die zurückgegebene Adresse in einem Arbeitsspeicherbereich gespeichert, der dem Kontext entsprechende Informationen speichert. In einer Ausführungsform wird dieser Arbeitsspeicherbereich als der „u-Bereich“ bezeichnet und durch die Variable „u“ angegeben. In mindestens einer Ausführungsform ist die Variable u ein Datensatz, eine Struktur, eine Klasse oder ein anderer zusammengesetzter Datentyp mit einer Mehrzahl von Elementen, die verschiedenen Attributen entsprechen, die für einen Kontext erfasst werden müssen. In mindestens einer Ausführungsform enthält diese Struktur ein Element (Feld), das der Adresse von mindestens einer Seite eines CSR-Sicherungsarbeitsspeichers entspricht. In mindestens einem Beispiel wird dieses Element als „csr_page“ bezeichnet.
    my_csr_page_pointer = Sicherungsseite zuweisen
    u.csr_page = my_csr_page_pointer
  • Beim Ausführen einer Fixierung des CSR-Sicherungsarbeitsspeichers unter Verwendung von z.B. HCALLS an einem Hypervisor in einer paravirtualisierten Umgebung wird die Kontextsequenz (z.B. eine Sequenz gemäß 19A oder 19B) der Kontextumschaltung durch HCALLS zum Fixieren und Aufheben der Fixierung erweitert. Gemäß einer Ausführungsform einer Kontextumschaltung mit diesem Aspekt der vorliegenden Erfindung werden die folgenden Schritte ausgeführt:
    1. (1) ein Speichern von Nicht-CSR-Status von früherem Kontext, einschließlich Mehrzweckregister, Gleitkommaregister, Vektorregister usw. gemäß bekannten Techniken, jedoch nicht darauf beschränkt;
    2. (2) ein Speichern von prozessorinternen Konfigurationsstatusregistern (z.B. auf Grundlage der Techniken von 19A oder 19B);
    3. (3) ein Fixieren einer eingehenden Seite von CSR-Sicherungsarbeitsspeicher (d.h. Seite, die als Arbeitsspeicherseite des Konfigurationsstatusregisters als Teil der Umschaltung (Aktivierung) in den nächsten Kontext aktiviert ist): HCALL(PIN, next_u->csr_page), wobei next_u ein Zeiger ist, der auf den u-Bereich des Kontexts zeigt, in den als nächsten Kontext umgeschaltet (aktiviert) wird;
    4. (4) optional, in mindestens einer Ausführungsform, ein Synchronisieren von ausgehenden Konfigurationsstatusregistern; sync_o_csr;
    5. (5) ein Laden eines Basisregisters mit der Basisadresse des CSR-Sicherungsarbeitsspeichers, die dem aktivierten Kontext entspricht (in einem Beispiel entspricht dieses CSR TCBR): mtspr TCBR, next_u->csr_page;
    6. (6) optional, in mindestens einer Ausführungsform, ein Synchronisieren von eingehenden Konfigurationsstatusregistern: sync_i_csr;
    7. (7) ein Aufheben der Fixierung einer ausgehenden Seite von CSR-Sicherungsarbeitsspeicher (d.h. Seite, von CSR-Sicherungsarbeitsspeicher, die als Teil der Umschaltung (Deaktivierung) aus dem vorherigen Kontext deaktiviert wird): HCALL(UNPIN, prev_u->csr_page), wobei prev_u ein Zeiger ist, der auf den u-Bereich des Kontexts zeigt, aus dem als vorherigem Kontext umgeschaltet (deaktiviert) wird;
    8. (8) ein Laden eines anderen Nicht-CSR-Status von nächstem Kontext, einschließlich Mehrzweckregister, Gleitkommaregister, Vektorregister usw. gemäß bekannten Techniken, jedoch nicht darauf beschränkt;
    9. (9) ein Übertragen der Steuerung auf den neu aktivierten Kontext, z.B. Übertragung vom Betriebssystem zum Anwendungs-Thread oder Prozesskontext unter Verwendung von z.B. der rfid-Anweisung (in einer Umsetzung in Verbindung mit Power ISA).
  • Dem Fachmann wird klar sein, dass die vorgenannten Schritte neu angeordnet werden können. Zum Beispiel kann die Operation zum Aufheben der Fixierung in mindestens einer Ausführungsform vor der Operation zum Fixieren ausgeführt werden. Weitere Variationen sind möglich.
  • In einer anderen Ausführungsform wird ein Fixieren in Reaktion auf ein Laden eines Basisregisters ausgeführt, wie beispielsweise TCBR. Ein Benachrichtigungsereignis (z.B. Interrupt) kann für einen Supervisor ausgelöst werden. Wenn mehrere Supervisor-Ebenen vorhanden sind, wird in einer Ausführungsform für jede Supervisor-Ebene ein Benachrichtigungsereignis ausgelöst. In Reaktion auf ein Empfangen eines Benachrichtigungsereignisses werden Fixierungsinformationen aktualisiert.
  • In einer weiteren Ausführungsform verursacht ein Schreiben eines Werts in ein Basisregister ein Angabekennzeichen für einen Seitentabelleneintrag, das eine fixierte Seite angibt, die in einem entsprechenden PTE eingerichtet werden soll. Wenn mehrere Ebenen von Seitentabelleneinträgen vorhanden sind, kann ein Angabekennzeichen für einen Seitentabelleneintrag für jede Ebene gesetzt werden.
  • In mindestens einer weiteren Ausführungsform wird mindestens eine Anweisung zum Fixieren oder Aufheben der Fixierung bereitgestellt, die einen Prozess zum Fixieren und Aufheben der Fixierung initiiert.
  • In noch einer weiteren Ausführungsform kann ein Fixieren durch Host-Software bestimmt werden, indem in dem System aktive Basisregister überprüft werden, um zu bestimmen, welche Seiten „fixiert“ sind, d.h. die Mehrzahl von Inhalten von Basisregistern (z.B. TCBR) stellt den Datensatz von fixierten Seiten dar. In mindestens einer Ausführungsform bestimmt die Software auf Supervisor-Ebene vor einem Verschieben oder Auslagern einer Seite, ob eine Seite einer fixierten Seite entspricht, indem bestimmt wird, ob eine Seitenadresse einer Adresse in mindestens einem der Basisregister entspricht.
  • In noch einer weiteren Ausführungsform kann ein Host ein Benachrichtigungsereignis einer Fixierung empfangen, z.B. als eine Ausnahme in einer beispielhaften Ausführungsform. Auf Grundlage eines Empfangens der Benachrichtigung empfängt das Host-System eine Adresse, die fixiert werden soll, und speichert sie für zukünftige Referenz während der Arbeitsspeicherverwaltung. In einer Ausführungsform enthält eine Benachrichtigung auch Informationen über eine vorherige Adresse, deren Fixierung aufgehoben wird (z.B. der vorherigen Wert, der in dem Basis-Konfigurationsstatusregister gespeichert ist, oder ein anderweitig bereitgestellter Wert, z.B. unter Verwendung eines Konfigurationsstatusregisters).
  • Weitere Details in Bezug auf ein Beispiel eines Bereitstellens einer Fixierungsbenachrichtigung an den Host werden unter Bezugnahme auf 24 beschrieben. Diese Logik wird durch einen Prozessor ausgeführt. Unter Bezugnahme auf 24 wird ein neuer Konfigurationswert (z.B. eine Gast-Adresse) für eine Arbeitsspeicherseite (oder eine andere Einheit des Arbeitsspeichers) empfangen, die arbeitsspeicherinterne Konfigurationsstatusregister enthält, SCHRITT 2400. In einem Beispiel wird dieser neue Konfigurationswert in einem Basisregister gespeichert (wie z.B. TCBR). In anderen Ausführungsformen kann der Wert jedoch auf andere Art und Weise bereitgestellt werden.
  • Die Gast-Adresse (z.B. eine virtuelle Gast-Adresse oder eine reale Gast-Adresse) der Arbeitsspeicherseite wird übersetzt, SCHRITT 2402. In einem Beispiel wird die Gast-Adresse in eine physische reale Adresse übersetzt, und die Übersetzung für einen zukünftigen Zugriff zwischengespeichert. Eine Variable n wird gleich der Gast-Ebene eingestellt, SCHRITT 2404. Anschließend wird n um einen Auswahlwert, z.B. 1, verringert, SCHRITT 2406. Die Host-Ebene n wird unter Verwendung der virtuellen Adresse der Host-Ebene n, die der Gast-Adresse entspricht, die fixiert wird, über ein Fixierungsereignis benachrichtigt, SCHRITT 2408. Ferner wird eine Bestimmung vorgenommen, ob noch mehr Host-Ebenen (z.B. ist n größer als 0) vorhanden sind, ABFRAGE 2410. Wenn noch mehr Host-Ebenen vorhanden sind, wird die Verarbeitung mit SCHRITT 2406 fortgesetzt. Andernfalls ist die Verarbeitung abgeschlossen.
  • In einer Ausführungsform wird die Fixierung durch einen Indikator angegeben, wie beispielsweise ein Bit in einem der Adresse entsprechenden Seitentabelleneintrag. Das Bit gibt an, dass die Seite fixiert ist und von einem Gast verwendet wird. Ein Beispiel für diesen Fixierungsindikator wird in 22 dargestellt.
  • Weitere Details in Bezug auf Fixierung werden unter Bezugnahme auf die beispielhaften Übersetzungs- und Fixierungsoperationen beschrieben, die in 25 dargestellt sind. In einem Beispiel führt ein Prozessor diese Verarbeitung aus. Zunächst wird ein neuer Konfigurationswert (z.B. Gast-Adresse) für eine Arbeitsspeicherseite empfangen, die arbeitsspeicherinterne Konfigurationsstatusregister enthält, SCHRITT 2500. Die Gast-Adresse wird in eine physische Adresse übersetzt, und die Übersetzung wird für einen zukünftigen Zugriff zwischengespeichert, SCHRITT 2502. Eine Variable n wird gleich der Gast-Ebene eingerichtet, und ADDRESS wird gleich der virtuellen Gast-Adresse eingerichtet, SCHRITT 2504. Danach wird n um einen definierten Wert wie beispielsweise 1 verringert, und ADDRESS wird auf gleich translate_to_host (ADDRESS, n) gesetzt, SCHRITT 2506. Das bedeutet, dass ADDRESS auf die übersetzte Host-Adresse für die Host-Ebene gesetzt wird. Der Fixierungsindikator (z.B. Bit) wird in dem Seitentabelleneintrag für die Adresse gesetzt (z.B. auf Eins), SCHRITT 2508. Ferner wird eine Bestimmung vorgenommen, ob noch mehr Host-Ebenen vorhanden sind, d.h. ist n größer als Null, ABFRAGE 2510? Wenn noch mehr Host-Ebenen vorhanden sind, wird die Verarbeitung mit SCHRITT 2506 fortgesetzt. Andernfalls endet die Verarbeitung. An diesem Punkt entspricht ADDRESS der physischen Adresse einer fixierten Seite und kann für Synergie mit Adressübersetzung verwendet werden.
  • Auf Grundlage von Übersetzung und Zwischenspeicherung wird der Indikator (z.B. Bit) in einem Beispiel auf allen Host-Ebenen gesetzt. In einer Ausführungsform sind Seitentabellen-Walking und Fixierungsangabe kombiniert. Dies verbessert die Leistung, da die Übersetzung auf dieselben Seitentabelleneinträge zugreift, die für die Fixierungsangabe verwendet werden.
  • In einer Ausführungsform wird ein Aufheben der Fixierung an einem anderen Wert ausgeführt (z.B. dem vorherigen Wert (Adresse), der in dem Konfigurationsstatusregister gespeichert ist, oder einem anderweitig bereitgestellten Wert, z.B. unter Verwendung eines Konfigurationsregisters).
  • Ein Beispiel für ein Verarbeiten in Bezug auf Umsetzungs- und Freigabeoperationen wird unter Bezugnahme auf 26 beschrieben. In einem Beispiel führt ein Prozessor diese Verarbeitung aus. Zunächst wird eine Anforderung empfangen, die Fixierung einer Adresse aufzuheben, SCHRITT 2600. Diese Anforderung enthält eine virtuelle Gast-Adresse, deren Fixierung aufgehoben werden soll. Ferner wird n gleich der Gast-Ebene gesetzt, und ADDRESS wird gleich der virtuellen Gast-Adresse gesetzt, deren Fixierung aufgehoben werden soll, SCHRITT 2602. Als Nächstes wird n um einen definierten Wert wie beispielsweise 1 verringert, und ADDRESS wird gleich translate_to_host (ADDRESS, n) gesetzt, SCHRITT 2604. Das bedeutet, dass ADDRESS auf die übersetzte Host-Adresse für die Host-Ebene gesetzt wird. Der Fixierungsindikator (z.B. Bit) in dem Seitentabelleneintrag für die Adresse wird zurücksetzt (z.B. auf Null gesetzt), SCHRITT 2606. Danach wird eine Bestimmung vorgenommen, ob noch mehr Host-Ebenen (z.B. ist n größer als 0) vorhanden sind, SCHRITT 2608. Wenn noch mehr Host-Ebenen vorhanden sind, wird die Verarbeitung mit SCHRITT 2604 fortgesetzt. Andernfalls endet die Verarbeitung. An diesem Punkt entspricht ADDRESS der physischen Adresse der Seite mit aufgehobener Fixierung.
  • Wie hierin beschrieben, wird die Benachrichtigung auf Grundlage eines Bestimmens, dass eine Arbeitsspeichereinheit fixiert werden soll, z.B. automatisch bereitgestellt. Die Benachrichtigung kann durch Setzen eines Indikators, ein Auslösen einer Unterbrechung, ein Bereitstellen einer Ausnahme usw. erfolgen. Viele Variationen sind möglich.
  • In einem weiteren Aspekt wird eine effiziente Fixierungsverwaltung über paravirtualisierte Fixierungsaufrufe bereitgestellt. Es ist wünschenswert, die Seite nicht bei jedem Installieren fixieren oder deren Fixierung aufheben zu müssen. Andererseits ist es ebenso wünschenswert, die Anzahl von fixierten Seiten zu begrenzen, um den Seiten-Cache des Host nicht unnötig zu fragmentieren und seine Seitenzuweisungsfreiheit zu begrenzen. Demzufolge werden fixierende HCALLS (Hypervisor-Aufrufe) eingeführt, in denen ein Gast eine Seite angibt, deren Fixierung durch einen Host aufgehoben werden soll. Ein Hypervisor kann angeben, ob für eine Seite, deren Fixierung aufgehoben werden soll, die Fixierung aufgehoben wurde, wodurch dem Gast die Flexibilität eingeräumt wird, nicht für jede Seite eine Fixierungsanforderung aufzurufen, wenn der Hypervisor verfügbare Ressourcen aufweist.
  • Dieser Aufruf enthält in einer Ausführungsform ein Aktualisieren des Basiszeigers oder der Adresse (Basis) auf die CSR-Arbeitsspeichersicherungsseite. Ferner gibt der Gast in einer Ausführungsform an, ob eine Seite als fixiert beibehalten werden soll.
  • In einer weiteren Ausführungsform kann ein Hypervisor mittels Rückruf an ein Betriebssystem das Zurückgeben von fixierten Seiten anfordern, die vorher dem Betriebssystem überlassen wurden, wenn der Hypervisor in eine Situation mit geringen Ressourcen gerät. In einer Ausführungsform gibt das Betriebssystem die eine oder die mehreren Seiten an, deren Fixierung als Reaktion auf den Rückruf aufgehoben werden soll.
  • Gemäß einem oder mehreren Aspekten wird ein einzelner Aufruf, wie beispielsweise ein HCALL, verwendet, um Operationen zum Fixieren oder Aufheben der Fixierung durch z.B. einen Host auszuführen, der auf einem Prozessor ausgeführt wird, wie unter Bezugnahme auf 27 beschrieben. Wie in einem Beispiel dargestellt, werden eine Fixierungsoperation 2700 und eine Operation zum Aufheben der Fixierung 2702 in Reaktion auf einen Hypervisor-Aufruf 2704 ausgeführt. In einem Beispiel wird eine Operation zum Aufheben der Fixierung an einer ersten Adresse ausgeführt (z.B. einer ersten Basisadresse), um die Fixierung einer alten Seite aufzuheben, SCHRITT 2710, und eine Fixierungsoperation wird an einer zweiten Adresse ausgeführt (z.B. einer zweiten Basisadresse), um eine neue Seite zu fixieren, SCHRITT 2720. Statt mehrerer Aufrufe wird der eine Aufruf verwendet, wodurch Verarbeitungszeit gespart wird. Die Anzahl von Aufrufen zum Aufheben der Fixierung und zum Fixieren wird durch einen kombinierten Fixierungs- und Fixierungsaufhebungs-Aufruf reduziert, der eine neue Seite (z.B. CSR-Sicherungsarbeitsspeicherseite) angibt, die fixiert werden soll, und eine vorherige Seite angibt, deren Fixierung aufgehoben werden soll.
  • In einer Ausführungsform kann das Betriebssystem anfordern, dass für die Adresse, die in dem Aufruf für ein Aufheben der Fixierung angegeben wird, die Fixierung nicht aufgehoben werden soll, wenn Verwaltungseinschränkungen der Hypervisor-Konsole dies zulassen. Eine Antwort darauf, ob die Adresse fixiert ist oder deren Fixierung aufgehoben ist, wird zurückgegeben. Später kann der Hypervisor immer noch einen Rückruf verwenden, um anzufordern, dass die Fixierung für eine oder mehrere fixierte Seiten für das Betriebssystem aufgehoben wird.
  • In einem Beispiel enthält das Betriebssystem mehr als die Anzahl von Seiten, die für aktive arbeitsspeicherinterne Konfigurationsstatus-Registerseiten notwendig sind. In einem weiteren Beispiel fixiert das Betriebssystem alle Seiten, die arbeitsspeicherinterne Konfigurationsstatusregister enthalten, gleichgültig, ob sie aktiv sind oder nicht. Damit wird die Notwendigkeit einer zukünftigen Fixierung hinfällig. Dies kann jedoch zu einer übermäßigen Anzahl von fixierten Seiten und Systemineffizienz führen. Daher bietet das Betriebssystem in einer Ausführungsform eine Rückruffunktion an, in der der Hypervisor das Betriebssystem aufrufen kann, die Zuweisung von fixierten Seiten aufzuheben, wenn zu viele Seiten (oder eine Anzahl, die größer als eine ausgewählte Anzahl ist) in einem System für arbeitsspeicherinterne Konfigurationsstatus-Registerverwendung fixiert sind.
  • Weitere Details in Bezug auf ein Aufheben der Fixierung/ein Fixieren werden unter Bezugnahme auf das folgende Beispiel beschrieben, in dem ein Aufheben der Fixierung/ein Fixieren in einer Kontextumschaltung ausgeführt werden. Insbesondere beschreibt das folgende Beispiel ein Beispiel für eine Kontextfixierung, in der ein Gast (BS) einen Host (HV) auffordert, die Fixierung umzuschalten und ferner optional eine Fixierung beizubehalten.
  • Wenn in einer Ausführungsform ein Kontext (z.B. ein Thread-Kontext oder ein Prozesskontext oder ein Kontext einer logischen Partition oder ein Kontext einer virtuellen Maschine oder ein Betriebssystem-Kontext usw.) durch Überwachungssoftware initialisiert wird, weist der Supervisor Arbeitsspeicher zu, um Speicher für ein oder mehrere Konfigurationsstatusregister bereitzustellen, die dem initialisierten Kontext entsprechen.
  • In einem Beispiel kann dies durch ein Aufrufen einer Zuweisungsroutine ausgeführt werden, die Arbeitsspeicher von geeigneter Ausrichtung und Größe bereitstellt. Gemäß mindestens einer Ausführungsform wird die zurückgegebene Adresse in einem Arbeitsspeicherbereich gespeichert, der dem Kontext entsprechende Informationen speichert. In einer Ausführungsform wird dieser Arbeitsspeicherbereich als der „u-Bereich“ bezeichnet und durch die Variable „u“ angegeben. In mindestens einer Ausführungsform ist die Variable u ein Datensatz, eine Struktur, eine Klasse oder ein anderer zusammengesetzter Datentyp mit einer Mehrzahl von Elementen, die verschiedenen Attributen entsprechen, die für einen Kontext erfasst werden müssen. In mindestens einer Ausführungsform enthält diese Struktur ein Element (Feld), das der Adresse von mindestens einer Seite eines CSR-Sicherungsarbeitsspeichers entspricht. In mindestens einem Beispiel wird dieses Element als „csr_page“ bezeichnet.
    my_csr_page_pointer = Sicherungsseite zuweisen
    u.csr_page = my_csr_page_pointer
  • Beim Ausführen einer Fixierung des CSR-Sicherungsarbeitsspeichers unter Verwendung von z.B. HCALLS an einem Hypervisor in einer paravirtualisierten Umgebung wird die Kontextsequenz (z.B. eine Sequenz gemäß 19A oder 19B) der Kontextumschaltung durch HCALLS zum Fixieren und Aufheben der Fixierung erweitert. Gemäß einer Ausführungsform einer Kontextumschaltung mit diesem Aspekt der vorliegenden Erfindung werden die folgenden Schritte ausgeführt:
    1. (1) ein Speichern von Nicht-CSR-Status von früherem Kontext, einschließlich Mehrzweckregister, Gleitkommaregister, Vektorregister usw. gemäß bekannten Techniken, jedoch nicht darauf beschränkt;
    2. (2) ein Speichern von prozessorinternen Konfigurationsstatusregistern (z.B. auf Grundlage der Techniken von 19A oder 19B);
    3. (3) optional, in mindestens einer Ausführungsform, ein Synchronisieren von ausgehenden Konfigurationsstatusregistern; sync_o_csr;
    4. (4)  
    Wenn nicht (next_u->csr_page_pinned),
    Seite zum Aufheben der Fixierung suchen victim=select_TCBR_for_unpin();
    Beibehalten wünschenswert? retain=retain_desirable_p(victim);
    Angabe zum Abrufen der Fixierungsseite lost_victim=HCALL(PIN_give_to_get, next_u->csr_page, victim, retain)
    Victim verloren? wenn (lost_victim) mark_unpinned (victim);
    • (5) optional, in mindestens einer Ausführungsform, ein Synchronisieren von eingehenden Konfigurationsstatusregistern: sync_i_csr;
    • (6) ein Laden eines anderen Nicht-CSR-Status von nächstem Kontext, einschließlich Mehrzweckregister, Gleitkommaregister, Vektorregister usw. gemäß bekannten Techniken, jedoch nicht darauf beschränkt;
    • (7) ein Übertragen der Steuerung auf den neu aktivierten Kontext, z.B. Übertragung vom Betriebssystem zum Anwendungs-Thread oder Prozesskontext unter Verwendung von z.B. der rfid-Anweisung (in einer Umsetzung in Verbindung mit Power ISA).
  • Weitere Details eines Beispiels für ein Ausführen von Operationen zum Fixieren/Aufheben der Fixierung werden unter Bezugnahme auf 28 beschrieben. Eine Aufforderung zum Fixieren einer neuen Adresse, NA, und zum Aufheben der Fixierung einer alten Adresse, OA, wird z.B. über einen einzelnen Hypervisor-Aufruf sowie einen Beibehaltungsindikator (oder Angabe eines solchen) empfangen, der angibt, ob eine Anforderung vorgenommen wird, die OA als fixierten Arbeitsspeicher beizubehalten, SCHRITT 2800. Zum Beispiel gibt die neue Adresse eine CSR-Arbeitsspeichersicherungsseite an. Die Adresse NA wird fixiert, SCHRITT 2810, und eine Bestimmung wird vorgenommen, ob der Beibehaltungsindikator eine Anforderung angibt, die Adresse OA als im Arbeitsspeicher fixiert beizubehalten, ABFRAGE 2820. Wenn eine Anforderung vorliegt, die Fixierung einer OA beizubehalten, wird eine Bestimmung vorgenommen, ob das Beibehalten der fixierten Seite auf Grundlage einer angegebenen Richtlinie (z.B. Ressourcenzuweisung über mehrere virtuelle Maschinen) und für eine Fixierung verfügbarem Arbeitsspeicher gestattet werden soll, ABFRAGE 2822. Wenn die Anforderung genehmigt wird, ABFRAGE 2824, wird eine Angabe vorgenommen, dass eine Operation zum Aufheben der Fixierung nicht ausgeführt werden soll, SCHRITT 2826. Andernfalls wie die Fixierung der Adresse OA aufgehoben, SCHRITT 2830, und eine Angabe wird bereitgestellt, dass die Aufhebung der Fixierung ausgeführt wird, SCHRITT 2832.
  • Wenn unter erneuter Bezugnahme auf die ABFRAGE 2820 der Beibehaltungsindikator angibt, dass die Fixierung nicht beibehalten werden soll, fährt die Verarbeitung mit SCHRITT 2830 fort.
  • Wie oben beschrieben, kann ein Aufruf verwendet werden, um die Fixierung einer Adresse aufzuheben, eine andere Adresse zu fixieren und/oder anzufordern, dass für die Adresse, für die die Fixierung aufgehoben werden soll, die Fixierung nicht aufgehoben werden soll. Indem ein Aufruf verwendet wird, wird die Verarbeitung vereinfacht und die Leistung wird verbessert.
  • Wie hierin beschrieben, werden ausgewählte Konfigurationsstatusregister arbeitsspeicherintern und nicht prozessorintern gespeichert. Durch die arbeitsspeicherinterne Speicherung der Register können bestimmte Vorteile und Optimierungen erzielt werden, einschließlich diejenigen, die einem Erkennen und einer Korrektur von Datenbeschädigungen zugehörig sind.
  • In einem Beispiel wird der speichergestützte Status zum Verbessern der Stabilität und zum Behandeln von Störungen durch Einzelereignisse (SEU) oder weiche Fehler verwendet. Störungen durch Einzelereignisse sind Statusänderungen, die durch die Auswirkung von ionisierender Strahlung eingeführt werden. Mit abnehmenden Größen von CMOS-(komplementäre Metalloxidhalbleiter) Elementen nimmt auch die Ladungsmenge QCRIT ab, die zum Ändern eines Bits verwendet wird, da für jedes Bit weniger Ladung gespeichert wird. Um die Auswirkungen von Störungen durch Einzelereignisse zu beseitigen, wird eine Datensicherung angewendet. Dies umfasst zum Beispiel ein Verwenden eines Paritäts- oder Fehlerkorrekturcode- (ECC) Schutzes für Register, um jeweils beschädigte Statusregisterwerte zu erkennen und zu reparieren. Fehlerkorrekturcode oder Paritätsschutz wird verwendet, da eine Reparatur für Registerdaten einigermaßen erschwinglich ist, wenn die bereichsübergreifende Gestaltung über viele Register amortisiert werden kann. Für prozessorinterne Konfigurationsregister ist dies oftmals unerschwinglich, da für jedes Register eine separate Sicherung und Wiederherstellung entwickelt werden müsste.
  • Gemäß einem Aspekt der vorliegenden Erfindung werden Konfigurationsstatusregister jedoch arbeitsspeicherintern gespeichert, wo sie durch einen oder mehrere hochentwickelte Schutzmechanismen geschützt werden, einschließlich Paritäts-Bits und Fehlerkorrekturcode (ECC) gemäß einem Aspekt der vorliegenden Erfindung, jedoch nicht auf diese beschränkt.
  • In einem Aspekt werden prozessorinterne Konfigurationsstatusregister auch unter Verwendung der gegenüber SEU widerstandsfähigen Systemarbeitsspeicher-Hierarchie geschützt. In einer Ausführungsform werden prozessorinterne Konfigurationsstatusregister mit einer Technik zum Erkennen von durch SEU verursachte Beschädigung geschützt. Eine Vielfalt von Erkennungstechniken kann in Verbindung mit Aspekten der vorliegenden Erfindung verwendet werden. In einem Beispiel entspricht der Mechanismus zum Erkennen von Beschädigungen der Verwendung eines Daten-Paritätsschutzes für prozessorinterne Konfigurationsstatusregister. In einer anderen Ausführungsform kann eine durch SEU verursachte Beschädigung erkannt werden, indem auf Signaturen von Registerwertänderungen in Abwesenheit eines Schreibvorgangs in ein Konfigurationsregister geprüft wird. Außerdem werden derartige prozessorinterne Register auch arbeitsspeicherintern gespeichert, um sicherzustellen, dass eine ECC-geschützte Kopie der prozessorinternen Konfigurationsregister verfügbar ist. In einer Ausführungsform wird in Reaktion auf Aktualisierungen der prozessorinternen Konfigurationsregister, die auf diese Weise geschützt werden, auch eine Kopie der Aktualisierung in der arbeitsspeicherinternen Kopie gespeichert. Wenn der Prozessor einen Paritätsfehler erkennt, wird der Wert aus der ECC-geschützten arbeitsspeicherinternen Kopie abgerufen und das prozessorinterne Register wird aktualisiert.
  • Ferner werden in einer Ausführungsform hohe Nutzungsratenwerte wie beispielsweise Anweisungsadresse, Datenadresse und Inhalts-Haltepunktregister im Sicherungsarbeitsspeicher gespeichert und können wiederhergestellt werden, wenn eine Einzelereignisstörung erkannt wird. Die Wiederherstellung umfasst Paritätsschutz entweder über einen Hardware-Neuladepfad oder durch Ausführen einer Maschinenprüfung und Veranlassen, dass ein Maschinenprüf-Handler diese Register neu lädt. Somit wird gemäß einem Aspekt der vorliegenden Erfindung ein Konfigurationsregisterstatus durch Speichern der Konfigurationsstatusregister im Systemarbeitsspeicher und ein Verwenden von z.B. ECC geschützt, um vor Störungen durch Einzelereignisse zu schützen.
  • Weitere Details in Bezug auf ein Verwenden von Fehlerkorrekturcode für Konfigurationsstatusregister werden im Folgenden beschrieben. Insbesondere werden Beispiele für ein Verwenden von Fehlerkorrekturcode für Datenschreibvorgänge unter Bezugnahme auf 29A bis 29C beschrieben, und Beispiele für ein Verwenden von Fehlerkorrekturcode für Datenlesevorgänge werden unter Bezugnahme auf 30A bis 30C beschrieben.
  • Zunächst unter Bezugnahme auf 29A ist in diesem Beispiel ein Datenschreibvorgang ungeschützt. In diesem Beispiel wird ein Wert für ein prozessorinternes Konfigurationsstatusregister empfangen, SCHRITT 2900, und dieser Wert wird in einen Zwischenspeicher geschrieben, der das Konfigurationsstatusregister umsetzt, SCHRITT 2902. Dieser Datenschreibvorgang ist gegen Störungen durch Einzelereignisse und andere Fehlertypen nicht geschützt.
  • Im Gegensatz dazu wird unter Bezugnahme auf 29B ein Wert für ein prozessorinternes Konfigurationsstatusregister empfangen, SCHRITT 2920, und ein Fehlerschutz oder ein Fehlerkorrekturcode wird berechnet, SCHRITT 2922. Der empfangene Wert wird in das Konfigurationsstatusregister (z.B. den Zwischenspeicher, der das Konfigurationsstatusregister umsetzt) zusammen mit dem Schutz oder Fehlerkorrekturcode geschrieben, SCHRITT 2924.
  • Des Weiteren wird unter Bezugnahme auf 29C eine Ausführungsform eines Datenschreibvorgangs für ein arbeitsspeicherinternes Konfigurationsstatusregister beschrieben. In diesem Beispiel wird ein Wert für das arbeitsspeicherinterne Konfigurationsstatusregister empfangen, SCHRITT 2952, und eine Bestimmung wird in Bezug auf die Systemarbeitsspeicheradresse vorgenommen, an der das Konfigurationsstatusregister gespeichert ist, SCHRITT 2954. Der Wert wird anschließend unter dieser Systemarbeitsspeicheradresse gespeichert, die geschützt ist, da sie Teil des Arbeitsspeichers ist, der vom Fehlerschutz profitiert, SCHRITT 2956.
  • In einem Aspekt enthält das Speichern zum Beispiel ein Berechnen eines Fehlerkorrekturcodes für einen empfangenen arbeitsspeicherinternen Wert eines Konfigurationsstatusregisters und ein Speichern des berechneten Fehlerkorrekturcodes mit dem empfangenen Wert. Wie bekannt ist, addiert ein Fehlerkorrekturcode ein oder mehrere Paritäts-Bits zu den Daten-Bits, die den Wert darstellen (z.B. ein oder mehrere Paritäts-Bits pro einem oder mehreren untergeordneten Sätzen der Daten-Bits) und verwendet diese Paritäts-Bits zum Bestimmen möglicher Fehler. Wenn ein Fehler in den Daten vorliegt, geben die Paritäts-Bits an, wo ein Fehler in den Daten-Bits vorliegt, wodurch es ermöglicht wird, eine Korrektur vorzunehmen (z.B. Ändern von einem oder mehreren Daten-Bits, die im Binärformat dargestellt sind, in einen anderen Wert).
  • Zusätzlich zu Vorgenanntem wird ein Beispiel für ein Ausführen von Datenlesevorgängen für prozessorinterne Konfigurationsstatusregister und arbeitsspeicherinterne Konfigurationsstatusregister unter Bezugnahme auf 30A bis 30C beschrieben.
  • Unter Bezugnahme auf 30A wird ein Beispiel für einen Datenlesevorgang für ein prozessorinternes Konfigurationsdatenregister beschrieben, in dem kein Schutz angeboten wird. In diesem Beispiel wird der Wert durch die Prozessorlogik bereitgestellt, SCHRITT 3000.
  • Im Gegensatz dazu wird ein Beispiel einer Verarbeitung unter Bezugnahme auf 30B beschrieben, die einem Lesen eines Werts aus einem prozessorinternen Konfigurationsstatusregister zugehörig ist, dessen Schutz bereitgestellt wird. In diesem Beispiel wird der Wert aus dem Zwischenspeicher empfangen, SCHRITT 3020, und der Korrekturcode wird geprüft, SCHRITT 3022. Wenn keine Beschädigung erkannt wird, ABFRAGE 3024, wird der Wert für die Prozessorlogik bereitgestellt, SCHRITT 3030. Wenn jedoch unter erneuter Bezugnahme auf die ABFRAGE 3024 eine Beschädigung erkannt wird, wird der korrigierte Wert berechnet, SCHRITT 3026, und der korrigierte Wert wird in den Zwischenspeicher zurückgeschrieben, SCHRITT 3028. Ferner wird dieser Wert für die Prozessorlogik bereitgestellt, SCHRITT 3030.
  • Außerdem wird ein Datenlesevorgang unter Bezugnahme auf 30C beschrieben, der ein arbeitsspeicherinternes Konfigurationsstatusregister verwendet. In diesem Beispiel wird eine Konfigurationsstatus-Registernummer erhalten, SCHRITT 3050. Eine Bestimmung wird in Bezug auf die Systemarbeitsspeicheradresse für das Konfigurationsstatusregister vorgenommen, SCHRITT 3052. Der Wert wird aus dem geschützten Systemarbeitsspeicher ausgelesen, SCHRITT 3054, und dieser Wert wird für die Prozessorlogik bereitgestellt, SCHRITT 3056.
  • In einem Aspekt umfasst das Lesen ein Bestimmen unter Verwendung des Fehlerkorrekturcodes, ob eine Beschädigung der Daten (z.B. des Werts) aufgetreten ist. Wenn eine Beschädigung erkannt wird, können eine oder mehrere Maßnahmen ergriffen werden, einschließlich einem Ausführen einer Wiederherstellung, sie sind aber nicht darauf beschränkt. Die Wiederherstellung kann ein Berechnen eines korrigierten Werts für den beschädigten Wert unter Verwendung des Fehlerkorrekturcodes enthalten. Es sind auch weitere Beispiele vorhanden.
  • Durch die Verwendung von arbeitsspeicherinternen Konfigurationsstatusregistern werden Vorteile beim Fehlerschutz erhalten, durch die kostspielige zusätzliche Schritte und Latenz vermieden werden, um derartigen Schutz zu den Zwischenspeichern hinzuzufügen, die prozessorinterne Konfigurationsstatusregister umsetzen.
  • Zum Beispiel wird eine Fehlerkorrektur auf Grundlage eines Schreibens in ein arbeitsspeicherinternes Konfigurationsstatusregister bereitgestellt. Fehlererkennung und - Korrekturcode können auf Grundlage eines Erhaltens eines Werts eines Konfigurationsstatusregisters generiert werden, der arbeitsspeicherintern gespeichert werden soll. Fehlererkennung und -Korrekturcode können verwendet werden, um auf Grundlage eines Erhaltens einer Leseanforderung für einen Arbeitsspeicher, der ein Konfigurationsstatusregister speichert, zu erkennen, ob eine Beschädigung aufgetreten ist. Eine Beschädigung wird auf Grundlage eines Lesevorgangs eines arbeitsspeicherinternen Konfigurationsstatusregisters und eines Erkennens korrigiert, dass eine Beschädigung aufgetreten ist.
  • Hierin werden im Detail Aspekte beschrieben, die einem Bereitstellen von arbeitsspeicherinternen Konfigurationsstatusregistern zugehörig sind. Durch ein Bereitstellen von arbeitsspeicherinternen Konfigurationsstatusregistern wird eine Verarbeitung vereinfacht und die Leistung kann verbessert werden. Bestimmte Verbesserungen und Optimierungen können verwirklicht werden.
  • Die arbeitsspeicherinternen Konfigurationsstatusregister können in der Anweisungsverarbeitung sowie in anderen Operationssequenzen verwendet werden. Zum Beispiel kann eine Ausnahme auf Grundlage eines Empfangens eines Unterbrechungssignals von einer externen Einheit empfangen werden. Beim Verarbeiten der Ausnahme kann auf ein oder mehrere Konfigurationsstatusregister zugegriffen werden, wie beispielsweise SRR0 und SRR1. Wenn diese Register arbeitsspeicherinterne Konfigurationsstatusregister sind, wird die Sequenz der Unterbrechungsverarbeitung erweitert, um Lade- und/oder Speicheroperationen aufzunehmen. Weitere Beispiele und/oder Variationen sind möglich.
  • Ein oder mehrere Aspekte der vorliegenden Erfindung sind untrennbar mit Computertechnologie verbunden und vereinfachen eine Verarbeitung in einem Computer, wodurch dessen Leistung verbessert wird. Weitere Details einer Ausführungsform zum Vereinfachen einer Verarbeitung in einer Datenverarbeitungsumgebung, soweit sie sich auf einen oder mehrere Aspekte der vorliegenden Erfindung bezieht, werden unter Bezugnahme auf 31A bis 31B beschrieben.
  • Unter Bezugnahme auf 31A wird eine Identifizierung eines arbeitsspeicherinternen Konfigurationsregisters erhalten, für das Arbeitsspeicher zugewiesen ist (3100). Auf Grundlage der Identifizierung wird ein Versatz in den Arbeitsspeicher bestimmt, an dem das arbeitsspeicherinterne Konfigurationsstatusregister gespeichert ist (3102). Der Versatz wird dem arbeitsspeicherinternen Konfigurationsstatusregister auf Grundlage einer funktionalen Affinität des arbeitsspeicherinternen Konfigurationsstatusregisters zugewiesen (3104). Auf das arbeitsspeicherinterne Konfigurationsstatusregister wird unter Verwendung von mindestens dem Versatz zugegriffen (3106).
  • Zum Beispiel positioniert der Versatz das arbeitsspeicherinterne Konfigurationsstatusregister in einer selben Cache-Zeile wie ein anderes arbeitsspeicherinternes Konfigurationsstatusregister mit einer selben funktionalen Affinität (3108). In einem Beispiel haben das arbeitsspeicherinterne Konfigurationsstatusregister und das andere arbeitsspeicherinterne Konfigurationsstatusregister dieselbe funktionale Affinität auf Grundlage dessen, dass beide, das arbeitsspeicherinterne Konfigurationsstatusregister und das andere arbeitsspeicherinterne Konfigurationsstatusregister, in einer bestimmten Operation verwendet werden (3110).
  • Als weiteres Beispiel positioniert der Versatz das arbeitsspeicherinterne Konfigurationsstatusregister in einer daneben liegenden Cache-Zeile eines anderen arbeitsspeicherinternen Konfigurationsstatusregistersn mit einer selben funktionalen Affinität (3112).
  • Unter Bezugnahme auf 31B ist der Versatz in einem Beispiel eine Index-Position in einer bestimmten Einheit des Arbeitsspeichers (3114). Ferner wird in einem Beispiel eine Versionsangabe für die bestimmte Einheit des Arbeitsspeichers bereitgestellt (3116).
  • In einem Aspekt enthält die Identifizierung eine Registernummer des arbeitsspeicherinternen Konfigurationsstatusregisters (3118).
  • In einer Ausführungsform enthält das Bestimmen des Versatzes ferner ein Ausführen eines Look-Up einer Datenstruktur (3120). In einer anderen Ausführungsform enthält das Bestimmen des Versatzes ein Verwenden einer Berechnung zum Bestimmen des Versatzes (3122).
  • In einem Aspekt wird der Versatz an einen Anforderer zurückgegeben, damit der Anforderer auf das arbeitsspeicherinterne Konfigurationsregister zugreifen kann (3124).
  • Weitere Variationen und Ausführungsformen sind möglich.
  • Weitere Typen von Datenverarbeitungsumgebungen können ebenfalls einen oder mehrere Aspekte der vorliegenden Erfindung integrieren und verwenden, einschließlich Emulationsumgebungen, für die ein Beispiel unter Bezugnahme auf 32A beschrieben wird, sie sind aber nicht darauf beschränkt. In diesem Beispiel enthält eine Datenverarbeitungsumgebung 20 zum Beispiel eine native Zentraleinheit (CPU) 22, einen Arbeitsspeicher 24 und eine oder mehrere Eingabe/Ausgabe-Einheiten und/oder Schnittstellen 26, die zum Beispiel über einen oder mehrere Busse 28 und/oder andere Verbindungen miteinander verbunden sind. Zum Beispiel kann die Datenverarbeitungsumgebung 20 einen PowerPC-Prozessor oder einen pSeries-Server enthalten, die von International Business Machines Corporation in Armonk, New York angeboten werden; und/oder weitere Maschinen auf Grundlage von Architekturen, die von International Business Machines Corporation, Intel oder anderen Unternehmen angeboten werden.
  • Die native Zentraleinheit 22 enthält ein oder mehrere native Register 30 wie zum Beispiel ein oder mehrere Mehrzweckregister und/oder ein oder mehrere Sonderzweckregister, die bei der Verarbeitung innerhalb der Umgebung verwendet werden. Diese Register enthalten Informationen, die den Zustand der Umgebung zu jedem bestimmten Zeitpunkt darstellen.
  • Des Weiteren führt die native Zentraleinheit 22 Anweisungen und Code aus, die im Arbeitsspeicher 24 gespeichert sind. In einem bestimmten Beispiel führt die Zentraleinheit einen Emulatorcode 32 aus, der im Arbeitsspeicher 24 gespeichert ist. Dieser Code ermöglicht es der in einer Architektur konfigurierten Datenverarbeitungsumgebung, eine andere Architektur zu emulieren. Zum Beispiel ermöglicht der Emulatorcode 32 Maschinen auf Grundlage anderer Architekturen als der z/Architecture, wie zum Beispiel PowerPC-Prozessoren, pSeries-Servern, xSeries-Servern oder anderen Servern oder Prozessoren, die z/Architecture zu emulieren und Software und Anweisungen auszuführen, die auf Grundlage der z/Architecture entwickelt wurden.
  • Weitere Details zum Emulatorcode 32 werden unter Bezugnahme auf 32B beschrieben. Im Arbeitsspeicher 24 gespeicherte Gast-Anweisungen 40 weisen Software-Anweisungen auf, (z.B. korrelierend zu Maschinenanweisungen), die entwickelt wurden, um in einer anderen Architektur als derjenigen der nativen CPU 22 ausgeführt zu werden. Zum Beispiel können die Gast-Anweisungen 40 dafür ausgelegt worden sein, auf einem z/Architecture-Prozessor ausgeführt zu werden, werden aber stattdessen auf der nativen CPU 22 emuliert, die zum Beispiel ein Intel-Prozessor sein kann. In einem Beispiel enthält der Emulatorcode 32 eine Anweisungsabrufroutine 42, um eine oder mehrere Gast-Anweisungen 40 vom Arbeitsspeicher 24 zu erhalten und optional eine lokale Pufferspeicherung für die erhaltenen Anweisungen bereitzustellen. Außerdem enthält er eine Anweisungsübersetzungsroutine 44, um den Typ der Gast-Anweisung zu ermitteln, die erhalten wurde, und um die Gast-Anweisung in eine oder mehrere native Anweisungen 46 zu übersetzen. Diese Übersetzung enthält zum Beispiel ein Identifizieren der Funktion, die durch die Gast-Anweisung ausgeführt werden soll, und ein Auswählen der nativen Anweisung(en), die diese Funktion ausführen soll(en).
  • Ferner enthält der Emulatorcode 32 eine Emulationssteuerroutine 48, die veranlasst, dass die nativen Anweisungen ausgeführt werden. Die Emulationssteuerroutine 48 kann die native CPU 22 veranlassen, eine Routine von nativen Anweisungen auszuführen, die eine oder mehrere vorher erhaltene Gast-Anweisungen emulieren, und die nach Abschluss einer derartigen Ausführung die Steuerung wieder an die Anweisungsabrufroutine zurückgeben, um das Erhalten der nächsten Gast-Anweisung oder einer Gruppe von Gast-Anweisungen zu emulieren. Die Ausführung von nativen Anweisungen 46 kann ein Laden von Daten aus dem Arbeitsspeicher 24 in ein Register enthalten; ein Zurückspeichern der Daten aus einem Register in den Arbeitsspeicher; oder ein Ausführen eines Typs einer arithmetischen oder logischen Operation, wie durch die Übersetzungsroutine festgelegt.
  • Jede Routine wird zum Beispiel in Software umgesetzt, die im Arbeitsspeicher gespeichert ist und durch die native Zentraleinheit 22 ausgeführt wird. In weiteren Beispielen werden eine oder mehrere der Routinen oder Operationen in Firmware, Hardware, Software oder einer Kombination davon umgesetzt. Die Register des emulierten Prozessors können unter Verwendung der Register 30 der nativen CPU oder unter Verwendung von Speicherorten im Arbeitsspeicher 24 emuliert werden. In Ausführungsformen können sich die Gast-Anweisungen 40, die nativen Anweisungen 46 und der Emulatorcode 32 in demselben Arbeitsspeicher befinden oder auf verschiedenen Arbeitsspeichereinheiten zur Verfügung gestellt werden.
  • Wie hierin verwendet, enthält Firmware z.B. den Mikrocode oder Millicode des Prozessors. Sie enthält zum Beispiel die Anweisungen auf Hardware-Ebene und/oder Datenstrukturen, die in einer Umsetzung von Maschinencode auf höherer Ebene verwendet werden. In einer Ausführungsform enthält sie zum Beispiel proprietären Code, der normalerweise als Mikrocode bereitgestellt wird, der vertrauenswürdige Software oder einen Mikrocode enthält, der für die zugrunde liegende Hardware spezifisch ist und den Betriebssystemzugriff auf die System-Hardware steuert.
  • Eine Gast-Anweisung 40, die erhalten, übersetzt und ausgeführt wird, kann zum Beispiel eine der hierin beschriebenen Anweisungen sein. Die Anweisung, die von einer Architektur stammt (z.B. der z/Architecture), wird aus dem Arbeitsspeicher abgerufen, übersetzt und als eine Sequenz von nativen Anweisungen 46 einer anderen Architektur dargestellt (z.B. PowerPC, pSeries, Intel usw.). Diese nativen Anweisungen werden anschließend ausgeführt.
  • Ein oder mehrere Aspekte können sich auf Cloud-Computing beziehen.
  • Es sollte von vornherein klar sein, obwohl diese Offenbarung eine ausführliche Beschreibung von Cloud Computing enthält, dass eine Umsetzung der hierin angeführten Lehren nicht auf eine Cloud-Computing-Umgebung beschränkt ist. Stattdessen können Ausführungsformen der vorliegenden Erfindung gemeinsam mit jedem beliebigen Typ von jetzt bekannter oder später entwickelter Datenverarbeitungsumgebung umgesetzt werden.
  • Cloud Computing ist ein Servicebereitstellungsmodell zum Ermöglichen eines problemlosen bedarfsgesteuerten Netzwerkzugriffs auf einen gemeinsam genutzten Pool von konfigurierbaren Datenverarbeitungsressourcen (z.B. Netzwerke, Netzwerkbandbreite, Server, Verarbeitung, Arbeitsspeicher, Speicher, Anwendungen, virtuelle Maschinen und Dienste), die mit minimalem Verwaltungsaufwand bzw. minimaler Interaktion mit einem Anbieter des Service schnell bereitgestellt und freigegeben werden können. Dieses Cloud-Modell kann mindestens fünf Eigenschaften, mindestens drei Dienstmodelle und mindestens vier Implementierungsmodelle enthalten.
  • Die Eigenschaften sind wie folgt:
    • On-Demand Self-Service: Ein Cloud-Nutzer kann einseitig automatisch nach Bedarf für Datenverarbeitungsfunktionen wie Serverzeit und Netzwerkspeicher sorgen, ohne dass eine menschliche Interaktion mit dem Anbieter der Dienste erforderlich ist.
  • Broad Network Access: Es sind Funktionen über ein Netzwerk verfügbar, auf die durch Standardmechanismen zugegriffen wird, welche die Verwendung durch heterogene Thin- oder Thick-Client-Plattformen (z.B. Mobiltelefone, Laptops und PDAs) unterstützen.
  • Resource-Pooling: Die Datenverarbeitungsressourcen des Anbieters werden zusammengeschlossen, um mehreren Nutzern unter Verwendung eines Multi-Tenant-Modells zu dienen, wobei verschiedene physische und virtuelle Ressourcen dynamisch nach Bedarf zugewiesen und neu zugewiesen werden. Es gibt eine gefühlte Standortunabhängigkeit, da der Nutzer allgemein keine Kontrolle bzw. Kenntnis über den genauen Standort der bereitgestellten Ressourcen hat, aber in der Lage sein kann, einen Standort auf einer höheren Abstraktionsebene festzulegen (z.B. Land, Staat oder Rechenzentrum).
  • Rapid Elasticity: Funktionen können für eine schnelle horizontale Skalierung (scale out) schnell und elastisch bereitgestellt werden, in einigen Fällen auch automatisch, und für ein schnelles Scale-in schnell freigegeben werden. Für den Nutzer erscheinen die für das Bereitstellen verfügbaren Funktionen häufig unbegrenzt, und sie können jederzeit in jeder beliebigen Menge gekauft werden.
  • Measured Service: Cloud-Systeme steuern und optimieren die Verwendung von Ressourcen automatisch, indem sie eine Messfunktion auf einer gewissen Abstraktionsebene nutzen, die für die Art von Dienst geeignet ist (z.B. Speicher, Verarbeitung, Bandbreite sowie aktive Benutzerkonten). Der Ressourcen-Verbrauch kann überwacht, gesteuert und gemeldet werden, wodurch sowohl für den Anbieter als auch für den Nutzer des verwendeten Dienstes Transparenz geschaffen wird.
  • Es gibt folgende Dienstmodelle:
    • Software as a Service (SaaS): Die dem Nutzer bereitgestellte Funktion besteht darin, die in einer Cloud-Infrastruktur laufenden Anwendungen des Anbieters zu verwenden. Die Anwendungen sind über eine Thin-Client-Schnittstelle wie ein Web-Browser (z.B. eine auf dem Web beruhende eMail) von verschiedenen Client-Einheiten her zugänglich. Der Nutzer verwaltet bzw. steuert die zugrunde liegende Cloud-Infrastruktur nicht, darunter das Netzwerk, Server, Betriebssysteme, Speicher bzw. sogar einzelne Anwendungsfunktionen, mit der möglichen Ausnahme von eingeschränkten benutzerspezifischen Anwendungskonfigurationseinstellungen.
  • Platform as a Service (PaaS): Die dem Nutzer bereitgestellte Funktion besteht darin, durch einen Nutzer erstellte bzw. erhaltene Anwendungen, die unter Verwendung von durch den Anbieter unterstützten Programmiersprachen und Tools erstellt wurden, in der Cloud-Infrastruktur einzusetzen. Der Nutzer verwaltet bzw. steuert die zugrunde liegende Cloud-Infrastruktur nicht, darunter Netzwerke, Server, Betriebssysteme bzw. Speicher, hat aber die Kontrolle über die eingesetzten Anwendungen und möglicherweise über Konfigurationen des Application Hosting Environment.
  • Infrastructure as a Service (laaS): Die dem Nutzer bereitgestellte Funktion besteht darin, Verarbeitung, Speicher, Netzwerke und andere grundlegende Datenverarbeitungsressourcen bereitzustellen, wobei der Nutzer in der Lage ist, beliebige Software einzusetzen und auszuführen, zu der Betriebssysteme und Anwendungen gehören können. Der Nutzer verwaltet bzw. steuert die zugrunde liegende Cloud-Infrastruktur nicht, hat aber die Kontrolle über Betriebssysteme, Speicher, eingesetzte Anwendungen und möglicherweise eingeschränkte Kontrolle über ausgewählte Netzwerkkomponenten (z.B. Host-Firewalls).
  • Es gibt folgende Nutzungsmodelle:
    • Private Cloud: Die Cloud-Infrastruktur wird ausschließlich für eine Organisation betrieben. Sie kann von der Organisation oder einer Drittpartei verwaltet werden und kann innerhalb oder außerhalb von Geschäftsräume vorhanden sein.
  • Community Cloud: Die Cloud-Infrastruktur wird von mehreren Organisationen gemeinsam genutzt und unterstützt eine bestimmte Community, die gemeinsame Problemstellungen hat (z.B. Berücksichtigung von Zielsetzung, Sicherheitsanforderungen, Richtlinien und Konformität). Sie kann von den Organisationen oder einer Drittpartei verwaltet werden und kann innerhalb oder außerhalb der Geschäftsräume vorhanden sein.
  • Public Cloud: Die Cloud-Infrastruktur wird der allgemeinen Öffentlichkeit oder einer großen Industriegruppe zur Verfügung gestellt und gehört einer Organisation, die Cloud-Dienste verkauft.
  • Hybrid Cloud: Die Cloud-Infrastruktur ist eine Zusammensetzung aus zwei oder mehreren Clouds (privat, Benutzergemeinschaft oder öffentlich), die zwar einzelne Einheiten bleiben, aber durch eine standardisierte oder proprietäre Technologie miteinander verbunden sind, die eine Daten- und Anwendungsportierbarkeit ermöglicht (z.B. Cloud-Zielgruppenverteilung für den Lastausgleich zwischen Clouds).
  • Eine Cloud-Computing-Umgebung ist dienstorientiert, wobei der Schwerpunkt auf Statusunabhängigkeit, geringer Kopplung, Modularität und semantischer Interoperabilität liegt. Im Mittelpunkt von Cloud Computing steht eine Infrastruktur, die ein Netzwerk von miteinander verbundenen Knoten aufweist.
  • Unter folgender Bezugnahme auf 33 wird eine veranschaulichende Cloud-Computing-Umgebung 50 dargestellt. Wie gezeigt, weist die Cloud-Computing-Umgebung 50 einen oder mehrere Cloud-Computing-Knoten 10 auf, mit denen lokale Datenverarbeitungseinheiten, die von Nutzern der Cloud verwendet werden, wie beispielsweise Personal Digital Assistant (PDA) oder Mobiltelefon 54A, Desktop-Computer 54B, Laptop-Computer 54C und/oder Fahrzeug-Computersystem 54N, Daten austauschen können. Die Knoten 10 können untereinander Daten austauschen. Sie können physisch oder virtuell in einem oder mehreren Netzwerken gruppiert werden (nicht gezeigt), wie beispielsweise Private, Community, Public oder Hybrid Cloud, wie hierin oben beschrieben, oder in einer Kombination davon. Damit hat die Cloud-Computing-Umgebung 50 die Möglichkeit, eine Infrastruktur, Plattformen und/oder Software als Dienste anzubieten, für die ein Cloud-Nutzer keinerlei Ressourcen auf einer lokalen Datenverarbeitungseinheit vorhalten muss. Es versteht sich, dass die in 33 gezeigten Typen von Datenverarbeitungseinheiten 54A bis N nur zur Veranschaulichung dienen sollen, und dass die Datenverarbeitungs-Knoten 10 und die Cloud-Computing-Umgebung 50 mit jedem Typ einer computerisierten Einheit über jeden Typ von Netzwerk und/oder eine über ein Netzwerk adressierbare Verbindung (z.B. unter Verwendung eines Web-Browsers) Daten austauschen können.
  • Unter folgender Bezugnahme auf 34 wird ein Satz von funktionalen Abstraktionsschichten gezeigt, die von der Cloud Computing-Umgebung 50 (33) bereitgestellt werden. Dabei sollte von Anfang an klar sein, dass die in 34 gezeigten Komponenten, Schichten und Funktionen lediglich zur Veranschaulichung dienen sollen und Ausführungsformen der Erfindung nicht darauf beschränkt sind. Wie dargestellt, werden die folgenden Schichten und entsprechenden Funktionen bereitgestellt:
    • Eine Hardware- und Software-Schicht 60 enthält Hardware- und Software-Komponenten. Zu Beispielen für Hardware-Komponenten zählen: Mainframes 61; Server auf Grundlage einer RISC- (Reduced Instruction Set Computer) Architektur 62; Server 63; Blade-Server 64; Speichereinheiten 65; und Netzwerke und vernetzte Komponenten 66. In einigen Ausführungsformen enthalten Software-Komponenten Software für Netzwerkanwendungsserver 67 und Datenbank-Software 68.
  • Eine Virtualisierungsschicht 70 stellt eine Abstraktionsschicht bereit, von der aus die folgenden beispielhaften virtuellen Entitäten bereitgestellt werden können: virtuelle Server 71; virtueller Speicher 72; virtuelle Netzwerke 73, einschließlich virtuelle private Netzwerke; virtuelle Anwendungen und Betriebssysteme 74; und virtuelle Clients 75.
  • In einem Beispiel kann die Verwaltungsschicht 80 die im Folgenden beschriebenen Funktionen bereitstellen. Die Ressourcenbereitstellung 81 sorgt für eine dynamische Beschaffung von Datenverarbeitungsressourcen und weiteren Ressourcen, die zum Ausführen von Aufgaben innerhalb der Cloud-Computing-Umgebung eingesetzt werden. Messung und Preisbestimmung 82 ermöglichen beim Einsatz von Ressourcen innerhalb der Cloud-Computing-Umgebung eine Kostenverfolgung und eine Abrechnung oder Rechnungsstellung für die Inanspruchnahme dieser Ressourcen. In einem Beispiel können diese Ressourcen Lizenzen für Anwendungssoftware aufweisen. Eine Sicherheitsfunktion stellt eine Identitätsprüfung für Cloud-Nutzer und -Aufgaben sowie einen Schutz für Daten und andere Ressourcen bereit. Ein Benutzerportal 83 stellt den Zugang zur Cloud-Computing-Umgebung für Nutzer und Systemadministratoren bereit. Die Service-Level-(Dienstgüte) Verwaltung 84 sorgt für die Zuordnung und Verwaltung von Cloud-Computing-Ressourcen, sodass erforderliche Service-Levels eingehalten werden. Planung und Vertragserfüllung des Service Level Agreement (SLA) (Dienstgütevereinbarung) 85 stellen eine Vorab-Vereinbarung für und Beschaffung von Cloud-Computing-Ressourcen bereit, für die gemäß eines SLA eine zukünftige Anforderung erwartet wird.
  • Eine Arbeitslastschicht 90 stellt Beispiele für eine Funktionalität bereit, für welche die Cloud-Computing-Umgebung genutzt werden kann. Zu Beispielen von Arbeitslasten und Funktionen, die von dieser Schicht aus bereitgestellt werden können, zählen: Zuordnung und Navigation 91; Software-Entwicklung und Lifecycle-Management 92; Bereitstellung von virtuellen Schulungen 93; Datenanalyseverarbeitung 94; Transaktionsverarbeitung 95; und Inhaltstabellenverarbeitung 96.
  • Bei der vorliegenden Erfindung kann es sich um ein System, ein Verfahren und/oder ein Computerprogrammprodukt auf jeder möglichen technischen Detailintegrationsebene handeln. Das Computerprogrammprodukt kann ein durch einen Computer lesbares Speichermedium (oder -medien) enthalten, auf dem durch einen Computer lesbare Programmanweisungen gespeichert sind, um einen Prozessor dazu zu veranlassen, Aspekte der vorliegenden Erfindung auszuführen.
  • Bei dem durch einen Computer lesbaren Speichermedium kann es sich um eine physische Einheit handeln, die Anweisungen zur Verwendung durch eine Einheit zur Ausführung von Anweisungen beibehalten und speichern kann. Das durch einen Computer lesbare Speichermedium kann zum Beispiel eine elektronische Speichereinheit, eine magnetische Speichereinheit, eine optische Speichereinheit, eine elektromagnetische Speichereinheit, eine Halbleiter-Speichereinheit oder jede geeignete Kombination aus dem Vorgenannten sein, es ist aber nicht darauf beschränkt. Zu einer nicht erschöpfenden Liste spezifischerer Beispiele des durch einen Computer lesbaren Speichermediums gehören die Folgenden: eine tragbare Computerdiskette, eine Festplatte, ein Direktzugriffsspeicher (RAM), ein Nur-Lese-Speicher (ROM), ein löschbarer programmierbarer Nur-Lese-Speicher (EPROM bzw. Flash-Speicher), ein statischer Direktzugriffsspeicher (SRAM), ein tragbarer CD-ROM, eine DVD, ein Speicher-Stick, eine Diskette, eine mechanisch codierte Einheit wie zum Beispiel Lochkarten oder erhabene Strukturen in einer Rille, auf denen Anweisungen gespeichert sind, und jede geeignete Kombination des Vorgenannten. Ein durch einen Computer lesbares Speichermedium soll, wie hierin verwendet, nicht als flüchtige Signale an sich aufgefasst werden, wie zum Beispiel Funkwellen oder andere sich frei ausbreitende elektromagnetische Wellen, elektromagnetische Wellen, die sich durch einen Wellenleiter oder andere Übertragungsmedien ausbreiten (z.B. durch ein Lichtwellenleiterkabel geleitete Lichtimpulse) oder durch einen Draht übertragene elektrische Signale.
  • Hierin beschriebene durch einen Computer lesbare Programmanweisungen können von einem durch einen Computer lesbaren Speichermedium auf jeweilige Datenverarbeitungs-/Verarbeitungseinheiten oder über ein Netzwerk wie zum Beispiel das Internet, ein lokales Netzwerk, ein Weitverkehrsnetzwerk und/oder ein drahtloses Netzwerk auf einen externen Computer oder eine externe Speichereinheit heruntergeladen werden. Das Netzwerk kann Kupferübertragungskabel, Lichtwellenübertragungsleiter, drahtlose Übertragung, Leitwegrechner, Firewalls, Vermittlungseinheiten, Gateway-Computer und/oder Edge-Server aufweisen. Eine Netzwerkadapterkarte oder Netzwerkschnittstelle in jeder Datenverarbeitungs-/Verarbeitungseinheit empfängt durch einen Computer lesbare Programmanweisungen aus dem Netzwerk und leitet die durch einen Computer lesbaren Programmanweisungen zur Speicherung in einem durch einen Computer lesbaren Speichermedium innerhalb der entsprechenden Datenverarbeitungs-/Verarbeitungseinheit weiter.
  • Bei durch einen Computer lesbaren Programmanweisungen zum Ausführen von Arbeitsschritten der vorliegenden Erfindung kann es sich um Assembler-Anweisungen, ISA-Anweisungen (Instruction-Set-Architecture), Maschinenanweisungen, maschinenabhängige Anweisungen, Mikrocode, Firmware-Anweisungen, zustandssetzende Daten, Konfigurationsdaten für integrierte Schaltungen oder entweder Quellcode oder Objektcode handeln, die in einer beliebigen Kombination aus einer oder mehreren Programmiersprachen geschrieben sind, darunter objektorientierte Programmiersprachen wie Smalltalk, C++ o.ä. sowie prozedurale Programmiersprachen wie die Programmiersprache „C“ oder ähnliche Programmiersprachen. Die durch einen Computer lesbaren Programmanweisungen können vollständig auf dem Computer des Benutzers, teilweise auf dem Computer des Benutzers, als eigenständiges Software-Paket, teilweise auf dem Computer des Benutzers und teilweise auf einem entfernt angeordneten Computer oder vollständig auf dem entfernt angeordneten Computer oder Server ausgeführt werden. In dem letzteren Szenario kann der entfernt angeordnete Computer mit dem Computer des Benutzers durch jeden Typ von Netzwerk verbunden werden, darunter ein lokales Netzwerk (LAN) oder ein Weitverkehrsnetzwerk (WAN), oder die Verbindung kann mit einem externen Computer hergestellt werden (zum Beispiel über das Internet unter Verwendung eines Internet-Dienstanbieters). In einigen Ausführungsformen können elektronische Schaltungen, darunter zum Beispiel programmierbare Logikschaltungen, feldprogrammierbare Gatter-Anordnungen (FPGA, field programmable gate arrays) oder programmierbare Logikanordnungen (PLA, programmable logic arrays) die computerlesbaren Programmanweisungen ausführen, indem sie Zustandsinformationen der computerlesbaren Programmanweisungen nutzen, um die elektronischen Schaltungen zu personalisieren, um Aspekte der vorliegenden Erfindung durchzuführen.
  • Aspekte der vorliegenden Erfindung werden hierin unter Bezugnahme auf Veranschaulichungen von Ablaufplänen und/oder Blockschaubildern von Verfahren, Vorrichtungen (Systemen) und Computerprogrammprodukten gemäß Ausführungsformen der Erfindung beschrieben. Es sollte klar sein, dass jeder Block der Ablaufplanveranschaulichungen und/oder der Blockschaubilder und Kombinationen von Blöcken in den Ablaufplanveranschaulichungen und/oder den Blockschaubildern mittels durch einen Computer lesbare Programmanweisungen umgesetzt werden können.
  • Diese durch einen Computer lesbaren Programmanweisungen können einem Prozessor eines Universalcomputers, eines Spezialcomputers oder einer anderen programmierbaren Datenverarbeitungsvorrichtung bereitgestellt werden, um eine Maschine zu erzeugen, sodass die über den Prozessor des Computers bzw. eine andere programmierbare Datenverarbeitungsvorrichtung ausgeführten Anweisungen ein Mittel zur Umsetzung der in dem Block bzw. den Blöcken der Ablaufpläne und/oder der Blockschaubilder angegebenen Funktionen/Schritte erzeugen. Diese durch einen Computer lesbaren Programmanweisungen können auch auf einem durch einen Computer lesbaren Speichermedium gespeichert sein, das einen Computer, eine programmierbare Datenverarbeitungsvorrichtung und/oder andere Einheiten so steuern kann, dass sie auf eine bestimmte Art funktionieren, sodass das durch einen Computer lesbare Speichermedium, auf dem Anweisungen gespeichert sind, ein Herstellungsprodukt aufweist, darunter Anweisungen, die Aspekte der/des in dem Block bzw. den Blöcken des Ablaufplans und/oder der Blockschaubilder angegebenen Funktion/Schritts umsetzen.
  • Die durch einen Computer lesbaren Programmanweisungen können auch auf einen Computer, eine andere programmierbare Datenverarbeitungsvorrichtung oder eine andere Einheit geladen werden, um das Ausführen einer Reihe von Arbeitsschritten auf dem Computer bzw. der anderen programmierbaren Vorrichtung oder anderen Einheit zu verursachen, um einen durch einen Computer umgesetzten Prozess zu erzeugen, sodass die auf dem Computer, einer anderen programmierbaren Vorrichtung oder einer anderen Einheit ausgeführten Anweisungen die in dem Block bzw. den Blöcken der Ablaufpläne und/oder der Blockschaubilder angegebenen Funktionen/Schritte umsetzen.
  • Die Ablaufpläne und Blockschaubilder in den Figuren veranschaulichen die Architektur, die Funktionalität und den Betrieb möglicher Ausführungen von Systemen, Verfahren und Computerprogrammprodukten gemäß verschiedenen Ausführungsformen der vorliegenden Erfindung. In diesem Zusammenhang kann jeder Block in den Ablaufplänen oder den Blockschaubildern ein Modul, ein Segment oder einen Teil von Anweisungen darstellen, die eine oder mehrere ausführbare Anweisungen zum Umsetzen der bestimmten logischen Funktion(en) aufweisen. In einigen alternativen Umsetzungen können die in dem Block angegebenen Funktionen in einer anderen Reihenfolge als in den Figuren gezeigt auftreten. Zum Beispiel können zwei nacheinander gezeigte Blöcke tatsächlich im Wesentlichen parallel ausgeführt werden, oder die Blöcke können manchmal in der umgekehrten Reihenfolge ausgeführt werden, was von der beteiligten Funktionalität abhängt. Es ist ferner anzumerken, dass jeder Block der Blockschaubilder und/oder der Ablaufplandarstellungen sowie Kombinationen von Blöcken in den Blockschaubildern und/oder der Ablaufplandarstellung durch spezielle auf Hardware beruhende Systeme umgesetzt werden können, welche die angegebenen Funktionen oder Handlungen durchführen oder Kombinationen aus Spezial-Hardware und Computeranweisungen ausführen.
  • Zusätzlich zu Vorgenanntem können ein oder mehrere Aspekte durch einen Dienstanbieter bereitgestellt, angeboten, eingesetzt, verwaltet, gewartet werden usw., der eine Verwaltung von Umgebungen von Kunden anbietet. Zum Beispiel kann der Dienstanbieter Computercode und/oder eine Computer-Infrastruktur erstellen, pflegen, unterstützen usw., die einen oder mehrere Aspekte für einen oder mehrere Kunden ausführen. Im Gegenzug kann der Dienstanbieter zum Beispiel eine Bezahlung von dem Kunden unter einem Abonnement und/oder einer Gebührenvereinbarung erhalten. Zusätzlich oder alternativ kann der Dienstanbieter eine Bezahlung aus dem Verkauf von Werbeinhalten an einen oder mehrere Fremdanbieter erhalten.
  • In einem Aspekt kann eine Anwendung zum Ausführen von einer oder mehreren Ausführungsformen verwendet werden. Zum Beispiel weist die Verwendung einer Anwendung ein Bereitstellen einer Computer-Infrastruktur auf, die fähig ist, eine oder mehrere Ausführungsformen auszuführen.
  • Als weiterer Aspekt kann eine Datenverarbeitungs-Infrastruktur verwendet werden, die ein Integrieren von computerlesbarem Code in ein Datenverarbeitungssystem aufweist, in dem der Code in Kombination mit dem Datenverarbeitungssystem fähig ist, eine oder mehrere Ausführungsformen auszuführen.
  • Als noch ein weiterer Aspekt kann ein Prozess zum Integrieren einer Datenverarbeitungs-Infrastruktur bereitgestellt werden, die ein Integrieren von computerlesbarem Code in ein Computersystem aufweist. Das Computersystem weist ein computerlesbares Medium auf, wobei das Computer-Medium eine oder mehrere Ausführungsformen aufweist. Der Code in Kombination mit dem Computersystem ist fähig, eine oder mehrere Ausführungsformen auszuführen.
  • Obwohl verschiedene Ausführungsformen oben beschrieben werden, sind dies nur Beispiele. Zum Beispiel können Datenverarbeitungsumgebungen von anderen Architekturen verwendet werden, um eine oder mehrere Ausführungsformen zu integrieren und zu verwenden. Ferner können verschiedene Anweisungen oder Operationen verwendet werden. Außerdem können verschiedene Register verwendet werden und/oder andere Typen von Angaben (andere als Registernummern) können angegeben werden. Viele Variationen sind möglich.
  • Ferner können weitere Typen von Datenverarbeitungsumgebungen daraus Nutzen ziehen und verwendet werden. Zum Beispiel ist ein Datenverarbeitungssystem verwendbar, das zum Speichern und/oder Ausführen von Programmcode geeignet ist, das mindestens zwei Prozessoren enthält, die direkt oder indirekt über einen Systembus mit Arbeitsspeicherelementen verbunden sind. Die Arbeitsspeicherelemente enthalten zum Beispiel einen lokalen Arbeitsspeicher, der während der tatsächlichen Ausführung des Programmcodes verwendet wird, einen Massenspeicher und einen Cache-Arbeitsspeicher, die eine vorübergehende Speicherung von mindestens einigem Programmcode bereitstellen, um die Anzahl zu verringern, wie oft Code während der Ausführung aus dem Massenspeicher abgerufen werden muss.
  • Eingabe-/Ausgabe- oder E/A-Einheiten (einschließlich Tastaturen, Anzeigen, Zeigeeinheiten, DASD, Band, CDs, DVDs, Thumb-Drives und andere Speichermedien usw., die jedoch nicht darauf beschränkt sind) können entweder direkt oder über zwischengeschaltete E/A-Controller mit dem System verbunden werden. Netzwerkadapter können ebenfalls mit dem System verbunden werden, um zu ermöglichen, dass das Datenverarbeitungssystem mit anderen Datenverarbeitungssystemen oder entfernt angeordneten Druckern oder Speichereinheiten über dazwischenliegende private oder öffentliche Netzwerke verbunden werden kann. Modems, Kabelmodems oder Ethernet-Karten sind nur einige der verfügbaren Typen von Netzwerkadaptern.
  • Die hierin verwendete Terminologie dient nur zum Zweck der Beschreibung von bestimmten Ausführungsformen und soll keinesfalls einschränkend sein. Die hierin verwendeten Singularformen „ein“, „eine“ und „der/die/das“ sollen auch die Pluralformen mit einschließen, es sei denn, der Kontext gibt eindeutig anderes vor. Es versteht sich des Weiteren, dass die Begriffe „weist auf“ und/oder „aufweisend“ bei Verwendung in dieser Patentschrift das Vorhandensein ausgewiesener Merkmale, Ganzzahlen, Schritte, Vorgänge, Elemente und/oder Komponenten angeben, das Vorhandensein oder die Hinzufügung von einem oder mehreren anderen Merkmalen, Ganzzahlen, Schritten, Vorgängen, Elementen, Komponenten und/oder Gruppen davon aber nicht ausschließen.
  • Die entsprechenden Strukturen, Materialien, Handlungen und Entsprechungen aller Mittel oder Schritt-plus-Funktion-Elemente in den nachstehenden Ansprüchen sollen, sofern vorhanden, alle Strukturen, Materialien oder Handlungen zum Ausführen der Funktion in Kombination mit anderen beanspruchten Elementen enthalten, wie speziell beansprucht. Die Beschreibung von einer oder mehreren Ausführungsformen wurde zum Zweck der Veranschaulichung und Beschreibung erstellt, sie soll aber keineswegs erschöpfend oder auf die offenbarte Form eingeschränkt sein. Für Fachleute sind viele Modifizierungen und Variationen offenkundig. Die Ausführungsform wurde ausgewählt und beschrieben, um verschiedene Aspekte und die praktische Anwendung am besten zu erklären und es anderen Fachleuten zu erleichtern, die verschiedenen Ausführungsformen mit verschiedenen Modifizierungen zu verstehen, die für die vorgesehene bestimmte Verwendung geeignet sind.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Nicht-Patentliteratur
    • z/Architecture Principles of Operation“ beschrieben, IBM-Veröffentlichung SA22-7832-10, März 2015 [0033]

Claims (20)

  1. Computerprogrammprodukt zum Vereinfachen einer Verarbeitung in einer Datenverarbeitungsumgebung, wobei das Computerprogrammprodukt aufweist: ein durch einen Computer lesbares Speichermedium, das durch eine Verarbeitungsschaltung lesbar ist und Anweisungen zum Ausführen eines Verfahrens speichert, das aufweist: ein Erhalten einer Identifizierung eines arbeitsspeicherinternen Konfigurationsregisters, für das Arbeitsspeicher zugewiesen ist; ein Bestimmen, auf Grundlage der Identifizierung, eines Versatzes in den Arbeitsspeicher, an dem das arbeitsspeicherinterne Konfigurationsstatusregister gespeichert ist, wobei der Versatz dem arbeitsspeicherinternen Konfigurationsstatusregister auf Grundlage einer funktionalen Affinität des arbeitsspeicherinternen Konfigurationsstatusregisters zugewiesen wird; und ein Zugreifen auf das arbeitsspeicherinterne Konfigurationsstatusregister unter Verwendung von mindestens dem Versatz.
  2. Computerprogrammprodukt nach Anspruch 1, wobei der Versatz das arbeitsspeicherinterne Konfigurationsstatusregister in einer selben Cache-Zeile positioniert wie ein anderes arbeitsspeicherinternes Konfigurationsstatusregister mit einer selben funktionalen Affinität.
  3. Computerprogrammprodukt nach Anspruch 2, wobei das arbeitsspeicherinterne Konfigurationsstatusregister und das andere arbeitsspeicherinterne Konfigurationsstatusregister dieselbe funktionale Affinität auf Grundlage dessen haben, dass beide, das arbeitsspeicherinterne Konfigurationsstatusregister und das andere arbeitsspeicherinterne Konfigurationsstatusregister, in einer bestimmten Operation verwendet werden.
  4. Computerprogrammprodukt nach Anspruch 1, wobei der Versatz das arbeitsspeicherinterne Konfigurationsstatusregister in einer daneben liegenden Cache-Zeile eines anderen arbeitsspeicherinternen Konfigurationsstatusregistern mit einer selben funktionalen Affinität positioniert.
  5. Computerprogrammprodukt nach Anspruch 1, wobei der Versatz eine Indexposition in einer bestimmten Einheit des Arbeitsspeichers ist.
  6. Computerprogrammprodukt nach Anspruch 5, wobei das Verfahren ferner ein Bereitstellen einer Versionsangabe für die bestimmte Einheit des Arbeitsspeichers aufweist.
  7. Computerprogrammprodukt nach Anspruch 1, wobei die Identifizierung eine Registernummer des arbeitsspeicherinternen Konfigurationsstatusregister aufweist.
  8. Computerprogrammprodukt nach Anspruch 1, wobei das Bestimmen des Versatzes ein Ausführen eines Look-Up in einer Datenstruktur aufweist.
  9. Computerprogrammprodukt nach Anspruch 1, wobei das Bestimmen des Versatzes ein Verwenden einer Berechnung zum Bestimmen des Versatzes aufweist.
  10. Computerprogrammprodukt nach Anspruch 1, wobei das Verfahren ferner ein Zurückgeben des Versatzes an den Anforderer aufweist, um auf das arbeitsspeicherinterne Konfigurationsstatusregister zuzugreifen.
  11. Computersystem zum Vereinfachen einer Verarbeitung in einer Datenverarbeitungsumgebung, wobei das Computersystem aufweist: einen Arbeitsspeicher; und einen Prozessor, der mit dem Arbeitsspeicher in Datenaustausch steht, wobei das Computersystem konfiguriert ist, um ein Verfahren auszuführen, wobei das Verfahren aufweist: ein Erhalten einer Identifizierung eines arbeitsspeicherinternen Konfigurationsregisters, für das Arbeitsspeicher zugewiesen ist; ein Bestimmen, auf Grundlage der Identifizierung, eines Versatzes in den Arbeitsspeicher, an dem das arbeitsspeicherinterne Konfigurationsstatusregister gespeichert ist, wobei der Versatz dem arbeitsspeicherinternen Konfigurationsstatusregister auf Grundlage einer funktionalen Affinität des arbeitsspeicherinternen Konfigurationsstatusregisters zugewiesen wird; und ein Zugreifen auf das arbeitsspeicherinterne Konfigurationsstatusregister unter Verwendung von mindestens dem Versatz.
  12. Computersystem nach Anspruch 11, wobei der Versatz das arbeitsspeicherinterne Konfigurationsstatusregister in einer selben Cache-Zeile positioniert wie ein anderes arbeitsspeicherinternes Konfigurationsstatusregister mit einer selben funktionalen Affinität.
  13. Computersystem nach Anspruch 12, wobei das arbeitsspeicherinterne Konfigurationsstatusregister und das andere arbeitsspeicherinterne Konfigurationsstatusregister dieselbe funktionale Affinität auf Grundlage dessen haben, dass beide, das arbeitsspeicherinterne Konfigurationsstatusregister und das andere arbeitsspeicherinterne Konfigurationsstatusregister, in einer bestimmten Operation verwendet werden.
  14. Computersystem nach Anspruch 11, wobei der Versatz das arbeitsspeicherinterne Konfigurationsstatusregister in einer daneben liegenden Cache-Zeile eines anderen arbeitsspeicherinternen Konfigurationsstatusregistern mit einer selben funktionalen Affinität positioniert.
  15. Computersystem nach Anspruch 11, wobei der Versatz eine Indexposition in einer bestimmten Einheit des Arbeitsspeichers ist, und wobei das Verfahren ferner ein Bereitstellen einer Versionsangabe für die bestimmte Einheit des Arbeitsspeichers aufweist.
  16. Durch einen Computer umgesetztes Verfahren zum Vereinfachen einer Verarbeitung in einer Datenverarbeitungsumgebung, wobei das durch einen Computer umgesetzte Verfahren aufweist: ein Erhalten einer Identifizierung eines arbeitsspeicherinternen Konfigurationsregisters, für das Arbeitsspeicher zugewiesen ist; ein Bestimmen, auf Grundlage der Identifizierung, eines Versatzes in den Arbeitsspeicher, an dem das arbeitsspeicherinterne Konfigurationsstatusregister gespeichert ist, wobei der Versatz dem arbeitsspeicherinternen Konfigurationsstatusregister auf Grundlage einer funktionalen Affinität des arbeitsspeicherinternen Konfigurationsstatusregisters zugewiesen wird; und ein Zugreifen auf das arbeitsspeicherinterne Konfigurationsstatusregister unter Verwendung von mindestens dem Versatz.
  17. Durch einen Computer umgesetztes Verfahren nach Anspruch 16, wobei der Versatz das arbeitsspeicherinterne Konfigurationsstatusregister in einer selben Cache-Zeile positioniert wie ein anderes arbeitsspeicherinternes Konfigurationsstatusregister mit einer selben funktionalen Affinität.
  18. Durch einen Computer umgesetztes Verfahren nach Anspruch 17, wobei das arbeitsspeicherinterne Konfigurationsstatusregister und das andere arbeitsspeicherinterne Konfigurationsstatusregister dieselbe funktionale Affinität auf Grundlage dessen haben, dass beide, das arbeitsspeicherinterne Konfigurationsstatusregister und das andere arbeitsspeicherinterne Konfigurationsstatusregister, in einer bestimmten Operation verwendet werden.
  19. Durch einen Computer umgesetztes Verfahren nach Anspruch 16, wobei der Versatz das arbeitsspeicherinterne Konfigurationsstatusregister in einer daneben liegenden Cache-Zeile eines anderen arbeitsspeicherinternen Konfigurationsstatusregistern mit einer selben funktionalen Affinität positioniert.
  20. Durch einen Computer umgesetztes Verfahren nach Anspruch 16, wobei der Versatz eine Indexposition in einer bestimmten Einheit des Arbeitsspeichers ist, und wobei das Verfahren ferner ein Bereitstellen einer Versionsangabe für die bestimmte Einheit des Arbeitsspeichers aufweist.
DE112018004364.9T 2017-11-14 2018-11-02 Vereinfachung einer verarbeitung in einer datenverarbeitungsumgebung durch gruppierung eines konfigurationsstatusregisters auf grundlage von funktionaler affinität Active DE112018004364B4 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/811,943 2017-11-14
US15/811,943 US10761751B2 (en) 2017-11-14 2017-11-14 Configuration state registers grouped based on functional affinity
PCT/IB2018/058619 WO2019097347A1 (en) 2017-11-14 2018-11-02 Configuration state registers grouped based on functional affinity

Publications (2)

Publication Number Publication Date
DE112018004364T5 true DE112018004364T5 (de) 2020-09-24
DE112018004364B4 DE112018004364B4 (de) 2021-08-26

Family

ID=66433395

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112018004364.9T Active DE112018004364B4 (de) 2017-11-14 2018-11-02 Vereinfachung einer verarbeitung in einer datenverarbeitungsumgebung durch gruppierung eines konfigurationsstatusregisters auf grundlage von funktionaler affinität

Country Status (6)

Country Link
US (1) US10761751B2 (de)
JP (1) JP7190798B2 (de)
CN (1) CN111344789B (de)
DE (1) DE112018004364B4 (de)
GB (1) GB2581938B (de)
WO (1) WO2019097347A1 (de)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10592164B2 (en) 2017-11-14 2020-03-17 International Business Machines Corporation Portions of configuration state registers in-memory
US10496437B2 (en) 2017-11-14 2019-12-03 International Business Machines Corporation Context switch by changing memory pointers
US10558366B2 (en) 2017-11-14 2020-02-11 International Business Machines Corporation Automatic pinning of units of memory
US10664181B2 (en) 2017-11-14 2020-05-26 International Business Machines Corporation Protecting in-memory configuration state registers
CN111782271A (zh) * 2020-06-29 2020-10-16 Oppo广东移动通信有限公司 一种软硬件交互方法及装置、存储介质

Family Cites Families (214)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4528666A (en) 1983-01-03 1985-07-09 Texas Instruments Incorporated Memory system with built in parity
JPH0237431A (ja) 1988-07-27 1990-02-07 Nec Corp パリティチェック装置
JP2727887B2 (ja) 1992-08-28 1998-03-18 住友金属工業株式会社 水平連続鋳造法
EP1107111A3 (de) 1992-12-31 2002-02-06 Seiko Epson Corporation System und Verfahren zur Änderung der Namen von Registern
US5684993A (en) 1993-01-04 1997-11-04 Microsoft Corporation Segregation of thread-specific information from shared task information
US5655113A (en) 1994-07-05 1997-08-05 Monolithic System Technology, Inc. Resynchronization circuit for a memory system and method of operating same
JP2727976B2 (ja) 1994-09-12 1998-03-18 日本電気株式会社 インサーキットエミュレータ
US5900025A (en) 1995-09-12 1999-05-04 Zsp Corporation Processor having a hierarchical control register file and methods for operating the same
US5835925A (en) 1996-03-13 1998-11-10 Cray Research, Inc. Using external registers to extend memory reference capabilities of a microprocessor
US5983342A (en) 1996-09-12 1999-11-09 Advanced Micro Devices, Inc. Superscalar microprocessor employing a future file for storing results into multiportion registers
US5864875A (en) 1996-12-06 1999-01-26 International Business Machines Corporation Data management system for problems, releases and parts
US6487212B1 (en) 1997-02-14 2002-11-26 Advanced Micro Devices, Inc. Queuing structure and method for prioritization of frames in a network switch
US6393548B1 (en) 1997-02-14 2002-05-21 Advanced Micro Devices, Inc. Variable 16 or 32 bit PCI interface which supports steering and swapping of data
US5936640A (en) 1997-09-30 1999-08-10 Compaq Computer Corporation Accelerated graphics port memory mapped status and control registers
US6356996B1 (en) 1998-03-24 2002-03-12 Novell, Inc. Cache fencing for interpretive environments
US6477683B1 (en) 1999-02-05 2002-11-05 Tensilica, Inc. Automated processor generation system for designing a configurable processor and method for the same
US6480941B1 (en) 1999-02-23 2002-11-12 International Business Machines Corporation Secure partitioning of shared memory based multiprocessor system
US6345356B1 (en) 1999-07-16 2002-02-05 International Business Machines Corporation Method and apparatus for software-based dispatch stall mechanism for scoreboarded IOPs
US6456891B1 (en) 1999-10-27 2002-09-24 Advanced Micro Devices, Inc. System and method for transparent handling of extended register states
US6449710B1 (en) 1999-10-29 2002-09-10 Stmicroelectronics, Inc. Stitching parcels
US6711673B1 (en) 2000-01-03 2004-03-23 Advanced Micro Devices, Inc. Using a model specific register as a base I/O address register for embedded I/O registers in a processor
US6549995B1 (en) 2000-01-06 2003-04-15 International Business Machines Corporation Compressor system memory organization and method for low latency access to uncompressed memory regions
US7856633B1 (en) 2000-03-24 2010-12-21 Intel Corporation LRU cache replacement for a partitioned set associative cache
US7162615B1 (en) 2000-06-12 2007-01-09 Mips Technologies, Inc. Data transfer bus communication using single request to perform command and return data to destination indicated in context to allow thread context switch
US7058791B1 (en) 2000-08-09 2006-06-06 Advanced Micro Devices, Inc. Establishing a mode indication responsive to two or more indications
US6931639B1 (en) 2000-08-24 2005-08-16 International Business Machines Corporation Method for implementing a variable-partitioned queue for simultaneous multithreaded processors
ATE498158T1 (de) 2000-11-06 2011-02-15 Broadcom Corp Umkonfigurierbares verarbeitungssystem und - verfahren
US6907600B2 (en) 2000-12-27 2005-06-14 Intel Corporation Virtual translation lookaside buffer
US6526491B2 (en) 2001-03-22 2003-02-25 Sony Corporation Entertainment Inc. Memory protection system and method for computer architecture for broadband networks
US6807617B2 (en) 2001-04-02 2004-10-19 Advanced Micro Devices, Inc. Processor, method and apparatus with descriptor table storing segment descriptors of varying size
US6877088B2 (en) 2001-08-08 2005-04-05 Sun Microsystems, Inc. Methods and apparatus for controlling speculative execution of instructions based on a multiaccess memory condition
US6871206B2 (en) 2001-11-20 2005-03-22 Ip-First, Llc Continuous multi-buffering random number generator
US7034849B1 (en) 2001-12-31 2006-04-25 Apple Computer, Inc. Method and apparatus for image blending
US6745303B2 (en) 2002-01-03 2004-06-01 Hitachi, Ltd. Data synchronization of multiple remote storage
US7234144B2 (en) 2002-01-04 2007-06-19 Microsoft Corporation Methods and system for managing computational resources of a coprocessor in a computing system
JP2003218870A (ja) 2002-01-17 2003-07-31 Sony Corp Csrのマッピング方法とそのアクセス方法
JP3727887B2 (ja) 2002-02-19 2005-12-21 富士通株式会社 マルチスレッドプロセッサにおける共有レジスタファイル制御方式
US7043679B1 (en) 2002-06-27 2006-05-09 Advanced Micro Devices, Inc. Piggybacking of ECC corrections behind loads
US6823437B2 (en) 2002-07-11 2004-11-23 International Business Machines Corporation Lazy deregistration protocol for a split socket stack
EP1387249B1 (de) 2002-07-31 2019-03-13 Texas Instruments Incorporated RISC Prozessor mit Stapel- und Registerarchitektur
US7089377B1 (en) 2002-09-06 2006-08-08 Vmware, Inc. Virtualization system for computers with a region-based memory architecture
US6895491B2 (en) 2002-09-26 2005-05-17 Hewlett-Packard Development Company, L.P. Memory addressing for a virtual machine implementation on a computer processor supporting virtual hash-page-table searching
US20040098545A1 (en) 2002-11-15 2004-05-20 Pline Steven L. Transferring data in selectable transfer modes
US7539853B2 (en) 2002-11-18 2009-05-26 Arm Limited Handling interrupts in data processing of data in which only a portion of a function has been processed
DE10335643B4 (de) 2003-08-04 2007-10-31 Infineon Technologies Ag Vorrichtung und Verfahren zum Steuern des Zugriffs von mehreren Datenverarbeitungseinrichtungen auf einen Speicher
US7711898B2 (en) 2003-12-18 2010-05-04 Intel Corporation Register alias table cache to map a logical register to a physical register
US7634566B2 (en) 2004-06-03 2009-12-15 Cisco Technology, Inc. Arrangement in a network for passing control of distributed data between network nodes for optimized client access based on locality
EP1622009A1 (de) 2004-07-27 2006-02-01 Texas Instruments Incorporated JSM-Architektur und Systeme
US20060036830A1 (en) 2004-07-31 2006-02-16 Dinechin Christophe De Method for monitoring access to virtual memory pages
US7330942B2 (en) 2004-07-31 2008-02-12 Hewlett-Packard Development Company, L.P. Method for efficient virtualization of physical memory in a virtual-machine monitor
US7647458B1 (en) 2004-12-30 2010-01-12 Azul Systems, Inc. Garbage collection
US20060174066A1 (en) 2005-02-03 2006-08-03 Bridges Jeffrey T Fractional-word writable architected register for direct accumulation of misaligned data
US7676646B2 (en) 2005-03-02 2010-03-09 Cisco Technology, Inc. Packet processor with wide register set architecture
US7428626B2 (en) 2005-03-08 2008-09-23 Microsoft Corporation Method and system for a second level address translation in a virtual machine environment
US7814287B2 (en) 2005-07-15 2010-10-12 Xensource, Inc. Using writeable page tables for memory address translation in a hypervisor environment
US20070067590A1 (en) 2005-09-22 2007-03-22 Uday Savagaonkar Providing protected access to critical memory regions
US9632872B2 (en) 2012-06-05 2017-04-25 International Business Machines Corporation Reprioritizing pending dispersed storage network requests
JP4420351B2 (ja) 2005-09-30 2010-02-24 富士通株式会社 階層ストレージシステム、制御方法及びプログラム
US8555109B2 (en) 2009-07-30 2013-10-08 Cleversafe, Inc. Method and apparatus for distributed storage integrity processing
US9027080B2 (en) 2008-03-31 2015-05-05 Cleversafe, Inc. Proxy access to a dispersed storage network
US9774684B2 (en) 2005-09-30 2017-09-26 International Business Machines Corporation Storing data in a dispersed storage network
US8595435B2 (en) 2009-07-30 2013-11-26 Cleversafe, Inc. Dispersed storage write process
US8909946B2 (en) 2005-11-15 2014-12-09 Microsoft Corporation Efficient power management of a system with virtual machines
US7937710B1 (en) 2005-11-22 2011-05-03 Nvidia Corporation Context switch signaling method and system
US7624257B2 (en) 2005-11-30 2009-11-24 International Business Machines Corporation Digital data processing apparatus having hardware multithreading support including a register set reserved for special class threads
US7827541B2 (en) 2006-03-16 2010-11-02 International Business Machines Corporation Method and apparatus for profiling execution of code using multiple processors
JP2007299279A (ja) * 2006-05-01 2007-11-15 Toshiba Corp 演算装置、プロセッサシステム、及び映像処理装置
US7953710B2 (en) 2006-06-23 2011-05-31 Microsoft Corporation Multi-master database synchronization without loss of convergence
US7636800B2 (en) 2006-06-27 2009-12-22 International Business Machines Corporation Method and system for memory address translation and pinning
US20080024489A1 (en) 2006-07-28 2008-01-31 Robert Allen Shearer Cache Utilization Optimized Ray Traversal Algorithm with Minimized Memory Bandwidth Requirements
US8191085B2 (en) 2006-08-29 2012-05-29 Freescale Semiconductor, Inc. Method and apparatus for loading or storing multiple registers in a data processing system
US7594143B2 (en) 2006-10-31 2009-09-22 Hewlett-Packard Development Company, L.P. Analysis engine for analyzing a computer system condition
US7930513B2 (en) 2006-11-04 2011-04-19 Virident Systems Inc. Writing to asymmetric memory
US7627743B2 (en) 2007-01-12 2009-12-01 Andes Technology Corporation Method and circuit implementation for multiple-word transfer into/from memory subsystems
US8370606B2 (en) 2007-03-16 2013-02-05 Atmel Corporation Switching data pointers based on context
US8099274B2 (en) 2007-03-30 2012-01-17 International Business Machines Corporation Facilitating input/output processing of one or more guest processing systems
KR100921748B1 (ko) 2007-06-04 2009-10-15 삼성전자주식회사 Ecc 회로를 포함하는 메모리 시스템 및 그 구동 방법
US8762692B2 (en) 2007-09-27 2014-06-24 Intel Corporation Single instruction for specifying and saving a subset of registers, specifying a pointer to a work-monitoring function to be executed after waking, and entering a low-power mode
US8819179B2 (en) 2007-10-09 2014-08-26 Cleversafe, Inc. Data revision synchronization in a dispersed storage network
US8572429B2 (en) 2007-10-09 2013-10-29 Cleversafe, Inc. Optimistic data writing in a dispersed storage network
US9697171B2 (en) 2007-10-09 2017-07-04 Internaitonal Business Machines Corporation Multi-writer revision synchronization in a dispersed storage network
US8549351B2 (en) 2007-10-09 2013-10-01 Cleversafe, Inc. Pessimistic data reading in a dispersed storage network
US8145878B2 (en) 2007-12-17 2012-03-27 Intel Corporation Accessing control and status register (CSR)
US8458127B1 (en) 2007-12-28 2013-06-04 Blue Coat Systems, Inc. Application data synchronization
US8161263B2 (en) 2008-02-01 2012-04-17 International Business Machines Corporation Techniques for indirect data prefetching
US8205098B2 (en) 2008-02-25 2012-06-19 Microsoft Corporation Secure and usable protection of a roamable credentials store
US8122223B2 (en) 2008-04-18 2012-02-21 International Business Machines Corporation Access speculation predictor with predictions based on memory region prior requestor tag information
US8131974B2 (en) 2008-04-18 2012-03-06 International Business Machines Corporation Access speculation predictor implemented via idle command processing resources
US8312230B2 (en) 2008-06-06 2012-11-13 International Business Machines Corporation Dynamic control of partition memory affinity in a shared memory partition data processing system
US8495291B2 (en) 2008-08-21 2013-07-23 Infinidat Ltd. Grid storage system and method of operating thereof
US7853710B2 (en) 2008-10-15 2010-12-14 Patentvc Ltd. Methods and devices for controlling the rate of a pull protocol
US7873816B2 (en) 2008-11-20 2011-01-18 International Business Machines Corporation Pre-loading context states by inactive hardware thread in advance of context switch
US8812451B2 (en) 2009-03-11 2014-08-19 Microsoft Corporation Programming model for synchronizing browser caches across devices and web services
US8706980B2 (en) 2009-07-30 2014-04-22 Cleversafe, Inc. Method and apparatus for slice partial rebuilding in a dispersed storage network
US8473661B2 (en) 2009-08-14 2013-06-25 Cadence Design Systems, Inc. System and method for providing multi-process protection using direct memory mapped control registers
US8381025B2 (en) 2009-09-30 2013-02-19 Cleversafe, Inc. Method and apparatus for dispersed storage memory device selection
US8769035B2 (en) 2009-10-30 2014-07-01 Cleversafe, Inc. Distributed storage network for storing a data object based on storage requirements
US9098376B2 (en) 2009-10-30 2015-08-04 Cleversafe, Inc. Distributed storage network for modification of a data object
US9311185B2 (en) 2009-10-30 2016-04-12 Cleversafe, Inc. Dispersed storage unit solicitation method and apparatus
US9129106B2 (en) 2009-11-04 2015-09-08 Georgia Tech Research Corporation Systems and methods for secure in-VM monitoring
JP5427011B2 (ja) 2009-12-17 2014-02-26 株式会社日立製作所 仮想ハードディスクの管理サーバおよび管理方法、管理プログラム
US8364933B2 (en) 2009-12-18 2013-01-29 International Business Machines Corporation Software assisted translation lookaside buffer search mechanism
US9462316B2 (en) 2009-12-29 2016-10-04 International Business Machines Corporation Digital content retrieval utilizing dispersed storage
US8661213B2 (en) 2010-01-06 2014-02-25 Vmware, Inc. Method and system for frequent checkpointing
US9201732B2 (en) 2010-01-28 2015-12-01 Cleversafe, Inc. Selective activation of memory to retrieve data in a dispersed storage network
US8522113B2 (en) 2010-01-28 2013-08-27 Cleversafe, Inc. Selecting storage facilities and dispersal parameters in a dispersed storage network
US8954667B2 (en) 2010-01-28 2015-02-10 Cleversafe, Inc. Data migration in a dispersed storage network
US9043548B2 (en) 2010-01-28 2015-05-26 Cleversafe, Inc. Streaming content storage
US8370600B2 (en) 2010-03-12 2013-02-05 Cleversafe, Inc. Dispersed storage unit and method for configuration thereof
US8578205B2 (en) 2010-03-15 2013-11-05 Cleversafe, Inc. Requesting cloud data storage
US8527705B2 (en) 2010-03-16 2013-09-03 Cleversafe, Inc. Temporarily caching an encoded data slice
US9495117B2 (en) 2010-04-26 2016-11-15 International Business Machines Corporation Storing data in a dispersed storage network
US20110276744A1 (en) 2010-05-05 2011-11-10 Microsoft Corporation Flash memory cache including for use with persistent key-value store
US8805783B2 (en) 2010-05-27 2014-08-12 Microsoft Corporation Synchronization of subsets of data including support for varying set membership
US8909858B2 (en) 2010-06-09 2014-12-09 Cleversafe, Inc. Storing encoded data slices in a dispersed storage network
US8954959B2 (en) 2010-09-16 2015-02-10 Red Hat Israel, Ltd. Memory overcommit by using an emulated IOMMU in a computer system without a host IOMMU
US8656138B2 (en) 2010-10-06 2014-02-18 Cleversafe, Inc. Efficiently accessing an encoded data slice utilizing a memory bin
US8627065B2 (en) 2010-11-09 2014-01-07 Cleversafe, Inc. Validating a certificate chain in a dispersed storage network
US9483398B2 (en) 2010-11-29 2016-11-01 International Business Machines Corporation Partitioning data for storage in a dispersed storage network
US8489800B2 (en) 2010-12-13 2013-07-16 Vmware, Inc. Virtualizing processor memory protection with “domain track”
US9170882B2 (en) 2010-12-22 2015-10-27 Cleversafe, Inc. Retrieving data segments from a dispersed storage network
US9319463B2 (en) 2010-12-27 2016-04-19 Cleversafe, Inc. Reproducing data from obfuscated data retrieved from a dispersed storage network
US8688949B2 (en) 2011-02-01 2014-04-01 Cleversafe, Inc. Modifying data storage in response to detection of a memory system imbalance
US8949551B2 (en) 2011-02-23 2015-02-03 Freescale Semiconductor, Inc. Memory protection unit (MPU) having a shared portion and method of operation
CN102163141B (zh) 2011-03-22 2013-11-06 上海交通大学 实现数字信号处理器的寻址模块
US9560133B2 (en) 2011-06-06 2017-01-31 International Business Machines Corporation Acquiring multi-media content
US9021179B2 (en) 2011-06-10 2015-04-28 International Business Machines Corporation Store storage class memory information command
US10380022B2 (en) 2011-07-28 2019-08-13 Netlist, Inc. Hybrid memory module and system and method of operating the same
US8880801B1 (en) 2011-09-28 2014-11-04 Emc Corporation Techniques for reliability and availability assessment of data storage configurations
US9286072B2 (en) 2011-10-03 2016-03-15 International Business Machines Corporation Using register last use infomation to perform decode-time computer instruction optimization
US9152223B2 (en) 2011-11-04 2015-10-06 International Business Machines Corporation Mobile device with multiple security domains
US8850557B2 (en) 2012-02-29 2014-09-30 International Business Machines Corporation Processor and data processing method with non-hierarchical computer security enhancements for context states
US20130238900A1 (en) 2011-12-12 2013-09-12 Cleversafe, Inc. Dispersed storage network secure hierarchical file directory
US9674155B2 (en) 2011-12-12 2017-06-06 International Business Machines Corporation Encrypting segmented data in a distributed computing system
US9584359B2 (en) 2011-12-12 2017-02-28 International Business Machines Corporation Distributed storage and computing of interim data
US8640008B2 (en) 2011-12-23 2014-01-28 Arm Limited Error recovery in a data processing apparatus
JP5852677B2 (ja) 2011-12-26 2016-02-03 インターナショナル・ビジネス・マシーンズ・コーポレーションInternational Business Machines Corporation レジスタ・マッピング方法
US8949199B2 (en) 2011-12-29 2015-02-03 Dell Products L.P. Systems and methods for de-duplication in storage systems
US9514132B2 (en) 2012-01-31 2016-12-06 International Business Machines Corporation Secure data migration in a dispersed storage network
US9405567B2 (en) 2012-02-07 2016-08-02 Alexander Robenko Method and apparatus for supporting address translation in a multiprocessor virtual machine environment using tracking data to eliminate interprocessor interrupts
US9250902B2 (en) 2012-03-16 2016-02-02 International Business Machines Corporation Determining the status of run-time-instrumentation controls
US20130275699A1 (en) 2012-03-23 2013-10-17 Hicamp Systems, Inc. Special memory access path with segment-offset addressing
US9684670B2 (en) 2012-06-13 2017-06-20 Microsoft Technology Licensing, Llc Moving shared files
US9880771B2 (en) 2012-06-19 2018-01-30 International Business Machines Corporation Packing deduplicated data into finite-sized containers
US9292212B2 (en) 2012-06-25 2016-03-22 International Business Machines Corporation Detecting storage errors in a dispersed storage network
US9110833B2 (en) 2012-06-25 2015-08-18 Cleversafe, Inc. Non-temporarily storing temporarily stored data in a dispersed storage network
US9021263B2 (en) 2012-08-31 2015-04-28 Cleversafe, Inc. Secure data access in a dispersed storage network
US9229745B2 (en) 2012-09-12 2016-01-05 International Business Machines Corporation Identifying load-hit-store conflicts
US9424326B2 (en) 2012-09-13 2016-08-23 International Business Machines Corporation Writing data avoiding write conflicts in a dispersed storage network
US9218286B2 (en) 2012-09-27 2015-12-22 Apple Inc. System cache with partial write valid states
US20140095847A1 (en) 2012-09-28 2014-04-03 Doron Orenstein Instruction and highly efficient micro-architecture to enable instant context switch for user-level threading
US9298642B2 (en) 2012-11-01 2016-03-29 Mellanox Technologies Ltd. Sharing address translation between CPU and peripheral devices
US9311187B2 (en) 2013-01-04 2016-04-12 Cleversafe, Inc. Achieving storage compliance in a dispersed storage network
US9367346B2 (en) 2013-02-20 2016-06-14 Nec Corporation Accelerating distributed transactions on key-value stores through dynamic lock localization
US9569612B2 (en) * 2013-03-14 2017-02-14 Daniel Shawcross Wilkerson Hard object: lightweight hardware enforcement of encapsulation, unforgeability, and transactionality
US10216642B2 (en) 2013-03-15 2019-02-26 International Business Machines Corporation Hardware-based pre-page walk virtual address transformation where the virtual address is shifted by current page size and a minimum page size
KR102047769B1 (ko) 2013-03-28 2019-11-25 한국전자통신연구원 메모리 가상화 기반 스냅샷 부트 장치 및 방법
US9367245B2 (en) 2013-04-26 2016-06-14 Netapp, Inc. Data access request monitoring to reduce system resource use for background operations
US9135200B2 (en) 2013-06-28 2015-09-15 Futurewei Technologies, Inc. System and method for extended peripheral component interconnect express fabrics
US9659342B2 (en) 2013-06-29 2017-05-23 Intel Corporation Mid command buffer preemption for graphics workloads
US10229043B2 (en) 2013-07-23 2019-03-12 Intel Business Machines Corporation Requesting memory spaces and resources using a memory controller
US9846540B1 (en) 2013-08-19 2017-12-19 Amazon Technologies, Inc. Data durability using un-encoded copies and encoded combinations
US9037753B2 (en) 2013-08-29 2015-05-19 International Business Machines Corporation Automatic pinning and unpinning of virtual pages for remote direct memory access
CN105706071A (zh) 2013-09-26 2016-06-22 英特尔公司 持久性存储器的块存储孔
US9645941B2 (en) 2013-09-26 2017-05-09 Cavium, Inc. Collapsed address translation with multiple page sizes
US9524163B2 (en) 2013-10-15 2016-12-20 Mill Computing, Inc. Computer processor employing hardware-based pointer processing
US10019320B2 (en) 2013-10-18 2018-07-10 Sandisk Technologies Llc Systems and methods for distributed atomic storage operations
US9621427B1 (en) 2013-12-06 2017-04-11 Vmware, Inc. Automatic placement of clients in a distributed computer system satisfying constraints
US20170140073A1 (en) 2014-02-13 2017-05-18 Indian Institute of Technology Kharagpur Architecture and design automation of high performance large adders and counters on fpga through constrained placement
US10671391B2 (en) 2014-02-25 2020-06-02 MIPS Tech, LLC Modeless instruction execution with 64/32-bit addressing
US9507595B2 (en) 2014-02-28 2016-11-29 International Business Machines Corporation Execution of multi-byte memory access instruction specifying endian mode that overrides current global endian mode
US9202046B2 (en) 2014-03-03 2015-12-01 Bitdefender IPR Management Ltd. Systems and methods for executing arbitrary applications in secure environments
JP2015184856A (ja) 2014-03-24 2015-10-22 ソニー株式会社 メモリコントローラ、記憶装置、情報処理システム、および、それらにおける制御方法。
US9720661B2 (en) 2014-03-31 2017-08-01 International Businesss Machines Corporation Selectively controlling use of extended mode features
US9483295B2 (en) 2014-03-31 2016-11-01 International Business Machines Corporation Transparent dynamic code optimization
US9218862B1 (en) 2014-04-11 2015-12-22 Altera Corporation Method and apparatus for operating finite-state machines in configurable storage circuits
US10198452B2 (en) 2014-05-30 2019-02-05 Apple Inc. Document tracking for safe save operations
US9384133B2 (en) 2014-05-30 2016-07-05 International Business Machines Corporation Synchronizing updates of page table status indicators and performing bulk operations
JP6162652B2 (ja) 2014-06-20 2017-07-12 株式会社東芝 メモリ管理装置、プログラム、及び方法
US20160019168A1 (en) 2014-07-18 2016-01-21 Qualcomm Incorporated On-Demand Shareability Conversion In A Heterogeneous Shared Virtual Memory
US9535842B2 (en) 2014-08-28 2017-01-03 Oracle International Corporation System and method for performing message driven prefetching at the network interface
US10380026B2 (en) 2014-09-04 2019-08-13 Sandisk Technologies Llc Generalized storage virtualization interface
US9571570B1 (en) 2014-09-24 2017-02-14 Juniper Networks, Inc. Weighted rendezvous hashing
US9928094B2 (en) 2014-11-25 2018-03-27 Microsoft Technology Licensing, Llc Hardware accelerated virtual context switching
US9569243B2 (en) 2014-12-05 2017-02-14 International Business Machines Corporation Offloading and parallelizing translation table operations
US10216757B1 (en) 2014-12-23 2019-02-26 EMC IP Holding Company LLC Managing deletion of replicas of files
US10452317B2 (en) 2014-12-31 2019-10-22 Pure Storage, Inc. DAP redistribution operation within a dispersed storage network
US10656866B2 (en) 2014-12-31 2020-05-19 Pure Storage, Inc. Unidirectional vault synchronization to support tiering
US10126974B2 (en) 2014-12-31 2018-11-13 International Business Machines Corporation Redistributing encoded data slices in a dispersed storage network
US11544214B2 (en) 2015-02-02 2023-01-03 Optimum Semiconductor Technologies, Inc. Monolithic vector processor configured to operate on variable length vectors using a vector length register
US9817664B2 (en) 2015-02-19 2017-11-14 Apple Inc. Register caching techniques for thread switches
US11295202B2 (en) 2015-02-19 2022-04-05 Seagate Technology Llc Storage device with configurable neural networks
GB2536201B (en) * 2015-03-02 2021-08-18 Advanced Risc Mach Ltd Handling address translation requests
US10180908B2 (en) 2015-05-13 2019-01-15 Qualcomm Incorporated Method and apparatus for virtualized control of a shared system cache
US10908909B2 (en) 2015-06-09 2021-02-02 Optimum Semiconductor Technologies Inc. Processor with mode support
US9710393B2 (en) 2015-06-25 2017-07-18 Intel Corporation Dynamic page table edit control
GB2540948B (en) * 2015-07-31 2021-09-15 Advanced Risc Mach Ltd Apparatus with reduced hardware register set
US10019161B2 (en) 2015-08-31 2018-07-10 Sandisk Technologies Llc Out of order memory command fetching
US20170090922A1 (en) 2015-09-30 2017-03-30 Futurewei Technologies, Inc. Efficient Instruction Pair for Central Processing Unit (CPU) Instruction Design
US9858006B1 (en) 2015-10-13 2018-01-02 Xilinx, Inc. Context driven memory circuits
US9767028B2 (en) 2015-10-30 2017-09-19 Advanced Micro Devices, Inc. In-memory interconnect protocol configuration registers
US10346246B2 (en) 2015-11-30 2019-07-09 International Business Machines Corporation Recovering data copies in a dispersed storage network
US10268586B2 (en) 2015-12-08 2019-04-23 Via Alliance Semiconductor Co., Ltd. Processor with programmable prefetcher operable to generate at least one prefetch address based on load requests
US20170177364A1 (en) * 2015-12-20 2017-06-22 Intel Corporation Instruction and Logic for Reoccurring Adjacent Gathers
US10042571B2 (en) 2016-03-31 2018-08-07 Intel Corporation Techniques to provide run-time protections using immutable regions of memory
US10157134B2 (en) 2016-04-11 2018-12-18 International Business Machines Corporation Decreasing the data handoff interval for a reserved cache line based on an early indication of a systemwide coherence response
US10735545B2 (en) 2016-06-06 2020-08-04 International Business Machines Corporation Routing vault access requests in a dispersed storage network
US10380342B2 (en) 2016-07-29 2019-08-13 Qualcomm Incorporated Kernel-based detection of target application functionality using virtual address mapping
US20180067866A1 (en) 2016-09-08 2018-03-08 Intel Corporation Translate on virtual machine entry
US10740146B2 (en) 2017-02-10 2020-08-11 Xilinx, Inc. Migrating virtual machines between compute systems by transmitting programmable logic accelerator state
US10338951B2 (en) 2017-03-01 2019-07-02 Red Hat, Inc. Virtual machine exit support by a virtual machine function
US11275709B2 (en) 2017-05-02 2022-03-15 Intel Corporation Systems and methods for multi-architecture computing
US10558366B2 (en) 2017-11-14 2020-02-11 International Business Machines Corporation Automatic pinning of units of memory
US10592164B2 (en) 2017-11-14 2020-03-17 International Business Machines Corporation Portions of configuration state registers in-memory

Also Published As

Publication number Publication date
JP2021503129A (ja) 2021-02-04
US10761751B2 (en) 2020-09-01
GB2581938B (en) 2020-12-30
GB202008653D0 (en) 2020-07-22
DE112018004364B4 (de) 2021-08-26
US20190146697A1 (en) 2019-05-16
GB2581938A (en) 2020-09-02
JP7190798B2 (ja) 2022-12-16
CN111344789B (zh) 2023-09-05
WO2019097347A1 (en) 2019-05-23
CN111344789A (zh) 2020-06-26

Similar Documents

Publication Publication Date Title
DE112018004384B4 (de) Schützen von arbeitsspeicherinternen konfigurationsstatusregistern
DE112018004364B4 (de) Vereinfachung einer verarbeitung in einer datenverarbeitungsumgebung durch gruppierung eines konfigurationsstatusregisters auf grundlage von funktionaler affinität
DE112018004388T5 (de) Globale speicher- und ladeoperationen von konfigurationsstatusregistern
DE112012003716B4 (de) Erzeugen von kompiliertem Code, der Registeraktivität angibt
DE112018003584B4 (de) Vorhersagen eines inhaltsverzeichnis-zeigerwerts in reaktion auf ein verzweigen auf eine subroutine
DE112018004379B4 (de) Kontextumschaltung durch ändern von arbeitsspeicherzeigern
DE112018000848T5 (de) Registerkontextwiederherstellung auf der Grundlage der Wiedergewinnung von Umbenennungsregistern
DE112018005758T5 (de) Konfigurationsstatusregister auf arbeitsspeichergrundlage
US11579806B2 (en) Portions of configuration state registers in-memory
DE112015001548T5 (de) Partitionsmobilität für Partitionen mit erweitertem Code
US10558366B2 (en) Automatic pinning of units of memory
DE112018003586T5 (de) Instruktion &#34;inhaltsverzeichnis- (toc) register einrichten&#34;
US10552070B2 (en) Separation of memory-based configuration state registers based on groups
US10635602B2 (en) Address translation prior to receiving a storage reference using the address to be translated
US10698686B2 (en) Configurable architectural placement control
DE112018003578T5 (de) Gleichzeitige vorhersage von verzweigungsadressen und aktualisierung des registerinhalts
DE112018003167T5 (de) Dynamische Fusion von einer Erstellung abgeleiteter Werte und einer Vorhersage von abgeleiteten Werten in einer Unterroutinen-Verzweigungsabfolge
DE112018003233T5 (de) Vorhersage von codespezifischen zugehörigen registern

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: G11C0008000000

Ipc: G06F0012000000

R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R084 Declaration of willingness to licence
R020 Patent grant now final