DE102021108872A1 - SEMICONDUCTOR DEVICE AND METHOD - Google Patents

SEMICONDUCTOR DEVICE AND METHOD Download PDF

Info

Publication number
DE102021108872A1
DE102021108872A1 DE102021108872.0A DE102021108872A DE102021108872A1 DE 102021108872 A1 DE102021108872 A1 DE 102021108872A1 DE 102021108872 A DE102021108872 A DE 102021108872A DE 102021108872 A1 DE102021108872 A1 DE 102021108872A1
Authority
DE
Germany
Prior art keywords
dielectric layer
doped
metal
liner
dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102021108872.0A
Other languages
German (de)
Inventor
Kuo-Ju Chen
Shih-Hsiang Chiu
Su-Hao LIU
Liang-Yin Chen
Huicheng Chang
Yee-Chia Yeo
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102021108872A1 publication Critical patent/DE102021108872A1/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/3115Doping the insulating layers
    • H01L21/31155Doping the insulating layers by ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3215Doping the layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76859After-treatment introducing at least one additional element into the layer by ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET

Abstract

Es werden Verfahren zur Verbesserung der Abdichtung zwischen Kontaktsteckern und benachbarten dielektrischen Schichten und dadurch gebildete Halbleiterbauelemente offenbart. In einer Ausführungsform umfasst ein Halbleiterbauelement eine erste dielektrische Schicht über einem leitfähigen Merkmal, wobei ein erster Abschnitt der ersten dielektrischen Schicht einen ersten Dotierstoff umfasst, ein Metallmerkmal, das elektrisch mit dem leitfähigen Merkmal gekoppelt ist, wobei das Metallmerkmal ein erstes Kontaktmaterial in Kontakt mit dem leitfähigen Merkmal umfasst; ein zweites Kontaktmaterial über dem ersten Kontaktmaterial, wobei das zweite Kontaktmaterial ein Material umfasst, das sich von dem ersten Kontaktmaterial unterscheidet, wobei ein erster Abschnitt des zweiten Kontaktmaterials ferner den ersten Dotierstoff umfasst; und eine dielektrische Auskleidung zwischen der ersten dielektrischen Schicht und dem Metallmerkmal, wobei ein erster Abschnitt der dielektrischen Auskleidung den ersten Dotierstoff umfasst.Methods for improving the sealing between contact plugs and adjacent dielectric layers and semiconductor devices formed thereby are disclosed. In one embodiment, a semiconductor device includes a first dielectric layer over a conductive feature, a first portion of the first dielectric layer including a first dopant, a metal feature electrically coupled to the conductive feature, the metal feature including a first contact material in contact with the conductive feature includes; a second contact material over the first contact material, the second contact material comprising a material different than the first contact material, a first portion of the second contact material further comprising the first dopant; and a dielectric liner between the first dielectric layer and the metal feature, wherein a first portion of the dielectric liner includes the first dopant.

Description

PRIORITÄTSANSPRUCH UND QUERVERWEISPRIORITY CLAIM AND CROSS-REFERENCE

Diese Anmeldung beansprucht die Priorität der vorläufigen US-Anmeldung Nr. 63/082,045 , eingereicht am 23. September 2020, die hiermit durch Bezugnahme hierin aufgenommen ist.This application claims priority from U.S. Provisional Application No. 63/082,045 , filed September 23, 2020, which is hereby incorporated herein by reference.

HINTERGRUNDBACKGROUND

Halbleitervorrichtungen (-bauelemente) werden in einer Vielzahl von elektronischen Anwendungen verwendet, wie zum Beispiel PCs, Mobiltelefonen, Digitalkameras und anderen elektronischen Geräten. Halbleiterbauelemente werden typischerweise durch aufeinanderfolgendes Abscheiden von Schichten aus isolierendem bzw. dielektrischem Material, Schichten aus leitfähigem Material und Halbleiterschichten über einem Halbleitersubstrat und Strukturieren der verschiedenen Schichten unter Verwendung von Lithografie zur Bildung von Schaltungskomponenten und Elementen darauf gefertigt.Semiconductor devices (components) are used in a variety of electronic applications, such as personal computers, cell phones, digital cameras, and other electronic devices. Semiconductor devices are typically fabricated by sequentially depositing insulating/dielectric material layers, conductive material layers, and semiconductor layers over a semiconductor substrate and patterning the various layers using lithography to form circuit components and elements thereon.

Die Halbleiterindustrie verbessert kontinuierlich die Integrationsdichte von verschiedenen elektronischen Komponenten (z. B. Transistoren, Dioden, Widerständen, Kondensatoren usw.) durch kontinuierliche Verringerung der minimalen Merkmalgröße, wodurch ermöglicht wird, dass mehr Komponenten auf einer gegebenen Fläche integriert werden können.The semiconductor industry is continually improving the integration density of various electronic components (e.g., transistors, diodes, resistors, capacitors, etc.) by continually reducing the minimum feature size, thereby allowing more components to be integrated in a given area.

Figurenlistecharacter list

Aspekte der vorliegenden Offenbarung werden am besten anhand der folgenden detaillierten Beschreibung verständlich, wenn diese in Verbindung mit den beigefügten Figuren gelesen wird. Es sei noch angemerkt, dass entsprechend der üblichen Branchenpraxis verschiedene Merkmale nicht maßstabsgetreu gezeichnet sind. Tatsächlich können die Abmessungen der verschiedenen Merkmale zur Klarheit der Diskussion beliebig vergrößert oder verkleinert sein.

  • 1 veranschaulicht ein Beispiel eines Halbleiterbauelements mit Fin-Feldeffekttransistoren (FinFETs) in einer dreidimensionalen Ansicht, gemäß einigen Ausführungsformen.
  • 2, 3, 4, 5, 6A, 6B, 7A, 7B, 7C, 8A, 8B, 8C, 9A, 9B, 9C, 10A, 10B, 10C, 10D, 11A, 11B, 12A, 12B, 13A, 13B, 14A, 14B, 14C, 15A, 15B, 15C, 16A, 16B, 16C, 17A, 17B, 17C, 18A, 18B, 18C, 18D, 19A, 19B, 19C, 19D, 19E, 19F, 20A, 20B, 20C, 20D, 21A, 21B, 22A und 22B sind Querschnittsansichten von Zwischenstufen bei dem Herstellen von Halbleiterbauelementen, gemäß einigen Ausführungsformen.
Aspects of the present disclosure are best understood from the following detailed description when read in conjunction with the accompanying figures. It should be noted that, in accordance with standard industry practice, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or decreased for clarity of discussion.
  • 1 1 illustrates an example of a semiconductor device with fin field effect transistors (FinFETs) in a three-dimensional view, according to some embodiments.
  • 2 , 3 , 4 , 5 , 6A , 6B , 7A , 7B , 7C , 8A , 8B , 8C , 9A , 9B , 9C , 10A , 10B , 10C , 10D , 11A , 11B , 12A , 12B , 13A , 13B , 14A , 14B , 14C , 15A , 15B , 15C , 16A , 16B , 16C , 17A , 17B , 17C , 18A , 18B , 18C , 18D , 19A , 19B , 19C , 19D , 19E , 19F , 20A , 20B , 20c , 20D , 21A , 21B , 22A and 22B 12 are cross-sectional views of intermediate stages in the fabrication of semiconductor devices, according to some embodiments.

DETAILLIERTE BESCHREIBUNGDETAILED DESCRIPTION

Die folgende Offenbarung stellt viele unterschiedliche Ausführungsformen bzw. Ausführungsbeispiele zum Implementieren unterschiedlicher Merkmale der Erfindung bereit. Um die vorliegende Offenbarung zu vereinfachen, werden nachstehend konkrete Beispiele für Komponenten und Anordnungen beschrieben. Diese sind natürlich lediglich Beispiele und sollen nicht einschränkend sein. Zum Beispiel kann die Bildung eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung Ausführungsformen umfassen, bei welchen das erste und das zweite Merkmal in direktem Kontakt gebildet werden, und auch Ausführungsformen umfassen, bei welchen zusätzliche Merkmale derart zwischen dem ersten und dem zweiten Merkmal gebildet werden können, dass das erste und das zweite Merkmal möglicherweise nicht in direktem Kontakt sind. Außerdem kann die vorliegende Offenbarung in den verschiedenen Beispielen Bezugszeichen und/oder Buchstaben wiederholen. Diese Wiederholung dient der Einfachheit und Klarheit und gibt an sich keine Beziehung zwischen den verschiedenen erörterten Ausführungsformen und/oder Ausgestaltungen vor.The following disclosure provides many different embodiments for implementing different features of the invention. In order to simplify the present disclosure, specific examples of components and arrangements are described below. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature over or on a second feature in the following description may include embodiments in which the first and second features are formed in face-to-face contact, and also include embodiments in which additional features are so intermediate between the first and the second feature may be formed that the first and second features may not be in direct contact. Also, the present disclosure may repeat reference numbers and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.

Ferner können räumlich relative Begriffe wie „unter“, „unterhalb“, „untere/r/s“, „über“, „obere/r/s“ und dergleichen hier zur Vereinfachung der Beschreibung verwendet werden, um die Beziehung eines Elementes oder Merkmals zu einem anderen Element (anderen Elementen) oder Merkmal(en), wie in den Figuren veranschaulicht, zu beschreiben. Die räumlich relativen Begriffe sollen zusätzlich zu der in den Figuren gezeigten Ausrichtung unterschiedliche Ausrichtungen der Vorrichtung im Gebrauch oder Betrieb umfassen. Der Gegenstand kann anders ausgerichtet sein (um 90 Grad gedreht oder in anderen Ausrichtungen), und die vorliegend verwendeten räumlich relativen Beschreibungen können ebenso entsprechend interpretiert werden.Furthermore, spatially relative terms such as "beneath", "below", "lower", "above", "upper" and the like may be used herein for ease of description to indicate the relationship of an element or feature to other element(s) or feature(s) as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation shown in the figures. The item may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein interpreted accordingly as well.

Verschiedene Ausführungsformen stellen ein Verfahren zur Verbesserung der Grenzflächen zwischen dielektrischen Schichten und Kontakten sowie Halbleiterbauelemente bereit, die durch diese Verfahren gebildet werden. Das Verfahren umfasst Bilden einer Öffnung in einer dielektrischen Schicht, Abscheiden eines ersten Kontaktmaterials in der Öffnung, Abscheiden eines zweiten Kontaktmaterials über dem ersten Kontaktmaterial, um den Kontakt zu bilden, und Durchführen eines Ionenimplantationsprozesses auf der dielektrischen Schicht. Die dielektrische Schicht kann Siliziumoxid, Siliziumnitrid oder dergleichen umfassen; das erste Kontaktmaterial kann Kobalt oder dergleichen umfassen; und das zweite Kontaktmaterial kann Wolfram, Ruthenium oder dergleichen umfassen. Die durch den Ionenimplantationsprozess implantierten Ionen können Germanium, Xenon, Argon, Silizium, Arsen, Stickstoff, Kombinationen davon oder dergleichen umfassen. Das Implantieren der Ionen in die dielektrische Schicht kann dazu führen, dass sich das Volumen der dielektrischen Schicht ausdehnt, wodurch eine Abdichtung zwischen der dielektrischen Schicht und dem zweiten Kontaktmaterial entsteht. Ein Planarisierungsprozess, wie ein chemisch-mechanisches Polieren (CMP), planarisiert die dielektrische Schicht und das zweite Kontaktmaterial. Die Abdichtung verhindert, dass beim Planarisierungsprozess verwendete Chemikalien, wie CMP-Aufschlämmung, zwischen das zweite Kontaktmaterial und die dielektrische Schicht eindringen und Material des ersten Kontaktmaterials entfernen. Dadurch wird die Bildung von Rissen zwischen dem Kontakt und der dielektrischen Schicht reduziert, die Bauelementdefekte verringert und die Bauelementleistung verbessert.Various embodiments provide a method for improving interfaces between dielectric layers and contacts, and semiconductor devices formed by these methods. The method includes forming an opening in a dielectric layer, depositing a first contact material in the opening, depositing a second contact material over the first contact material, around the contact and performing an ion implantation process on the dielectric layer. The dielectric layer may include silicon oxide, silicon nitride, or the like; the first contact material may include cobalt or the like; and the second contact material may include tungsten, ruthenium, or the like. The ions implanted by the ion implantation process may include germanium, xenon, argon, silicon, arsenic, nitrogen, combinations thereof, or the like. The implantation of the ions into the dielectric layer can cause the volume of the dielectric layer to expand, creating a seal between the dielectric layer and the second contact material. A planarization process, such as chemical mechanical polishing (CMP), planarizes the dielectric layer and the second contact material. The seal prevents chemicals used in the planarization process, such as CMP slurry, from penetrating between the second contact material and the dielectric layer and removing material from the first contact material. This reduces the formation of cracks between the contact and the dielectric layer, reduces device defects, and improves device performance.

1 veranschaulicht ein Beispiel für FinFETs gemäß einigen Ausführungsformen. Die FinFETs umfassen Finnen 55 auf einem Substrat 50 (z. B. einem Halbleitersubstrat). Flache Grabenisolationsbereiche (STI-Bereiche - Shallow trench isolation regions) 58 sind im Substrat 50 angeordnet und die Finnen 55 stehen über und zwischen den benachbarten STI-Bereichen 58 hervor. Obwohl die STI-Bereiche 58 als vom Substrat 50 getrennt beschrieben/veranschaulicht sind, kann der Begriff „Substrat“, wie er vorliegend verwendet wird, in Bezug auf ein Halbleitersubstrat allein oder auf ein Halbleitersubstrat mit STI-Bereichen verwendet werden. Zusätzlich können/kann, obwohl die Finnen 55 als ein einziges, mit dem Substrat 50 durchgängiges Material veranschaulicht sind, die Finnen 55 und/oder das Substrat 50 ein einziges Material oder eine Vielzahl von Materialien umfassen. In diesem Zusammenhang beziehen sich die Finnen 55 auf die Abschnitte, die sich zwischen den benachbarten STI-Bereichen 58 erstrecken. 1 12 illustrates an example of FinFETs, according to some embodiments. The FinFETs include fins 55 on a substrate 50 (e.g., a semiconductor substrate). Shallow trench isolation regions (STI) 58 are disposed in substrate 50 and fins 55 protrude over and between adjacent STI regions 58 . Although STI regions 58 are described/illustrated as being separate from substrate 50, the term "substrate" as used herein can be used to refer to a semiconductor substrate alone or to a semiconductor substrate having STI regions. Additionally, although fins 55 are illustrated as a single material continuous with substrate 50, fins 55 and/or substrate 50 may comprise a single material or a plurality of materials. In this context, the fins 55 refer to the portions that extend between the adjacent STI regions 58 .

Gate-Dielektrikum-Schichten 100 befinden sich entlang Seitenwände und über einer oberen Fläche der Finnen 55, und Gate-Elektroden 102 befinden sich über den Gate-Dielektrikum-Schichten 100. Epitaktische Source-/Drain-Bereiche 92 sind auf gegenüberliegenden Seiten der Finnen 55, der Gate-Dielektrikum-Schichten 100 und der Gate-Elektroden 102 angeordnet. 1 veranschaulicht ferner Referenzquerschnitten, die in nachfolgenden Figuren verwendet werden. Die Querschnitte A-A' verläuft entlang einer Längsachse der Gate-Elektrode 102 und in der Richtung, die zum Beispiel senkrecht zur Richtung eines Stromflusses zwischen den epitaktischen Source-/Drain-Bereichen 92 der FinFETs ist. Die Querschnitte B-B' ist senkrecht zur Querschnitte A-A' und verläuft entlang der Längsachse einer Finne 55 und zum Beispiel in einer Richtung des Stromflusses zwischen den epitaktischen Source-/Drain-Bereichen 92 der FinFETs. Der Querschnitt C-C' verläuft parallel zu dem Querschnitt A-A' und erstreckt sich durch die epitaktischen Source-/Drain-Bereiche 92 der FinFETs. Der Klarheit halber nehmen nachfolgende Figuren auf diese Referenzquerschnitten Bezug.Gate dielectric layers 100 are located along sidewalls and over a top surface of fins 55, and gate electrodes 102 are located over gate dielectric layers 100. Source/drain epitaxial regions 92 are on opposite sides of fins 55 , the gate dielectric layers 100 and the gate electrodes 102 are arranged. 1 further illustrates reference cross-sections used in subsequent figures. The cross sections AA′ are along a longitudinal axis of the gate electrode 102 and in the direction perpendicular to the direction of current flow between the epitaxial source/drain regions 92 of the FinFETs, for example. Cross-section BB' is perpendicular to cross-section AA' and runs along the longitudinal axis of a fin 55 and, for example, in a direction of current flow between the epitaxial source/drain regions 92 of the FinFETs. Cross section CC' is parallel to cross section AA' and extends through the epitaxial source/drain regions 92 of the FinFETs. For the sake of clarity, subsequent figures refer to these reference cross-sections.

Einige der hier erörterten Ausführungsformen werden im Zusammenhang mit Fin-Feldeffekttransistoren (FinFETs) erörtert, die mit Gate-Last-Prozessen (Gate-zuletzt-Prozessen) hergestellt werden. In einigen Ausführungsformen kann ein Gate-First-Prozess (Gate-zuerst-Prozess) verwendet werden. Außerdem erwägen einige Ausführungsformen Aspekte, die in planaren Bauelementen (z. B. planaren Feldeffekttransistor), Nanostruktur-Feldeffekttransistoren (z. B. Nanoblatt-, Nanodraht-, Gate-All-Around-Feldeffekttransistoren oder dergleichen) (NSFETs) oder dergleichen verwendet werden.Some of the embodiments discussed herein are discussed in the context of fin field effect transistors (FinFETs) fabricated with gate-last (gate-last) processes. In some embodiments, a gate-first process may be used. Additionally, some embodiments contemplate aspects used in planar devices (e.g., planar field effect transistor), nanostructure field effect transistors (e.g., nanosheet, nanowire, gate all around field effect transistors, or the like) (NSFETs), or the like .

2 bis 22B sind Querschnittsansichten von Zwischenstufen beim Herstellen von FinFETs gemäß einigen Ausführungsformen. 2 bis 5, 6A, 7A, 8A, 9A, 10A, 11A, 12A, 13A, 14A, 15A, 16A, 17A, 18A, 19A, 20A, 21A und 22A sind entlang des in 1 veranschaulichten Referenzquerschnitts A-A' veranschaulicht. 6B, 7B, 8B, 9B, 10B, 11B, 12B, 13B, 14B, 14C, 15B, 16B, 17B, 18B, 18D, 19B, 19D, 20B, 20D, 21B und 22B sind entlang eines ähnlichen, in 1 veranschaulichten Querschnitts B-B' veranschaulicht. 7C, 8C, 9C, 10C und 10D sind entlang des in 1 veranschaulichten Referenzquerschnitts C-C' veranschaulicht. 15C, 16C, 17C, 18C, 19C, 20C, 20E und 20F sind Ansichten von oben nach unten. 2 until 22B 12 are cross-sectional views of intermediate stages in manufacturing FinFETs, according to some embodiments. 2 until 5 , 6A , 7A , 8A , 9A , 10A , 11A , 12A , 13A , 14A , 15A , 16A , 17A , 18A , 19A , 20A , 21A and 22A are along the in 1 illustrated reference cross-section AA'. 6B , 7B , 8B , 9B , 10B , 11B , 12B , 13B , 14B , 14C , 15B , 16B , 17B , 18B , 18D , 19B , 19D , 20B , 20D , 21B and 22B are along a similar, in 1 illustrated cross-section BB'. 7C , 8C , 9C , 10C and 10D are along the in 1 illustrated reference cross-section CC'. 15C , 16C , 17C , 18C , 19C , 20c , 20E and 20F are top-down views.

In 2 wird ein Substrat 50 bereitgestellt. Das Substrat 50 kann ein Halbleitersubstrat sein, wie ein Volumenhalbleiter, ein Halbleiter-auf-Isolator-Substrat (SOI-Substrat- Semiconductor on Insulator substrate) oder dergleichen, welches dotiert (z. B. mit einem p- oder einem n-Dotierstoff) oder undotiert sein kann. Das Substrat 50 kann ein Wafer sein, wie ein Siliziumwafer. Im Allgemeinen ist ein SOI-Substrat eine Schicht aus einem Halbleitermaterial, die auf einer Isolationsschicht gebildet wird. Die Isolationsschicht kann zum Beispiel eine vergrabene Oxidschicht (BOX-Schicht - buried oxide layer), eine Siliziumoxidschicht oder dergleichen sein. Die Isolationsschicht wird auf einem Substrat, typischerweise einem Silizium- oder Glassubstrat, bereitgestellt. Andere Substrate, wie ein mehrschichtiges oder ein Gradientensubstrat, können ebenfalls verwendet werden. In einigen Ausführungsformen kann das Halbleitermaterial des Substrats 50 Silizium; Germanium; einen Verbindungshalbleiter, einschließlich Siliziumcarbid, Galliumarsenid, Galliumphosphid, Indiumphosphid, Indiumarsenid und/oder Indiumantimonid; einen Legierungshalbleiter, einschließlich Siliziumgermanium, Galliumarsenidphosphid, Aluminiumindiumarsenid, Aluminiumgalliumarsenid, Galliumindiumarsenid, Galliumindiumphosphid und/oder Galliumindiumarsenidphosphid; oder Kombinationen davon umfassen.In 2 a substrate 50 is provided. The substrate 50 may be a semiconductor substrate, such as a bulk semiconductor, a semiconductor on insulator (SOI) substrate, or the like, which is doped (e.g., with a p-type or an n-type dopant). or may be undoped. The substrate 50 can be a wafer, such as a silicon wafer. In general, an SOI substrate is a layer of semiconductor material formed on an insulating layer. The insulating layer can be a buried one, for example Oxide layer (BOX layer - buried oxide layer), a silicon oxide layer or the like. The insulating layer is provided on a substrate, typically a silicon or glass substrate. Other substrates such as a multilayer or a gradient substrate can also be used. In some embodiments, the semiconductor material of the substrate 50 may be silicon; germanium; a compound semiconductor including silicon carbide, gallium arsenide, gallium phosphide, indium phosphide, indium arsenide and/or indium antimonide; an alloy semiconductor including silicon germanium, gallium arsenide phosphide, aluminum indium arsenide, aluminum gallium arsenide, gallium indium arsenide, gallium indium phosphide and/or gallium indium arsenide phosphide; or combinations thereof.

Das Substrat 50 hat einen Bereich 50N und einen Bereich 50P. Der Bereich 50N kann dem Bilden von Bauelementen vom n-Typ dienen, wie NMOS-Transistoren, z. B. n-FinFETs. Der Bereich 50P kann dem Bilden von Bauelementen vom p-Typ dienen, wie PMOS-Transistoren, z. B. p-FinFETs. Der Bereich 50N kann physisch von dem Bereich 50P getrennt sein (wie durch den Teiler 51 veranschaulicht), und eine beliebige Anzahl von Bauelementmerkmalen (z. B. andere aktive Bauelemente, dotierte Bereiche, Isolationsstrukturen usw.) können zwischen dem Bereich 50N und dem Bereich 50P angeordnet sein.The substrate 50 has a region 50N and a region 50P. Region 50N may be used to form n-type devices such as NMOS transistors, e.g. B. n-FinFETs. Region 50P may be used to form p-type devices such as PMOS transistors, e.g. B. p-FinFETs. Region 50N may be physically separate from region 50P (as illustrated by divider 51), and any number of device features (e.g., other active devices, doped regions, isolation structures, etc.) may be located between region 50N and region 50P can be arranged.

In 3 werden Finnen 55 in dem Substrat 50 gebildet. Die Finnen 55 sind Halbleiterstreifen. In einigen Ausführungsformen können die Finnen 55 durch Ätzen von Gräben in das Substrat 50 in dem Substrat 50 gebildet werden. Das Ätzen kann ein beliebiges annehmbares Ätzprozess sein, wie reaktives Ionenätzen (RIE - reactive ion etch), Neutralstrahlätzen (NBE - Neutral Beam Etch) oder dergleichen oder Kombinationen davon. Die Ätzung kann anisotrop sein.In 3 fins 55 are formed in the substrate 50 . The fins 55 are semiconductor strips. In some embodiments, the fins 55 may be formed in the substrate 50 by etching trenches into the substrate 50 . The etch may be any acceptable etch process, such as reactive ion etch (RIE), neutral beam etch (NBE), or the like, or combinations thereof. The etch can be anisotropic.

Die Finnen 55 können durch ein beliebiges geeignetes Verfahren strukturiert werden. Die Finnen 55 können zum Beispiel unter Verwendung eines oder mehrerer Fotolithografieprozesse strukturiert werden, einschließlich Doppel- oder Mehrfachstrukturierungsprozesse. Im Allgemeinen sind bei Doppel- oder Mehrfachstrukturierungsprozessen Fotolithografie- und selbstausrichtende Prozesse kombiniert, was die Herstellung von Strukturen mit kleineren Abmessungen ermöglicht als zum Beispiel unter Verwendung eines einzigen direkten Fotolithografieprozesses erreichbar ist. Zum Beispiel wird in einer Ausführungsform eine Opferschicht über einem Substrat gebildet und unter Verwendung eines Fotolithografieprozesses strukturiert. Abstandshalter werden unter Verwendung eines selbstausrichtenden Prozesses neben der strukturierten Opferschicht gebildet. Die Opferschicht wird dann entfernt, und die verbleibenden Abstandshalter können dann verwendet werden, um die Finnen 55 zu strukturieren. In einigen Ausführungsformen kann die Maske (oder andere Schicht) auf den Finnen 55 verbleiben.The fins 55 can be patterned by any suitable method. For example, the fins 55 may be patterned using one or more photolithographic processes, including double or multiple patterning processes. Generally, dual or multiple patterning processes combine photolithographic and self-aligned processes, allowing for the fabrication of structures with smaller dimensions than achievable, for example, using a single direct photolithographic process. For example, in one embodiment, a sacrificial layer is formed over a substrate and patterned using a photolithography process. Spacers are formed next to the patterned sacrificial layer using a self-aligned process. The sacrificial layer is then removed and the remaining spacers can then be used to pattern the fins 55. In some embodiments, the mask (or other layer) may remain on the fins 55.

In 4 werden flache Grabenisolationsbereiche (STI-Bereiche) 58 benachbart zu den Finnen 55 gebildet. Die STI-Bereiche 58 können durch Bilden eines Isolationsmaterials (nicht separat veranschaulicht) über dem Substrat 50 und zwischen benachbarten Finnen 55 gebildet werden. Das Isolationsmaterial kann ein Oxid wie Siliziumoxid, ein Nitrid oder dergleichen oder eine Kombination davon sein und kann durch eine chemische Gasphasenabscheidung mit einem Plasma hoher Dichte (HDP-CVD), eine fließfähige CVD (FCVD) (z. B. eine CVD-basierte Materialabscheidung in einem Remote-Plasmasystem mit Nach-Aushärten zur Umwandlung des abgeschiedenen Materials in ein anderes Material wie ein Oxid) oder dergleichen oder eine Kombination davon gebildet werden. Andere Isolationsmaterialien, die durch einen beliebigen annehmbaren Prozess gebildet werden, können verwendet werden. In der veranschaulichten Ausführungsform ist das Isolationsmaterial Siliziumoxid, das durch einen FCVD-Prozess gebildet wird. Nachdem das Isolationsmaterial gebildet ist, kann ein Temperprozess durchgeführt werden. In einigen Ausführungsformen ist das Isolationsmaterial derart gebildet, dass überschüssiges Isolationsmaterial die Finnen 55 bedeckt. Das Isolationsmaterial kann eine einzige Schicht umfassen oder mehrere Schichten verwenden. Zum Beispiel kann in einigen Ausführungsformen zuerst eine Auskleidung (nicht separat veranschaulicht) entlang der Flächen des Substrats 50 und der Finnen 55 gebildet werden. Danach kann ein Füllmaterial, wie die vorstehend erörterten, über der Auskleidung gebildet werden.In 4 shallow trench isolation (STI) regions 58 are formed adjacent to fins 55 . STI regions 58 may be formed by forming an insulating material (not separately illustrated) over substrate 50 and between adjacent fins 55 . The insulating material may be an oxide such as silicon oxide, a nitride, or the like, or a combination thereof, and may be deposited by high-density plasma chemical vapor deposition (HDP-CVD), flowable CVD (FCVD) (e.g., CVD-based material deposition in a remote plasma system with post-curing to convert the deposited material to another material such as an oxide) or the like, or a combination thereof. Other insulation materials formed by any acceptable process can be used. In the illustrated embodiment, the insulating material is silicon oxide formed by an FCVD process. After the insulating material is formed, an annealing process can be performed. In some embodiments, the insulation material is formed such that excess insulation material covers the fins 55 . The insulation material may comprise a single layer or use multiple layers. For example, in some embodiments, a liner (not separately illustrated) may be formed along the surfaces of the substrate 50 and the fins 55 first. Thereafter, a fill material such as those discussed above may be formed over the liner.

Dann wird ein Entfernungsprozess auf das Isolationsmaterial angewendet, um überschüssiges Isolationsmaterial über den Finnen 55 zu entfernen. In einigen Ausführungsformen kann ein Planarisierungsprozess, wie chemisch-mechanisches Polieren (CMP), ein Rückätzprozess, Kombinationen davon oder dergleichen, verwendet werden. Der Planarisierungsprozess kann das Isolationsmaterial und die Finnen 55 planarisieren. Der Planarisierungsprozess legt die Finnen 55 derart frei, dass die oberen Flächen der Finnen 55 und des Isolationsmaterials bündig sind, nachdem der Planarisierungsprozess beendet ist.A removal process is then applied to the insulation material to remove excess insulation material over the fins 55 . In some embodiments, a planarization process such as chemical mechanical polishing (CMP), an etch back process, combinations thereof, or the like may be used. The planarization process can planarize the insulating material and the fins 55 . The planarization process exposes the fins 55 such that the top surfaces of the fins 55 and the insulating material are flush after the planarization process is complete.

Das Isolationsmaterial wird dann vertieft, um die STI-Bereiche 58 zu bilden, wie in 4 veranschaulicht. Das Isolationsmaterial ist derart vertieft, dass obere Abschnitte der Finnen 55 und des Substrats 50 zwischen benachbarten STI-Bereichen 58 hervorstehen. Ferner können die oberen Flächen der STI-Bereiche 58 flache Flächen wie veranschaulicht, konvexe Flächen, konkave Flächen (beispielsweise durch Muldenbildung (Dishing)) oder eine Kombination davon aufweisen. Die oberen Flächen der STI-Bereiche 58 können durch eine angemessene Ätzung flach, konvex und/oder konkav gebildet werden. Die STI-Bereiche 58 können unter Verwendung eines annehmbaren Ätzprozesses vertieft werden, wie eines Ätzprozesses, der gegenüber dem Material des Isolationsmaterials selektiv ist (der z. B. das Material des Isolationsmaterials mit einer schnelleren Rate ätzt als das Material der Finnen 55 und der Substrat 50). Es kann zum Beispiel eine Oxidentfernung verwendet werden, die zum Beispiel verdünnte Fluorwasserstoffsäure (dHF) verwendet.The insulating material is then recessed to form the STI regions 58 as shown in FIG 4 illustrated. The insulating material is recessed such that top portions of the fins 55 and substrate 50 protrude between adjacent STI regions 58 . Furthermore, the upper surfaces of the STI regions 58 can have flat surfaces as illustrated, convex surfaces, concave surfaces (e.g., by dishing), or a combination thereof. The top surfaces of the STI regions 58 can be formed flat, convex, and/or concave by an appropriate etch. The STI regions 58 may be deepened using any acceptable etch process, such as an etch process that is selective to the material of the insulating material (e.g., which etches the material of the insulating material at a faster rate than the material of the fins 55 and the substrate 50). For example, oxide removal using, for example, dilute hydrofluoric acid (dHF) may be used.

Der mit Bezug auf 2 bis 4 beschriebene Prozess stellt lediglich ein Beispiel dafür dar, wie die Finnen 55 gebildet werden können. In einigen Ausführungsformen können die Finnen 55 durch einen epitaktischen Aufwachsprozess gebildet werden. Zum Beispiel kann eine dielektrische Schicht über einer oberen Fläche des Substrats 50 gebildet werden und Gräben können durch die dielektrische Schicht geätzt werden, um das darunterliegende Substrat 50 freizulegen. Homoepitaktische Strukturen können in den Gräben epitaktisch aufgewachsen werden und die dielektrische Schicht kann derart vertieft werden, dass die homoepitaktischen Strukturen so aus der dielektrischen Schicht hervorstehen, dass sie Finnen bilden. Zusätzlich können bei einigen Ausführungsformen heteroepitaktische Strukturen für die Finnen 55 verwendet werden. Zum Beispiel können die Finnen 55 in 4 vertieft werden und ein Material, das verschieden von den Finnen 55 ist, kann über den vertieften Finnen 55 epitaktisch aufgewachsen werden. In solchen Ausführungsformen umfassen die Finnen 55 das vertiefte Material sowie das über dem vertieften Material angeordnete epitaktisch aufgewachsene Material. In einigen Ausführungsformen kann eine dielektrische Schicht über einer oberen Fläche des Substrats 50 gebildet werden und Gräben können durch die dielektrische Schicht geätzt werden. Heteroepitaktische Strukturen können dann unter Verwendung eines Materials, das von dem Substrat 50 verschieden ist, in den Gräben epitaktisch aufgewachsen werden und die dielektrische Schicht kann derart vertieft werden, dass die heteroepitaktischen Strukturen so aus der dielektrischen Schicht hervorstehen, dass sie die Finnen 55 bilden. In einigen Ausführungsformen, in denen homoepitaktische oder heteroepitaktische Strukturen epitaktisch aufgewachsen werden, können die epitaktisch aufgewachsenen Materialien während des Aufwachsens in situ dotiert werden, wodurch vorherige und nachfolgende Implantationen vermieden werden können, In-situ- und Implantationsdotierung können jedoch auch zusammen verwendet werden.The one related to 2 until 4 The process described is just one example of how the fins 55 may be formed. In some embodiments, the fins 55 may be formed by an epitaxial growth process. For example, a dielectric layer can be formed over a top surface of substrate 50 and trenches can be etched through the dielectric layer to expose underlying substrate 50 . Homoepitaxial structures can be epitaxially grown in the trenches and the dielectric layer can be deepened such that the homoepitaxial structures protrude from the dielectric layer to form fins. Additionally, heteroepitaxial structures may be used for the fins 55 in some embodiments. For example, the fins can be 55 in 4 recessed and a material other than the fins 55 may be epitaxially grown over the recessed fins 55. In such embodiments, the fins 55 include the recessed material and the epitaxially grown material disposed over the recessed material. In some embodiments, a dielectric layer may be formed over a top surface of substrate 50 and trenches may be etched through the dielectric layer. Heteroepitaxial structures can then be epitaxially grown in the trenches using a material different from the substrate 50 and the dielectric layer can be recessed such that the heteroepitaxial structures protrude from the dielectric layer to form the fins 55 . In some embodiments where homoepitaxial or heteroepitaxial structures are epitaxially grown, the epitaxially grown materials may be in situ doped during growth, thereby avoiding prior and subsequent implants, but in situ and implant doping may also be used together.

Noch ferner kann es vorteilhaft sein, in dem Bereich 50N (z. B. einem NMOS-Bereich) ein anderes Material als das Material im Bereich 50P (z. B. einem PMOS-Bereich) epitaktisch aufzuwachsen. In einigen Ausführungsformen können obere Abschnitte der Finnen 55 aus Siliziumgermanium (SixGe1-x, wobei x im Bereich von 0 bis 1 liegen kann), Siliziumcarbid, reinem oder im Wesentlichen reinem Germanium, einem III-V-Verbindungshalbleiter, einem II-VI-Verbindungshalbleiter oder dergleichen gebildet werden. Die verfügbare Materialien zum Bilden von III-V-Verbindungshalbleitern umfassen, sind aber nicht beschränkt auf, zum Beispiel Indiumarsenid, Aluminiumarsenid, Galliumarsenid, Indiumphosphid, Galliumnitrid, Indiumgalliumarsenid, Indiumaluminiumarsenid, Galliumantimonid, Aluminiumantimonid, Aluminiumphosphid, Galliumphosphid und dergleichen.Still further, it may be advantageous to epitaxially grow a different material in region 50N (e.g., an NMOS region) than the material in region 50P (e.g., a PMOS region). In some embodiments, upper portions of the fins 55 may be made of silicon germanium (Si x Ge 1-x , where x can range from 0 to 1), silicon carbide, pure or substantially pure germanium, a III-V compound semiconductor, a II- VI compound semiconductors or the like can be formed. Materials available for forming III-V compound semiconductors include, but are not limited to, for example, indium arsenide, aluminum arsenide, gallium arsenide, indium phosphide, gallium nitride, indium gallium arsenide, indium aluminum arsenide, gallium antimonide, aluminum antimonide, aluminum phosphide, gallium phosphide, and the like.

Ferner können in 4 angemessene Wannen (nicht separat veranschaulicht) in den Finnen 55 und/oder dem Substrat 50 gebildet werden. In einigen Ausführungsformen kann eine p-Wanne in dem Bereich 50N und eine n-Wanne in dem Bereich 50P gebildet werden. In einigen Ausführungsformen werden sowohl im n-Bereich 50N als auch im p-Bereich 50P eine p-Wanne oder eine n-Wanne gebildet.Furthermore, in 4 appropriate wells (not separately illustrated) may be formed in fins 55 and/or substrate 50. In some embodiments, a p-well may be formed in region 50N and an n-well in region 50P. In some embodiments, a p-well or an n-well is formed in both the n-type region 50N and the p-type region 50P.

In Ausführungsformen mit unterschiedlichen Wannentypen können unterschiedliche Implantationsschritte für den n-Bereich 50N und den p-Bereich 50P unter Verwendung eines Fotolacks oder anderer Masken (nicht separat veranschaulicht) erzielt werden. Zum Beispiel kann ein Fotolack über den Finnen 55 und den STI-Bereichen 58 in dem Bereich 50N gebildet werden. Der Fotolack wird strukturiert, um den Bereich 50P des Substrats 50, wie einen PMOS-Bereich, freizulegen. Der Fotolack kann unter Verwendung einer Aufschleudertechnik gebildet und unter Verwendung von annehmbaren Fotolithografietechniken strukturiert werden. Sobald der Fotolack strukturiert ist, wird eine n-Fremdstoffimplantation in dem Bereich 50P durchgeführt und der Fotolack kann als Maske dienen, die im Wesentlichen verhindert, dass n-Fremdstoffe in den Bereich 50N, wie einen NMOS-Bereich, implantiert werden. Die n-Fremdstoffen können Phosphor, Arsen, Antimon oder dergleichen sein, die im Bereich mit einer Konzentration von gleich oder weniger als 1 x 1018 Atome/cm3, wie zwischen etwa 1 × 1016 Atome/cm3 und etwa 1 × 1018 Atome/cm3, implantiert werden. Nach der Implantation wird der Fotolack entfernt, beispielsweise durch einen annehmbaren Veraschungsprozess.In embodiments with different well types, different implantation steps for the n-region 50N and the p-region 50P can be achieved using a photoresist or other masks (not illustrated separately). For example, a photoresist may be formed over fins 55 and STI regions 58 in region 50N. The photoresist is patterned to expose area 50P of substrate 50, such as a PMOS area. The photoresist can be formed using a spin-on technique and patterned using acceptable photolithographic techniques. Once the photoresist is patterned, an n-type impurity implantation is performed in region 50P and the photoresist can serve as a mask that substantially prevents n-type impurities from being implanted in region 50N, such as an NMOS region. The n-type impurities may be phosphorus, arsenic, antimony, or the like, ranging in concentration equal to or less than 1 x 10 18 atoms/cm 3 , such as between about 1 x 10 16 atoms/cm 3 and about 1 x 10 18 atoms/cm 3 . After implantation, the photoresist is removed, for example by an acceptable ashing process.

Im Anschluss an die Implantation des Bereichs 50P wird ein Fotolack über den Finnen 55 und den STI-Bereichen 58 in dem Bereich 50P gebildet. Der Fotolack wird strukturiert, um den Bereich 50N des Substrats 50, wie den NMOS-Bereich, freizulegen. Der Fotolack kann unter Verwendung einer Aufschleudertechnik gebildet und unter Verwendung von annehmbaren Fotolithografietechniken strukturiert werden. Sobald der Fotolack strukturiert ist, kann eine p-Fremdstoffimplantation in dem Bereich 50N durchgeführt werden und der Fotolack kann als Maske dienen, die im Wesentlichen verhindert, dass p-Fremdstoffe in den Bereich 50P, wie einen PMOS-Bereich, implantiert werden. Die p-Fremdstoffen können Bor, Borfluorid, Indium oder dergleichen sein, die in dem Bereich mit einer Konzentration von gleich oder weniger als 1 × 1018 Atome/cm3, wie zwischen etwa 1 × 1016 Atome/cm3 und etwa 1 × 1018 Atome/cm3, implantiert werden. Nach der Implantation kann der Fotolack entfernt werden, beispielsweise durch einen annehmbaren Veraschungsprozess.Following the implantation of region 50P, a photoresist is formed over fins 55 and STI regions 58 in region 50P. The photoresist is patterned to expose area 50N of substrate 50, such as the NMOS area increase The photoresist can be formed using a spin-on technique and patterned using acceptable photolithographic techniques. Once the photoresist is patterned, a p-type impurity implantation can be performed in region 50N and the photoresist can serve as a mask that substantially prevents p-type impurities from being implanted in region 50P, such as a PMOS region. The p-type impurities may be boron, boron fluoride, indium, or the like, contained in the range at a concentration equal to or less than 1×10 18 atoms/cm 3 , such as between about 1×10 16 atoms/cm 3 and about 1×10 16 atoms/cm 3 10 18 atoms/cm 3 . After implantation, the photoresist can be removed, for example by an acceptable ashing process.

Nach den Implantationen des Bereichs 50N und des Bereichs 50P kann ein Temperschritt durchgeführt werden, um Implantationsschäden zu reparieren und die implantierten p- und/oder n-Fremdstoffe zu aktivieren. In einigen Ausführungsformen können die aufgewachsenen Materialien epitaktischer Finnen während des Aufwachsens in situ dotiert werden, wodurch die Implantationen vermieden werden können, In-situ- und Implantationsdotierung können jedoch auch zusammen verwendet werden.After the region 50N and region 50P implants, an annealing step may be performed to repair implant damage and activate the implanted p-type and/or n-type impurities. In some embodiments, the grown materials of epitaxial fins can be in situ doped during growth, which can avoid the implants, but in situ and implant doping can also be used together.

In 5 werden auf den Finnen 55 und dem Substrat 50 dielektrische Dummy-Schichten 60 gebildet. Die dielektrische Dummy-Schichten 60 kann zum Beispiel Siliziumoxid, Siliziumnitrid, eine Kombination davon oder dergleichen sein und kann gemäß einer annehmbaren Technik abgeschieden oder thermisch aufgewachsen werden. Eine Dummy-Gate-Schicht 62 wird über der dielektrischen Dummy-Schichten 60 gebildet, und eine Maskenschicht 64 wird über der Dummy-Gate-Schicht 62 gebildet. Die Dummy-Gate-Schicht 62 kann über den dielektrischen Dummy-Schichten 60 abgeschieden und dann durch einen Prozess wie CMP planarisiert werden. Die Maskenschicht 64 kann über der Dummy-Gate-Schicht 62 abgeschieden werden. Die Dummy-Gate-Schicht 62 kann aus leitfähigen oder nicht leitfähigen Materialien bestehen und kann aus einer Gruppe ausgewählt sein, die amorphes Silizium, polykristallines Silizium (Polysilizium), polykristallines Silizium-Germanium (Poly-SiGe), metallische Nitride, metallische Silizide, metallische Oxide und Metalle umfasst. Die Dummy-Gate-Schicht 62 kann durch physikalische Gasphasenabscheidung (PVD - physical vapor deposition), CVD, Sputterabscheidung oder andere im Stand der Technik bekannte und zum Abscheiden eines ausgewählten Materials verwendete Techniken abgeschieden werden. Die Dummy-Gate-Schicht 62 kann aus anderen Materialien hergestellt werden, welche eine höhere Ätzselektivität als vom Material der STI-Bereiche 58 aufweisen. Die Maskenschicht 64 kann zum Beispiel Siliziumnitrid, Siliziumoxynitrid oder dergleichen umfassen. In diesem Beispiel werden eine einzelne Dummy-Gate-Schicht 62 und eine einzelne Maskenschicht 64 über den Bereichen 50N und 50P gebildet. Es sei angemerkt, dass die dielektrische Dummy-Schichten 60 lediglich zu Veranschaulichungszwecken derart gezeigt sind, dass sie nur die Finnen 55 und das Substrat 50 bedeckt. In einigen Ausführungsformen kann die dielektrische Dummy-Schichten 60 derart abgeschieden werden, dass die dielektrische Dummy-Schicht 60 die STI-Bereiche 58 bedeckt, wobei sie sich zwischen der Dummy-Gate-Schicht 62 und den STI-Bereichen 58 erstreckt.In 5 dummy dielectric layers 60 are formed on the fins 55 and the substrate 50 . The dummy dielectric layer 60 may be, for example, silicon oxide, silicon nitride, a combination thereof, or the like, and may be deposited or thermally grown according to any acceptable technique. A dummy gate layer 62 is formed over dummy dielectric layers 60 and a mask layer 64 is formed over dummy gate layer 62 . The dummy gate layer 62 may be deposited over the dummy dielectric layers 60 and then planarized by a process such as CMP. Mask layer 64 may be deposited over dummy gate layer 62 . The dummy gate layer 62 can be made of conductive or non-conductive materials and can be selected from a group consisting of amorphous silicon, polycrystalline silicon (polysilicon), polycrystalline silicon germanium (poly-SiGe), metallic nitrides, metallic silicides, metallic includes oxides and metals. The dummy gate layer 62 may be deposited by physical vapor deposition (PVD), CVD, sputter deposition, or other techniques known in the art and used to deposit a selected material. The dummy gate layer 62 may be fabricated from other materials that have a higher etch selectivity than the STI region 58 material. The mask layer 64 may include, for example, silicon nitride, silicon oxynitride, or the like. In this example, a single dummy gate layer 62 and a single mask layer 64 are formed over regions 50N and 50P. It should be noted that the dummy dielectric layers 60 are shown covering only the fins 55 and the substrate 50 for purposes of illustration only. In some embodiments, dummy dielectric layer 60 may be deposited such that dummy dielectric layer 60 covers STI regions 58 , extending between dummy gate layer 62 and STI regions 58 .

6A bis 22B veranschaulichen verschiedene zusätzliche Schritte beim Herstellen von Bauelementen gemäß Ausführungsform. 6A bis 22B veranschaulichen Merkmale entweder im Bereich 50N oder im Bereich 50P. Zum Beispiel können die in 6A bis 22B veranschaulichten Strukturen sowohl auf den Bereich 50N als auch auf den Bereich 50P anwendbar sein. Unterschiede zwischen den Strukturen des Bereichs 50N und denen des Bereichs 50P werden (sofern vorhanden) in dem Text beschrieben, der jede Figur begleitet. 6A until 22B 12 illustrate various additional steps in manufacturing devices according to embodiments. 6A until 22B illustrate features in either the 50N range or the 50P range. For example, the in 6A until 22B illustrated structures may be applicable to both region 50N and region 50P. Differences between the structures of area 50N and those of area 50P (if any) are described in the text that accompanies each figure.

In 6A und 6B kann die Maskenschicht 64 (siehe 5) unter Verwendung annehmbarer Fotolithografie- und Ätztechniken strukturiert werden, um Masken 74 zu bilden. Eine annehmbare Ätztechnik kann verwendet werden, um die Struktur der Masken 74 auf die Dummy-Gate-Schicht 62 zu übertragen, um Dummy-Gates 72 zu bilden. In einigen Ausführungsformen kann die Struktur der Masken 74 auch auf die dielektrischen Dummy-Schichten 60 übertragen werden. Die Dummy-Gates 72 bedecken jeweilige Kanalbereiche 68 der Finnen 55. Die Struktur der Masken 74 kann verwendet werden, um jedes der Dummy-Gates 72 physisch von benachbarten Dummy-Gates zu trennen. Die Dummy-Gates 72 können auch eine Längsrichtung aufweisen, die im Wesentlichen senkrecht zur Längsrichtung der jeweiligen Finnen 55 verläuft. Die dielektrischen Dummy-Schichten 60, die Dummy-Gates 72 und die Masken 74 können zusammen als „Dummy-Gate-Stapel“ bezeichnet werden.In 6A and 6B the mask layer 64 (see 5 ) may be patterned to form masks 74 using acceptable photolithography and etching techniques. An acceptable etching technique can be used to transfer the pattern of the masks 74 to the dummy gate layer 62 to form dummy gates 72. FIG. In some embodiments, the structure of the masks 74 can also be transferred to the dummy dielectric layers 60 . The dummy gates 72 cover respective channel regions 68 of the fins 55. The structure of the masks 74 can be used to physically separate each of the dummy gates 72 from adjacent dummy gates. The dummy gates 72 can also have a longitudinal direction that runs substantially perpendicular to the longitudinal direction of the respective fins 55 . The dummy dielectric layers 60, dummy gates 72, and masks 74 may collectively be referred to as a "dummy gate stack."

In 7A bis 7C werden eine erste Abstandshalterschicht 80 und eine zweite Abstandshalterschicht 82 über den in 6A und 6B veranschaulichten Strukturen gebildet. In 7A bis 7C wird die erste Abstandshalterschicht 80 auf der oberen Flächen der STI-Bereiche 58, der oberen Flächen und Seitenwänden der Finnen 55 und der Masken 74 sowie der Seitenwänden der Dummy-Gates 72 und der dielektrischen Dummy-Schichten 60 gebildet. Die zweite Abstandshalterschicht 82 wird über der ersten Abstandshalterschicht 80 abgeschieden. Die erste Abstandshalterschicht 80 kann durch thermische Oxidation gebildet oder durch CVD, ALD oder dergleichen abgeschieden werden. Die erste Abstandshalterschicht 80 kann aus Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid oder dergleichen gebildet werden. Die zweite Abstandshalterschicht 82 kann durch CVD, ALD oder dergleichen abgeschieden werden. Die zweite Abstandshalterschicht 82 kann aus Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid oder dergleichen gebildet werden.In 7A until 7C a first spacer layer 80 and a second spacer layer 82 over the in 6A and 6B illustrated structures formed. In 7A until 7C For example, first spacer layer 80 is formed on top surfaces of STI regions 58, top surfaces and sidewalls of fins 55 and masks 74, and sidewalls of dummy gates 72 and dummy dielectric layers 60. FIG. The second spacer layer 82 is deposited over the first spacer layer 80 . The first spacer layer 80 can be formed by thermal oxidation or by CVD, ALD or the like are deposited. The first spacer layer 80 can be formed of silicon oxide, silicon nitride, silicon oxynitride, or the like. The second spacer layer 82 can be deposited by CVD, ALD, or the like. The second spacer layer 82 can be formed of silicon oxide, silicon nitride, silicon oxynitride, or the like.

In 8A bis 8C werden die erste Abstandshalterschicht 80 und die zweite Abstandshalterschicht 82 geätzt, um erste Abstandshalter 81 und zweite Abstandshalter 83 zu bilden. Die erste Abstandshalterschicht 80 und die zweite Abstandshalterschicht 82 können unter Verwendung eines geeigneten Ätzprozess geätzt werden, wie eines anisotropen Ätzprozess (z. B. eines Trockenätzprozesses) oder dergleichen. Die ersten Abstandhalter 81 und die zweiten Abstandhalter 83 können an den Seitenwänden der Finnen 55, den dielektrischen Dummy-Schichten 60, den Dummy-Gates 72 und den Masken 74 angeordnet sein. Die ersten Abstandshalter 81 und die zweiten Abstandshalter 83 können aufgrund der Ätzprozesse, die zum Ätzen der ersten Abstandshalterschicht 80 und der zweiten Abstandshalterschicht 82 verwendet werden, unterschiedliche Höhen in der Nähe der Finnen 55 und der Dummy-Gate-Stapel sowie unterschiedliche Höhen der Finnen 55 und der Dummy-Gate-Stapel aufweisen. Wie in 8B und 8C veranschaulicht, können sich in einigen Ausführungsformen die ersten Abstandhalter 81 und die zweiten Abstandhalter 83 teilweise an den Seitenwänden der Finnen 55 und der Dummy-Gate-Stapel hochziehen. In einigen Ausführungsformen können sich die ersten Abstandhalter 81 und die zweiten Abstandhalter 83 bis zu oberen Flächen der Dummy-Gate-Stapel erstrecken.In 8A until 8C the first spacer layer 80 and the second spacer layer 82 are etched to form first spacers 81 and second spacers 83 . The first spacer layer 80 and the second spacer layer 82 may be etched using any suitable etch process, such as an anisotropic etch process (e.g., a dry etch process) or the like. The first spacers 81 and the second spacers 83 may be arranged on the sidewalls of the fins 55, the dummy dielectric layers 60, the dummy gates 72 and the masks 74. FIG. The first spacers 81 and the second spacers 83 may have different heights near the fins 55 and the dummy gate stacks as well as different heights of the fins 55 due to the etching processes used to etch the first spacer layer 80 and the second spacer layer 82 and the dummy gate stack. As in 8B and 8C As illustrated, in some embodiments, the first spacers 81 and the second spacers 83 may partially pull up on the sidewalls of the fins 55 and dummy gate stacks. In some embodiments, the first spacers 81 and the second spacers 83 may extend to top surfaces of the dummy gate stacks.

Nachdem die ersten Abstandhalter 81 und die zweiten Abstandhalter 83 gebildet ist, können Implantationen für schwach dotierte Source-/Drain-Bereiche (LDD-Bereiche - lightly doped source/drain regions) (nicht separat veranschaulicht) durchgeführt werden. In Ausführungsformen mit unterschiedlichen Bauelementtypen kann, ähnlich wie bei den vorstehend in 4 erörterten Implantationen, eine Maske, wie ein Fotolack, über dem Bereich 50N gebildet werden, während der Bereich 50P freigelegt wird, und Fremdstoffe des angemessenen Typs (z. B. p-Typ) können in die freigelegten Finnen 55 und das Substrat 50 im Bereich 50P implantiert werden. Die Maske kann dann entfernt werden. Anschließend kann eine Maske, wie ein Fotolack, über dem Bereich 50P gebildet werden, während der Bereich 50N freigelegt wird, und Fremdstoffe des angemessenen Typs (z. B. n-Typ) können in die freigelegten Finnen 55 und das Substrat 50 im Bereich 50N implantiert werden. Die Maske kann dann entfernt werden. Die n-Fremdstoffe können beliebige der zuvor erörterten n-Fremdstoffe sein, und die p-Fremdstoffe können beliebige der zuvor erörterten p-Fremdstoffe sein. Die schwach dotierten Source-/Drain-Bereiche können eine Konzentration von Fremdstoffen von etwa 1 × 1015 Atome/cm3 bis etwa 1 × 1019 Atome/cm3 aufweisen. Ein Temperschritt kann verwendet werden, um Implantationsschäden zu reparieren und die implantierten Fremdstoffe zu aktivieren.After the first spacers 81 and the second spacers 83 are formed, implantations for lightly doped source/drain regions (LDD regions) (not illustrated separately) can be performed. In embodiments with different component types, similar to the above in 4 discussed implantations, a mask, such as photoresist, may be formed over region 50N while region 50P is exposed, and impurities of the appropriate type (e.g., p-type) may enter the exposed fins 55 and substrate 50 in region 50N 50P are implanted. The mask can then be removed. A mask, such as photoresist, can then be formed over region 50P while exposing region 50N, and impurities of the appropriate type (e.g., n-type) can enter the exposed fins 55 and substrate 50 in region 50N to be implanted. The mask can then be removed. The n-type impurities can be any of the n-type impurities previously discussed, and the p-type impurities can be any of the p-type impurities previously discussed. The lightly doped source/drain regions may have an impurity concentration of about 1×10 15 atoms/cm 3 to about 1×10 19 atoms/cm 3 . An anneal step can be used to repair implant damage and activate the implanted impurities.

Es sei angemerkt, dass die vorstehende Offenbarung einen Prozess zum Bilden von Abstandshaltern und LDD-Bereichen allgemein beschreibt. Andere Prozesse und Abfolgen können verwendet werden. Es können zum Beispiel weniger oder zusätzliche Abstandshalter verwendet werden, es kann eine andere Schrittabfolge verwendet werden (z. B. kann es die ersten Abstandshalter 81 vor dem Bilden der zweiten Abstandshalterschicht 83 gebildet werden, kann es zusätzliche Abstandshalter gebildet und entfernt werden und/oder dergleichen). Außerdem können die Bauelemente vom n-Typ und p-Typ unter Verwendung unterschiedlicher Strukturen und Schritte gebildet werden.It should be noted that the above disclosure generally describes a process for forming spacers and LDD regions. Other processes and sequences can be used. For example, fewer or additional spacers may be used, a different sequence of steps may be used (e.g., the first spacers 81 may be formed prior to forming the second spacer layer 83, additional spacers may be formed and removed, and/or the like). Also, the n-type and p-type devices can be formed using different structures and steps.

In 9A bis 9C werden das Substrat 50 und die Finnen 55 geätzt, um erste Vertiefungen 86 zu bilden. Wie in 9C veranschaulicht, können die oberen Flächen der STI-Bereiche 58 mit der oberen Flächen der Finnen 55 bündig sein. In einigen Ausführungsformen sind untere Flächen der ersten Vertiefungen 86 oberhalb oder unterhalb der oberen Flächen der STI-Bereiche 58 angeordnet. Die Substrate 50/Finnen 55 werden unter Verwendung anisotroper Ätzprozessen, wie RIE, NBE oder dergleichen, geätzt. Die ersten Abstandhalter 81, die zweiten Abstandhalter 83 und die Masken 74 maskieren Abschnitte des Substrats 50/Finnen 55 während der Ätzprozesse, die zur Bildung der ersten Vertiefungen 86 verwendet werden. Ein einzelner Ätzprozess oder mehrere Ätzprozesse können verwendet werden, um die ersten Vertiefungen 86 zu bilden. Zeitgesteuerte Ätzprozesse können verwendet werden, um das Ätzen der ersten Vertiefungen 86 zu stoppen, nachdem die ersten Vertiefungen 86 eine gewünschte Tiefe erreichen.In 9A until 9C the substrate 50 and the fins 55 are etched to form first recesses 86 . As in 9C As illustrated, the top surfaces of the STI regions 58 may be flush with the top surfaces of the fins 55 . In some embodiments, bottom surfaces of first depressions 86 are located above or below top surfaces of STI regions 58 . The substrates 50/fins 55 are etched using anisotropic etching processes such as RIE, NBE or the like. The first spacers 81, the second spacers 83 and the masks 74 mask portions of the substrate 50/fins 55 during the etch processes used to form the first recesses 86. FIG. A single etch process or multiple etch processes may be used to form the first recesses 86 . Timed etch processes may be used to stop etching the first pits 86 after the first pits 86 reach a desired depth.

In 10A bis 10D werden in den ersten Vertiefungen 86 epitaktische Source-/Drain-Bereiche 92 gebildet, um Beanspruchung auf die Kanalbereiche 68 der Finnen 55 auszuüben und dadurch die Leistung zu verbessern. Wie in 10B veranschaulicht ist, werden die epitaktischen Source-/Drain-Bereiche 92 in den ersten Vertiefungen 86 derart gebildet, dass jedes Dummy-Gate 72 zwischen jeweiligen benachbarten Paaren der epitaktischen Source-/Drain-Bereiche 92 angeordnet ist. In einigen Ausführungsformen werden die ersten Abstandshalter 81 dazu verwendet, die epitaktischen Source-/Drain-Bereiche 92 um einen angemessenen seitlichen Abstand von den Dummy-Gates 72 zu trennen, sodass die epitaxialen Source-/Drain-Bereiche 92 nicht mit den Gates der resultierenden FinFETs kurzgeschlossen sind, die anschließend gebildet werden.In 10A until 10D For example, epitaxial source/drain regions 92 are formed in the first recesses 86 to place stress on the channel regions 68 of the fins 55 and thereby improve performance. As in 10B As illustrated, the source/drain epitaxial regions 92 are formed in the first recesses 86 such that each dummy gate 72 is disposed between respective adjacent pairs of source/drain epitaxial regions 92 . In some embodiments, the first spacers 81 are used to separate the source/drain epitaxial regions 92 by an appropriate lateral width distance from the dummy gates 72 so that the epitaxial source/drain regions 92 are not shorted to the gates of the resulting FinFETs that are subsequently formed.

Die epitaktischen Source-/Drain-Bereiche 92 im Bereich 50N, z. B. dem NMOS-Bereich, können durch Maskieren des Bereichs 50P, z. B. des PMOS-Bereichs, gebildet werden. Dann werden die epitaktischen Source-/Drain-Bereiche 92 in den ersten Vertiefungen 86 epitaktisch aufgewachsen. Die epitaktischen Source-/Drain-Bereiche 92 können ein beliebiges annehmbares Material umfassen, wie ein für n-FinFETs angemessenes. Wenn zum Beispiel die Finnen 55 Silizium sind, können die epitaktischen Source-/Drain-Bereiche 92 Materialien umfassen, die eine Zugbeanspruchung auf die zweiten Nanostrukturen 55 ausüben, wie Silizium, Siliziumcarbid, phosphordotiertes Siliziumcarbid, Siliziumphosphid oder dergleichen. Die epitaktischen Source-/Drain-Bereiche 92 können Flächen aufweisen, die von jeweiligen Flächen der Finnen 55 erhaben sind, und können Facetten aufweisen.The epitaxial source/drain regions 92 in region 50N, e.g. the NMOS region, by masking the region 50P, e.g. B. the PMOS area are formed. Then the epitaxial source/drain regions 92 are epitaxially grown in the first recesses 86 . The source/drain epitaxial regions 92 may comprise any acceptable material, such as that appropriate for n-type FinFETs. For example, when the fins 55 are silicon, the epitaxial source/drain regions 92 may include materials that apply tensile stress to the second nanostructures 55, such as silicon, silicon carbide, phosphorus-doped silicon carbide, silicon phosphide, or the like. Epitaxial source/drain regions 92 may have surfaces raised from respective surfaces of fins 55 and may have facets.

Die epitaktischen Source-/Drain-Bereiche 92 im Bereich 50P, z. B. dem PMOS-Bereich, können durch Maskieren des Bereichs 50N, z. B. des NMOS-Bereichs, gebildet werden. Dann werden die epitaktischen Source-/Drain-Bereiche 92 in den ersten Vertiefungen 86 epitaktisch aufgewachsen. Die epitaktischen Source-/Drain-Bereiche 92 können ein beliebiges annehmbares Material umfassen, wie ein für p-NSFETs angemessenes. Wenn zum Beispiel die Finnen 55 Silizium sind, können die epitaktischen Source-/Drain-Bereiche 92 Materialien umfassen, die eine Druckbeanspruchung auf die zweiten Nanostrukturen 55 ausübt, wie Siliziumgermanium, bordotiertes Siliziumgermanium, Germaniumzinn oder dergleichen. Die epitaktischen Source-/Drain-Bereiche 92 können auch Flächen aufweisen, die von jeweiligen Flächen der Finnen 55 erhaben sind, und können Facetten aufweisen.The epitaxial source/drain regions 92 in region 50P, e.g. the PMOS region, by masking the region 50N, e.g. B. the NMOS area are formed. Then the epitaxial source/drain regions 92 are epitaxially grown in the first recesses 86 . The source/drain epitaxial regions 92 may comprise any acceptable material, such as that appropriate for p-type NSFETs. For example, when the fins 55 are silicon, the epitaxial source/drain regions 92 may include materials that apply compressive stress to the second nanostructures 55, such as silicon germanium, boron-doped silicon germanium, germanium tin, or the like. The epitaxial source/drain regions 92 may also have surfaces raised from respective surfaces of the fins 55 and may have facets.

Die epitaktischen Source-/Drain-Bereiche 92, die Finnen 55 und/oder das Substrat 50 können mit Dotierstoffen implantiert werden, um Source-/Drain-Bereiche zu bilden, ähnlich dem zuvor erörterten Prozess zum Bilden schwach dotierter Source-/Drain-Bereiche, gefolgt von einem Temperschritt. Die Source-/Drain-Bereiche können eine Fremdstoffskonzentration zwischen etwa 1 × 1019 Atome/cm3 und etwa 1 × 1021 Atomen/cm3 aufweisen. Die n- und/oder p-Fremdstoffe für Source-/Drain-Bereiche können beliebige der zuvor erörterten Fremdstoffe sein. In einigen Ausführungsformen können die epitaktischen Source-/Drain-Bereiche 92 während des Aufwachsens in situ dotiert werden.The epitaxial source/drain regions 92, fins 55 and/or substrate 50 may be implanted with dopants to form source/drain regions similar to the process for forming lightly doped source/drain regions previously discussed , followed by an annealing step. The source/drain regions may have an impurity concentration between about 1×10 19 atoms/cm 3 and about 1×10 21 atoms/cm 3 . The n- and/or p-type impurities for source/drain regions can be any of the impurities previously discussed. In some embodiments, the source/drain epitaxial regions 92 may be in situ doped during growth.

Als Ergebnis der Epitaxieprozesse, die zur Bildung der epitaktischen Source-/Drain-Bereiche 92 in dem Bereich 50N und dem Bereich 50P verwendet werden, weisen obere Oberflächen der epitaktischen Source-/Drain-Bereiche 92 Facetten auf, die sich seitlich nach außen über die Seitenwände der Finnen 55 hinweg erstrecken. In einigen Ausführungsformen führen diese Facetten dazu, dass benachbarte epitaktische Source-/Drain-Bereiche 92 desselben FinFET zusammenwachsen, wie durch 10C veranschaulicht. In einigen Ausführungsformen bleiben die benachbarten epitaxialen Source-/Drain-Bereiche 92 getrennt, nachdem der Epitaxieprozess beendet ist, wie durch 10D veranschaulicht ist. In 10C und 10D veranschaulichten Ausführungsformen können die ersten Abstandhalter 81 so gebildet werden, dass sie Abschnitte der Seitenwände der Finnen 55 bedecken, die sich über die STI-Bereiche 58 erstrecken und dadurch das epitaktische Aufwachsen blockieren. In einigen Ausführungsformen kann die Abstandshalterätzung, die zur Bildung der ersten Abstandshalter 81 verwendet wird, angepasst werden, um das Abstandshaltermaterial zu entfernen, um zu ermöglichen, dass sich der epitaktisch aufgewachsene Bereich bis zur Fläche des STI-Bereichs 58 erstreckt.As a result of the epitaxial processes used to form epitaxial source/drain regions 92 in region 50N and region 50P, top surfaces of epitaxial source/drain regions 92 have facets that extend laterally outward over the Side walls of the fins 55 extend away. In some embodiments, these facets cause adjacent source/drain epitaxial regions 92 of the same FinFET to grow together, as through 10C illustrated. In some embodiments, the adjacent source/drain epitaxial regions 92 remain separate after the epitaxial growth process is complete, as illustrated by FIG 10D is illustrated. In 10C and 10D In the illustrated embodiments, the first spacers 81 may be formed to cover portions of the sidewalls of the fins 55 that extend over the STI regions 58, thereby blocking epitaxial growth. In some embodiments, the spacer etch used to form the first spacers 81 may be adjusted to remove the spacer material to allow the epitaxially grown region to extend to the surface of the STI region 58 .

Die epitaktischen Source-/Drain-Bereiche 92 können eine oder mehrere Halbleitermaterialschichten umfassen. Zum Beispiel können die epitaktischen Source-/Drain-Bereiche 92 eine erste Halbleitermaterialschicht 92A, eine zweite Halbleitermaterialschicht 92B und eine dritte Halbleitermaterialschicht 92C umfassen. Eine beliebige Anzahl von Halbleitermaterialschichten kann für die epitaktischen Source-/Drain-Bereiche 92 verwendet werden. Jede der ersten Halbleitermaterialschicht 92A, der zweiten Halbleitermaterialschicht 92B und der dritten Halbleitermaterialschicht 92C kann aus unterschiedlichen Halbleitermaterialien gebildet werden und/oder auf unterschiedliche Dotierstoffkonzentrationen dotiert werden. In einigen Ausführungsformen kann die erste Halbleitermaterialschicht 92A eine geringere Dotierstoffkonzentration als die zweite Halbleitermaterialschicht 92B und eine höhere Dotierstoffkonzentration als die dritte Halbleitermaterialschicht 92C aufweisen. In Ausführungsformen, in denen die epitaktischen Source-/Drain-Bereiche 92 drei Halbleitermaterialschichten umfassen, kann die erste Halbleitermaterialschicht 92A abgeschieden werden, kann die zweite Halbleitermaterialschicht 92B über der ersten Halbleitermaterialschicht 92A abgeschieden werden und kann die dritte Halbleitermaterialschicht 92C über der zweiten Halbleitermaterialschicht 92B abgeschieden werden.The epitaxial source/drain regions 92 may include one or more layers of semiconductor material. For example, the source/drain epitaxial regions 92 may include a first semiconductor material layer 92A, a second semiconductor material layer 92B, and a third semiconductor material layer 92C. Any number of semiconductor material layers can be used for the source/drain epitaxial regions 92 . Each of the first semiconductor material layer 92A, the second semiconductor material layer 92B, and the third semiconductor material layer 92C may be formed from different semiconductor materials and/or doped to different dopant concentrations. In some embodiments, the first semiconductor material layer 92A may have a lower dopant concentration than the second semiconductor material layer 92B and a higher dopant concentration than the third semiconductor material layer 92C. In embodiments where the epitaxial source/drain regions 92 include three layers of semiconductor material, the first layer of semiconductor material 92A may be deposited, the second layer of semiconductor material 92B may be deposited over the first layer of semiconductor material 92A, and the third layer of semiconductor material 92C may be deposited over the second layer of semiconductor material 92B will.

In 11A und 11B wird ein erstes Zwischenschichtdielektrikum (ILD - Interlayer Dielectric) 96 über der in 10A bzw. 10B veranschaulichten Struktur abgeschieden. Das erste ILD 96 kann aus einem dielektrischen Material gebildet werden und kann durch ein beliebiges geeignetes Verfahren abgeschieden werden, wie durch CVD, plasmaunterstützte CVD (PECVD) oder FCVD. Dielektrische Materialien können Phosphorsilikatglas (PSG), Borsilikatglas (BSG), bordotiertes Phosphorsilikatglas (BPSG), undotiertes Silikatglas (USG) oder dergleichen umfassen. In einigen Ausführungsformen können die dielektrischen Materialien für die erste ILD 96 Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid oder dergleichen umfassen. Andere Isolationsmaterialien, die durch einen beliebigen annehmbaren Prozess gebildet werden, können verwendet werden. In einigen Ausführungsformen ist eine Kontaktätzstoppschicht (CESL - Contact Etch Stop Layer) 94 zwischen dem ersten ILD 96 und den epitaktischen Source-/Drain-Bereichen 92, den Masken 74 und den ersten Abstandshalter 81 angeordnet. Die CESL 94 kann ein dielektrisches Material wie Siliziumnitrid, Siliziumoxid, Siliziumoxynitrid oder dergleichen umfassen, das eine unterschiedliche Ätzrate aufweist als das Material des darüberliegenden ersten ILD 96. In einigen Ausführungsformen kann die erste ILD 96 aus Siliziumoxid oder Siliziumnitrid und die CESL 94 aus Siliziumoxid oder Siliziumnitrid gebildet werden.In 11A and 11B a first interlayer dielectric (ILD) 96 over the in 10A or. 10B illustrated structure deposited. The first ILD 96 may be formed from a dielectric material and can be deposited by any suitable method, such as CVD, plasma-enhanced CVD (PECVD), or FCVD. Dielectric materials may include phosphosilicate glass (PSG), borosilicate glass (BSG), boron-doped phosphosilicate glass (BPSG), undoped silicate glass (USG), or the like. In some embodiments, the dielectric materials for the first ILD 96 may include silicon oxide, silicon nitride, silicon oxynitride, or the like. Other insulation materials formed by any acceptable process can be used. In some embodiments, a contact etch stop layer (CESL) 94 is disposed between the first ILD 96 and the source/drain epitaxial regions 92 , the masks 74 , and the first spacers 81 . The CESL 94 may comprise a dielectric material such as silicon nitride, silicon oxide, silicon oxynitride, or the like that has a different etch rate than the overlying first ILD 96 material silicon nitride are formed.

In 12A und 12B kann ein Planarisierungsprozess, wie ein CMP, durchgeführt werden, um zu bewirken, dass die obere Fläche des ersten ILD 96 bündig mit den oberen Flächen der Dummy-Gates 72 oder der Masken 74 ist. Der Planarisierungsprozess kann auch die Masken 74 auf den Dummy-Gates 72 und Abschnitte der ersten Abstandshalter 81 entlang von Seitenwänden der Masken 74 entfernen. Nach dem Planarisierungsprozess sind die oberen Flächen der Dummy-Gates 72, der ersten Abstandshalter 81 und des ersten ILD 96 bündig. Dementsprechend werden die oberen Flächen der Dummy-Gates 72 durch das erste ILD 96 freigelegt. In einigen Ausführungsformen können die Masken 74 verbleiben, wobei in diesem Fall der Planarisierungsprozess bewirkt, dass die obere Fläche des ersten ILD 96 bündig mit der oberen Fläche der Masken 74 und der ersten Abstandshalter 81 ist.In 12A and 12B For example, a planarization process, such as CMP, may be performed to cause the top surface of the first ILD 96 to be flush with the top surfaces of the dummy gates 72 or the masks 74. The planarization process may also remove the masks 74 on the dummy gates 72 and portions of the first spacers 81 along sidewalls of the masks 74. FIG. After the planarization process, the top surfaces of the dummy gates 72, the first spacers 81 and the first ILD 96 are flush. Accordingly, the top surfaces of the dummy gates 72 are exposed through the first ILD 96 . In some embodiments, masks 74 may remain, in which case the planarization process causes the top surface of first ILD 96 to be flush with the top surface of masks 74 and first spacers 81 .

In 13A und 13B werden die Dummy-Gates 72 und die Masken 74, falls vorhanden, in (einem) Ätzschritt(en) entfernt, wodurch eine zweite Vertiefung 98 gebildet werden. Abschnitte der dielektrischen Dummy-Schichten 60 in den zweiten Vertiefungen 98 können ebenfalls entfernt werden. In einigen Ausführungsformen werden nur die Dummy-Gates 72 entfernt und die dielektrischen Dummy-Schichten 60 verbleiben und werden durch die zweiten Vertiefungen 98 freigelegt. In einigen Ausführungsformen werden die dielektrischen Dummy-Schichten 60 aus zweiten Vertiefungen 98 in einem ersten Bereich eines Dies (z. B. einem Kernlogikbereich) entfernt und verbleiben in zweiten Vertiefungen 98 in einem zweiten Bereich des Dies (z. B. einem Eingabe-/Ausgabebereich). In einigen Ausführungsformen werden die Dummy-Gates 72 durch einen anisotropen Trockenätzprozess entfernt. Der Ätzprozess kann zum Beispiel einen Trockenätzprozess unter Verwendung eines reaktiven Gases bzw. reaktiver Gase umfassen, die die Dummy-Gates 72 mit einer schnelleren Rate als das erste ILD 96 oder die ersten Abstandshalter 81 ätzen. Jede zweite Vertiefung 98 legt einen Kanalbereich 68 einer jeweiligen Finne 55 frei und/oder deckt diesen über. Jeder Kanalbereich 68 ist zwischen benachbarten Paaren der epitaktischen Source-/Drain-Bereiche 92 angeordnet. Während der Entfernung kann die dielektrische Dummy-Schicht 60 als Ätzstoppschicht beim Ätzen der Dummy-Gates 72 verwendet werden. Die dielektrische Dummy-Schicht 60 kann optional nach dem Entfernen der Dummy-Gates 72 entfernt werden.In 13A and 13B the dummy gates 72 and the masks 74, if present, are removed in an etch step(s), whereby a second recess 98 is formed. Portions of the dummy dielectric layers 60 in the second recesses 98 may also be removed. In some embodiments, only the dummy gates 72 are removed and the dummy dielectric layers 60 remain and are exposed through the second recesses 98 . In some embodiments, the dummy dielectric layers 60 are removed from second recesses 98 in a first area of a die (e.g., a core logic area) and remain in second recesses 98 in a second area of the die (e.g., an input/output area). output area). In some embodiments, the dummy gates 72 are removed by an anisotropic dry etch process. The etch process may include, for example, a dry etch process using a reactive gas(es) that etch the dummy gates 72 at a faster rate than the first ILD 96 or the first spacers 81 . Each second depression 98 exposes and/or covers a channel region 68 of a respective fin 55 . Each channel region 68 is located between adjacent pairs of epitaxial source/drain regions 92 . During removal, the dummy dielectric layer 60 can be used as an etch stop layer when etching the dummy gates 72 . The dummy dielectric layer 60 can optionally be removed after removing the dummy gates 72 .

In 14A und 14B werden Gate-Dielektrikum-Schichten 100 und Gate-Elektroden 102 für Ersatz-Gates gebildet. 14C veranschaulicht eine Detailansicht des Bereichs 103 aus 14B. Die Gate-Dielektrikum-Schichten 100 können durch Abscheiden einer oder mehrerer Schichten in den zweiten Vertiefungen 98 gebildet werden, z. B. auf den oberen Flächen und Seitenwänden der Finnen 55 und der ersten Abstandhalter 81 und auf den oberen Flächen der STI-Bereiche 58, der ersten ILD 96, der CESL 94 und der zweiten Abstandhalter 83. Die Gate-Dielektrikum-Schichten 100 können eine oder mehrere Schichten aus Siliziumoxid, Siliziumnitrid, Metalloxiden, Metallsilikaten oder dergleichen umfassen. In einigen Ausführungsformen umfassen die Gate-Dielektrikum-Schichten 100 zum Beispiel eine Grenzflächenschicht aus durch thermische oder chemische Oxidation gebildetem Siliziumoxid und ein darüberliegendes dielektrisches Material mit hohem k-Wert, wie ein Metalloxid oder Silikat aus Hafnium, Aluminium, Zirkonium, Lanthan, Mangan, Barium, Titan, Blei und Kombinationen davon oder dergleichen. Die Gate-Dielektrikum-Schichten 100 können eine dielektrische Schicht mit einem k-Wert von mehr als etwa 7,0 aufweisen. Die Gate-Dielektrikum-Schichten 100 können durch Molekularstrahlabscheidung (MBD), ALD, PECVD oder dergleichen abgeschieden werden. In Ausführungsformen, in denen Abschnitte der dielektrischen Dummy-Schicht 60 in den zweiten Vertiefungen 98 verbleiben, können die Gate-Dielektrikum-Schichten 100 ein Material der dielektrischen Dummy-Schicht 60 (z. B. SiO2) umfassen.In 14A and 14B gate dielectric layers 100 and gate electrodes 102 are formed for replacement gates. 14C Figure 10 illustrates a detailed view of area 103 14B . The gate dielectric layers 100 may be formed by depositing one or more layers in the second recesses 98, e.g. B. on the top surfaces and sidewalls of the fins 55 and the first spacers 81 and on the top surfaces of the STI regions 58, the first ILD 96, the CESL 94 and the second spacers 83. The gate dielectric layers 100 can a or multiple layers of silicon oxide, silicon nitride, metal oxides, metal silicates, or the like. In some embodiments, the gate dielectric layers 100 include, for example, an interface layer of silicon oxide formed by thermal or chemical oxidation and an overlying high-k dielectric material, such as a metal oxide or silicate of hafnium, aluminum, zirconium, lanthanum, manganese, barium, titanium, lead, and combinations thereof, or the like. Gate dielectric layers 100 may include a dielectric layer having a k value greater than about 7.0. The gate dielectric layers 100 may be deposited by molecular beam deposition (MBD), ALD, PECVD, or the like. In embodiments where portions of the dummy dielectric layer 60 remain in the second recesses 98, the gate dielectric layers 100 may comprise a dummy dielectric layer 60 material (eg, SiO 2 ).

Die Gate-Elektroden 102 werden auf den Gate-Dielektrikum-Schichten 100 abgeschieden und füllen die restlichen Abschnitte der zweiten Vertiefungen 98. Die Gate-Elektroden 102 können ein metallhaltiges Material wie Titannitrid, Titanoxid, Tantalnitrid, Tantalcarbid, Kobalt, Ruthenium, Aluminium, Wolfram, Kombinationen davon oder Mehrfachschichten daraus umfassen. Zum Beispiel können, obwohl in 14B eine Gate-Elektrode 102 mit einer Einzelschicht veranschaulicht, die Gate-Elektroden 102 eine beliebige Anzahl von Auskleidungsschichten 102A, eine beliebige Anzahl von die Austrittsarbeit einstellenden Schichten 102B und ein Füllmaterial 102C umfassen, wie durch 14C veranschaulicht. Nach dem Füllen der zweiten Vertiefungen 98 wird ein Planarisierungsprozess, wie ein CMP, durchgeführt, um überschüssige Abschnitte der Gate-Dielektrikum-Schichten 100 und der Gate-Elektroden 102 zu entfernen, deren überschüssigen Abschnitte über der oberen Flächen des ersten ILD 96 liegen. Die verbleibenden Abschnitte der Gate-Elektroden 102 und der Gate-Dielektrikum-Schichten 100 bilden Ersatz-Gates der resultierenden FinFETs. Die Gate-Elektroden 102 und die Gate-Dielektrikum-Schichten 100 können gemeinsam als „Gatestapel“ bezeichnet werden. Die Gatestapel können sich entlang der Seitenwände der Kanalbereiche 68 der Finnen 55 erstrecken.The gate electrodes 102 are deposited on the gate dielectric layers 100 and fill the remaining portions of the second recesses 98. The gate electrodes 102 can be a metal-containing material such as titanium nitride, titanium oxide, tantalum nitride, tantalum carbide, cobalt, ruthenium, aluminum, tungsten , combinations thereof or more layers of it. For example, although in 14B FIG. 12 illustrates a single layer gate electrode 102, the gate electrodes 102 include any number of liner layers 102A, any number of work function adjusting layers 102B, and a fill material 102C, as illustrated by FIG 14C illustrated. After filling the second recesses 98, a planarization process, such as a CMP, is performed to remove excess portions of the gate dielectric layers 100 and the gate electrodes 102, which excess portions overlie the top surface of the first ILD 96. The remaining portions of the gate electrodes 102 and the gate dielectric layers 100 form substitute gates of the resulting FinFETs. The gate electrodes 102 and the gate dielectric layers 100 may collectively be referred to as a “gate stack”. The gate stacks can extend along the sidewalls of the channel regions 68 of the fins 55 .

Die Bildung der Gate-Dielektrikum-Schichten 100 in den Bereichen 50N und 50P kann gleichzeitig erfolgen so, dass die Gate-Dielektrikum-Schichten 100 in jedem Bereich aus den gleichen Materialien gebildet werden. Die Bildung der Gate-Elektroden 102 kann gleichzeitig erfolgen so, dass die Gate-Elektroden 102 in jedem Bereich aus den gleichen Materialien gebildet werden. In einigen Ausführungsformen können die Gate-Dielektrikum-Schichten 100 in jedem Bereich durch unterschiedliche Prozesse derart gebildet werden, dass die Gate-Dielektrikum-Schichten 100 aus unterschiedlichen Materialien sein können. Die Gate-Elektroden 102 in jedem Bereich können durch unterschiedliche Prozesse derart gebildet werden, dass die Gate-Elektroden 102 aus unterschiedlichen Materialien sein können. Wenn unterschiedliche Prozesse verwendet werden, können verschiedene Maskierungsschritte verwendet werden, um angemessene Bereiche zu maskieren bzw. freizulegen.The formation of the gate dielectric layers 100 in regions 50N and 50P may occur simultaneously such that the gate dielectric layers 100 in each region are formed from the same materials. The formation of the gate electrodes 102 can be done simultaneously such that the gate electrodes 102 are formed from the same materials in each region. In some embodiments, the gate dielectric layers 100 may be formed by different processes in each region such that the gate dielectric layers 100 may be of different materials. The gate electrodes 102 in each region can be formed by different processes such that the gate electrodes 102 can be made of different materials. If different processes are used, different masking steps can be used to mask/uncover appropriate areas.

In 15A bis 15C werden die erste ILD 96 und die CESL 94 geätzt, um dritte Vertiefungen 104 zu bilden, die Oberflächen der epitaktischen Source-/Drain-Bereiche 92 freilegen. Die dritte Vertiefung kann unter Verwendung annehmbarer Fotolithografie- und Ätztechniken gebildet werden. Das Ätzen kann ein beliebiger annehmbarer Ätzprozess sein, wie reaktives Ionenätzen (RIE - reactive ion etching), Neutralstrahlätzen (NBE - neutral beam etching) oder eine Kombination davon. Das Ätzen kann anisotrop sein.In 15A until 15C the first ILD 96 and the CESL 94 are etched to form third recesses 104 that expose surfaces of the epitaxial source/drain regions 92. FIG. The third recess can be formed using acceptable photolithographic and etching techniques. The etching can be any acceptable etching process, such as reactive ion etching (RIE), neutral beam etching (NBE), or a combination thereof. Etching can be anisotropic.

In 16A bis 16C wird in den dritten Vertiefungen 104 eine Auskleidung 106, wie eine Diffusionssperrschicht, eine Haftschicht oder dergleichen, gebildet. Die Auskleidung 106 kann Titan, Titannitrid, Tantal, Tantalnitrid, Siliziumnitrid oder dergleichen umfassen. Die Auskleidung 106 kann durch ein konformes Verfahren, wie CVD, ALD oder dergleichen, abgeschieden werden. Die Auskleidung 106 kann entlang der oberen Flächen der Gate-Elektroden 102, der Gate-Dielektrikum-Schichten 100, der ersten Abstandshalter 81, der zweiten Abstandshalter 83 und der epitaktischen Source-/Drain-Bereiche 92 sowie entlang der oberen Flächen und Seitenwände der ersten ILD 96 und der CESL 94 abgeschieden werden. Die Auskleidung 106 kann dann mit einem geeigneten Ätzprozess geätzt werden, wie einem anisotropen Ätzprozess (z. B. einem Trockenätzprozess) oder dergleichen, um laterale Abschnitte der Auskleidung 106 zu entfernen und Oberflächen der epitaktischen Source-/Drain-Bereiche 92 freizulegen. Durch das Ätzen der Auskleidung 106 können ferner Abschnitte der Auskleidung 106 oberhalb der oberen Flächen der Gate-Elektroden 102, der Gate-Dielektrikum-Schichten 100, der ersten Abstandhalter 81, der zweiten Abstandhalter 83, der ersten ILD 96 und der CESL 94 entfernt werden. Die Auskleidung 106 kann eine Dicke im Bereich von etwa 1 nm bis etwa 2 nm haben.In 16A until 16C a liner 106 such as a diffusion barrier layer, an adhesive layer or the like is formed in the third depressions 104 . The liner 106 may include titanium, titanium nitride, tantalum, tantalum nitride, silicon nitride, or the like. The liner 106 may be deposited by a conformal process such as CVD, ALD, or the like. The liner 106 may be along the top surfaces of the gate electrodes 102, the gate dielectric layers 100, the first spacers 81, the second spacers 83, and the epitaxial source/drain regions 92, as well as along the top surfaces and sidewalls of the first ILD 96 and the CESL 94 are deposited. The liner 106 may then be etched with a suitable etch process, such as an anisotropic etch process (e.g., a dry etch process) or the like, to remove lateral portions of the liner 106 and expose surfaces of the epitaxial source/drain regions 92 . Etching the liner 106 may also remove portions of the liner 106 over the top surfaces of the gate electrodes 102, the gate dielectric layers 100, the first spacers 81, the second spacers 83, the first ILD 96, and the CESL 94 . The liner 106 may have a thickness ranging from about 1 nm to about 2 nm.

In 17Abis 17C wird ein erstes Kontaktmaterial 108 in den dritten Vertiefungen 104 über den epitaktischen Source-/Drain-Bereichen 92 und der Auskleidung 106 gebildet. Das erste Kontaktmaterial 108 kann ein leitfähiges Material sein, wie Kobalt (Co), Wolfram (W), Ruthenium (Ru), Kupfer (Cu), Molybdän (Mo), Kombinationen davon oder dergleichen. Das erste Kontaktmaterial 108 kann durch einen Abscheidungsprozess wie Sputtern, chemische Gasphasenabscheidung, Atomlagenabscheidung, galvanische Abscheidung, stromlose Abscheidung oder dergleichen abgeschieden werden. In einigen Ausführungsformen kann das erste Kontaktmaterial 108 abgeschieden werden, um die dritten Vertiefungen 104 zu füllen oder zu überfüllen. Das erste Kontaktmaterial 108 kann mit den oberen Flächen der ersten ILD 96, der CESL 94, der Auskleidung 106, der Gate-Elektroden 102, der Gate-Dielektrikum-Schichten 100, der ersten Abstandhalter 81 und der zweiten Abstandhalter 83 planarisiert werden. Das erste Kontaktmaterial 108 kann dann auf eine Ebene unterhalb der oberen Flächen der ersten ILD 96, der CESL 94, der Auskleidung 106, der Gate-Elektroden 102, der Gate-Dielektrikum-Schichten 100, der ersten Abstandhalter 81 und der zweiten Abstandhalter 83 vertieft werden. In einer Ausführungsform wird das erste Kontaktmaterial 108 unter Verwendung eines Nass- oder Trockenätzprozesses vertieft, bei dem ein oder mehrere Ätzmittel verwendet werden, die gegenüber dem Material des ersten Kontaktmaterials 108 selektive sind (z. B. Kobalt oder dergleichen), ohne das Material der ersten ILD 96, der CESL 94, der Auskleidung 106, der Gate-Elektroden 102, der Gate-Dielektrikum-Schichten 100, der ersten Abstandhalter 81 und der zweiten Abstandhalter 83 wesentlich zu entfernen. Das erste Kontaktmaterial 108 kann um einen ersten Abstand D1 zwischen etwa 18 nm und etwa 25 nm vertieft werden. Jedoch kann ein beliebiger geeigneter Abstand verwendet werden. Ein Temperprozess kann durchgeführt werden, um ein Silizid an der Grenzfläche zwischen den epitaktischen Source-/Drain-Bereichen 92 und dem ersten Kontaktmaterial 108 zu bilden. Das erste Kontaktmaterial 108 wird physikalisch und elektrisch mit den epitaktischen Source-/Drain-Bereichen 92 gekoppelt.In 17Abis 17C, a first contact material 108 is formed in the third recesses 104 over the source/drain epitaxial regions 92 and the liner 106. FIG. The first contact material 108 may be a conductive material such as cobalt (Co), tungsten (W), ruthenium (Ru), copper (Cu), molybdenum (Mo), combinations thereof, or the like. The first contact material 108 may be deposited by a deposition process such as sputtering, chemical vapor deposition, atomic layer deposition, electro-deposition, electroless plating, or the like. In some embodiments, the first contact material 108 may be deposited to fill or overfill the third recesses 104 . The first contact material 108 can be planarized with the top surfaces of the first ILD 96 , the CESL 94 , the liner 106 , the gate electrodes 102 , the gate dielectric layers 100 , the first spacers 81 , and the second spacers 83 . The first contact material 108 can then be recessed to a level below the top surfaces of the first ILD 96, the CESL 94, the liner 106, the gate electrodes 102, the gate dielectric layers 100, the first spacers 81 and the second spacers 83 will. In one embodiment, the first contact material 108 is recessed using a wet or dry etch process using one or more etchants selective to the material of the first contact material 108 (e.g., cobalt or the like) without affecting the material of the first contact material first ILD 96, the CESL 94, the liner 106, the gate electrodes 102, the gate dielectric layers 100, the first spacers 81 and the second spacer 83 to remove substantially. The first contact material 108 may be recessed a first distance D 1 between about 18 nm and about 25 nm. However, any suitable spacing can be used. An annealing process may be performed to form a silicide at the interface between the source/drain epitaxial regions 92 and the first contact material 108 . The first contact material 108 is physically and electrically coupled to the source/drain epitaxial regions 92 .

In 18A bis 18D wird in den dritten Vertiefungen 104 ein zweites Kontaktmaterial 110 über dem ersten Kontaktmaterial 108 gebildet. Das zweite Kontaktmaterial 110 kann ein leitfähiges Material sein, wie Wolfram (W), Ruthenium (Ru), Kobalt (Co), Kupfer (Cu), Molybdän (Mo), Kombinationen davon oder dergleichen. Das zweite Kontaktmaterial 110 kann durch einen Abscheidungsprozess wie Sputtern, chemische Gasphasenabscheidung, Atomlagenabscheidung, galvanische Abscheidung, stromlose Abscheidung oder dergleichen abgeschieden werden. In einigen Ausführungsformen kann das zweite Kontaktmaterial 110 abgeschieden werden, um die dritten Vertiefungen 104 zu füllen oder zu überfüllen. In der in 18B veranschaulichten Ausführungsform kann das zweite Kontaktmaterial 110 durch einen Plattierungsprozess oder dergleichen abgeschieden werden, und nach der Abscheidung können die oberen Flächen des zweiten Kontaktmaterials 110 über den oberen Flächen der ersten ILD 96, der CESL 94, der Auskleidung 106, der Gate-Elektroden 102, der Gate-Dielektrikum-Schichten 100, der ersten Abstandhalter 81 und der zweiten Abstandhalter 83 angeordnet sein. In einigen Ausführungsformen kann das zweite Kontaktmaterial 110 aus einem Material gebildet werden, das sich von dem Material des ersten Kontaktmaterials 108 unterscheidet. Die Verwendung unterschiedlicher Materialien für das zweite Kontaktmaterial 110 und das erste Kontaktmaterial 108 senkt den Übergangswiderstand, was die Bauelementleistung verbessert.In 18A until 18D a second contact material 110 is formed over the first contact material 108 in the third cavities 104 . The second contact material 110 may be a conductive material such as tungsten (W), ruthenium (Ru), cobalt (Co), copper (Cu), molybdenum (Mo), combinations thereof, or the like. The second contact material 110 may be deposited by a deposition process such as sputtering, chemical vapor deposition, atomic layer deposition, electro-deposition, electroless plating, or the like. In some embodiments, the second contact material 110 may be deposited to fill or overfill the third recesses 104 . in the in 18B In the illustrated embodiment, the second contact material 110 may be deposited by a plating process or the like, and after deposition, the top surfaces of the second contact material 110 may be positioned over the top surfaces of the first ILD 96, the CESL 94, the liner 106, the gate electrodes 102, of the gate dielectric layers 100, the first spacers 81 and the second spacers 83 may be arranged. In some embodiments, the second contact material 110 may be formed from a material that differs from the material of the first contact material 108 . Using different materials for the second contact material 110 and the first contact material 108 lowers contact resistance, which improves device performance.

18D veranschaulicht eine Ausführungsform, in der die oberen Flächen des ersten Kontaktmaterials 108 und des zweiten Kontaktmaterials 110 nicht planar sind. Wie in 18D veranschaulicht, können die oberen Flächen des ersten Kontaktmaterials 108 und des zweiten Kontaktmaterials 110 in einer Querschnittsansicht W-förmig oder M-förmig sein. Die oberen Flächen des ersten Kontaktmaterials 108 und des zweiten Kontaktmaterials 110 können eine oder mehrere Grübchen aufweisen. Es sind jedoch alle geeigneten Formen für das erste Kontaktmaterial 108 und das zweite Kontaktmaterial 110 möglich, abhängig von den Abscheide- und Ätzprozessen, die verwendet werden, um das erste Kontaktmaterial 108 und das zweite Kontaktmaterial 110 zu bilden. In einigen Ausführungsformen können das erste Kontaktmaterial 108 und das zweite Kontaktmaterial 110 durch CVD bei einer Temperatur im Bereich von etwa 300 °C bis etwa 500 °C, durch PVD bei Raumtemperatur oder dergleichen abgeschieden werden. Auf den Abscheidungsprozess kann ein Temperprozess bei einer Temperatur von etwa 300 °C bis etwa 600 °C folgen. Zur Definition des ersten Kontaktmaterials 108 und des zweiten Kontaktmaterials 110 können Trockenätzprozesse, z. B. auf Halogenbasis, verwendet werden. In einigen Ausführungsformen kann das zweite Kontaktmaterial 110 durch einen Plattierungsprozess oder dergleichen abgeschieden werden. Nach der Abscheidung können die oberen Flächen des zweiten Kontaktmaterials 110 über den oberen Flächen der ersten ILD 96, der CESL 94, der Auskleidung 106, der Gate-Elektroden 102, der Gate-Dielektrikum-Schichten 100, der ersten Abstandhalter 81 und der zweiten Abstandhalter 83 angeordnet sein. 18D FIG. 11 illustrates an embodiment in which the top surfaces of the first contact material 108 and the second contact material 110 are non-planar. As in 18D As illustrated, the top surfaces of the first contact material 108 and the second contact material 110 may be W-shaped or M-shaped in a cross-sectional view. The top surfaces of the first contact material 108 and the second contact material 110 may include one or more dimples. However, any suitable shapes for the first contact material 108 and the second contact material 110 are possible depending on the deposition and etching processes used to form the first contact material 108 and the second contact material 110 . In some embodiments, the first contact material 108 and the second contact material 110 may be deposited by CVD at a temperature ranging from about 300°C to about 500°C, by PVD at room temperature, or the like. The deposition process may be followed by an annealing process at a temperature from about 300°C to about 600°C. Dry etching processes, e.g. B. based on halogen, can be used. In some embodiments, the second contact material 110 may be deposited by a plating process or the like. After the deposition, the top surfaces of the second contact material 110 can be over the top surfaces of the first ILD 96, the CESL 94, the liner 106, the gate electrodes 102, the gate dielectric layers 100, the first spacers 81 and the second spacers 83 can be arranged.

Die Materialien des zweiten Kontaktmaterials 110 und der Auskleidung 106 haben möglicherweise keine gute Haftung zueinander, so dass sich bei anschließenden Prozessen Risse oder andere Defekte zwischen dem zweiten Kontaktmaterial 110 und der Auskleidung 106 bilden können. Zum Beispiel können sich während eines anschließenden Prozesses zur Planarisierung des zweiten Kontaktmaterials 110 Risse zwischen dem zweiten Kontaktmaterial 110 und der Auskleidung 106 bilden (im Folgenden mit Bezug auf 20A bis 20D erörtert). Durch die Risse können Prozessflüssigkeiten, wie eine CMP-Aufschlämmung, zwischen das zweite Kontaktmaterial 110 und die Auskleidung 106 eindringen und die Prozessflüssigkeiten können Material des zweiten Kontaktmaterials 110 und des ersten Kontaktmaterials 108 entfernen, wodurch weitere Bauelementdefekte entstehen und die Bauelementleistung verringert wird.The materials of the second contact material 110 and the liner 106 may not have good adhesion to each other such that subsequent processes may form cracks or other defects between the second contact material 110 and the liner 106 . For example, during a subsequent process to planarize the second contact material 110, cracks may form between the second contact material 110 and the liner 106 (hereinafter referred to as FIG 20A until 20D discussed). The cracks allow process fluids, such as a CMP slurry, to enter between the second contact material 110 and the liner 106, and the process fluids can remove material from the second contact material 110 and the first contact material 108, introducing further device defects and reducing device performance.

In 19A bis 19E werden im zweiten Kontaktmaterial 110 dotierte Kontaktabschnitte 110a, in der Auskleidung 106 dotierte Auskleidungsabschnitte 106a, in der ersten ILD 96 dotierte ILD-Abschnitte 96a und in der CESL 94 dotierte CESL-Abschnitte 94a gebildet. 19E und 19F veranschaulichen Detailansichten eines Bereichs 111 der 19C. Das Dotieren der Auskleidung 106, der ersten ILD 96 und der CESL 94, um die dotierten Auskleidungsabschnitte 106a, die dotierten ILD-Abschnitte 96a bzw. die dotierten CESL-Abschnitte 94a zu bilden, kann dazu führen, dass sich die Materialien der Auskleidung 106, der ersten ILD 96 und der CESL 94 ausdehnen, was die Abdichtung zwischen den dotierten Kontaktabschnitten 110a und den dotierten Auskleidungsabschnitten 106a verbessert. Die verbesserte Abdichtung zwischen den dotierten Auskleidungsabschnitten 106a und den dotierten Kontaktabschnitten 110a verhindert, dass Prozessflüssigkeiten, wie eine CMP-Aufschlämmung, zwischen die dotierten Auskleidungsabschnitte 106a und die dotierten Kontaktabschnitte 110a eindringen. Dadurch wird verhindert, dass Materialien der dotierten Kontaktabschnitte 110a, des zweiten Kontaktmaterials 110 und des ersten Kontaktmaterials 108 durch die Prozessflüssigkeiten oder dergleichen unerwünscht entfernt werden, was die Bauelementdefekte reduziert und die Bauelementleistung verbessert.In 19A until 19E Formed are second contact material 110 doped contact portions 110a, liner 106 doped liner portions 106a, first ILD 96 doped ILD portions 96a and CESL 94 doped CESL portions 94a. 19E and 19F illustrate detail views of a portion 111 of 19C . Doping the liner 106, the first ILD 96, and the CESL 94 to form the doped liner sections 106a, the doped ILD sections 96a, and the doped CESL sections 94a, respectively, can result in the materials of the liner 106, of the first ILD 96 and the CESL 94, which improves the sealing between the doped contact portions 110a and the doped liner portions 106a. The improved sealing between the doped liner sections 106a and the doped contact sections 110a prevents prevents process liquids, such as a CMP slurry, from penetrating between the doped liner sections 106a and the doped contact sections 110a. This prevents materials of the doped contact portions 110a, the second contact material 110, and the first contact material 108 from being undesirably removed by the process liquids or the like, reducing device defects and improving device performance.

Die Außenflächen jedes der dotierten Auskleidungsabschnitte 106a, der dotierten ILD-Abschnitte 96a und der dotierten CESL-Abschnitte 94a können sich um einen Abstand von etwa 1 nm bis etwa 10 nm oder von etwa 1 nm bis etwa 5 nm nach außen ausdehnen. Die Ausdehnung der dotierten Auskleidungsabschnitte 106a, der dotierten ILD-Abschnitte 96a und der dotierten CESL-Abschnitte 94a um mindestens diesen Betrag verbessert die Abdichtung zwischen den dotierten Kontaktabschnitten 110a und jedem der dotierten Auskleidungsabschnitte 106a, der dotierten ILD-Abschnitte 96a und der dotierten CESL-Abschnitte 94a, was verhindert, dass Prozessflüssigkeiten zwischen die dotierten Kontaktabschnitte 110, die zweiten Kontaktmaterialien 110 und die ersten Kontaktmaterialien 108 und jeden der dotierten Auskleidungsabschnitte 106a, die dotierten ILD-Abschnitte 96a und die dotierten CESL-Abschnitte 94a eindringen. Dadurch wird eine unerwünschte Materialentfernung von jedem der dotierten Kontaktabschnitte 110a, des zweiten Kontaktmaterials 110 und des ersten Kontaktmaterials 108 verhindert, die Bauelementdefekte reduziert und die Bauelementleistung verbessert.The outer surfaces of each of the doped liner portions 106a, the doped ILD portions 96a, and the doped CESL portions 94a may extend outwardly a distance of from about 1 nm to about 10 nm, or from about 1 nm to about 5 nm. Extending the doped liner portions 106a, the doped ILD portions 96a, and the doped CESL portions 94a by at least this amount improves the sealing between the doped contact portions 110a and each of the doped liner portions 106a, the doped ILD portions 96a, and the doped CESL- Sections 94a, preventing process liquids from penetrating between the doped contact sections 110, the second contact materials 110 and the first contact materials 108 and each of the doped liner sections 106a, the doped ILD sections 96a and the doped CESL sections 94a. This prevents unwanted material removal from each of the doped contact portions 110a, the second contact material 110, and the first contact material 108, reduces device defects, and improves device performance.

Die Dotierstoffe in jedem der dotierten Kontaktabschnitte 110a, den dotierten Auskleidungsabschnitten 106a, den dotierten ILD-Abschnitten 96a und den dotierten CESL-Abschnitten 94a können sich bis zu einer Tiefe von etwa 1 nm bis etwa 15 nm oder von etwa 1 nm bis etwa 10 nm erstrecken. Obwohl die unteren Ausdehnungen jedes der dotierten Kontaktabschnitte 110a, der dotierten Auskleidungsabschnitte 106a, der dotierten ILD-Abschnitte 96a und der dotierten CESL-Abschnitte 94a so veranschaulicht sind, dass sie in der gleichen Tiefe zueinander ausgerichtet sind, kann jede der unteren Flächen der dotierten Kontaktabschnitte 110a, der dotierten Auskleidungsabschnitte 106a, der dotierten ILD-Abschnitte 96a und der dotierten CESL-Abschnitte 94a falsch zueinander ausgerichtet sein und sich in unterschiedliche Tiefen erstrecken. In der in 19A bis 19D veranschaulichten Ausführungsform ist das erste Kontaktmaterial 108 frei von den Dotierstoffen. In einigen Ausführungsformen können sich die Dotierstoffe jedoch über eine Teildicke oder die gesamte Dicke des zweiten Kontaktmaterials 110 erstrecken und die Dotierstoffe können sich in das erste Kontaktmaterial 108 hinein erstrecken.The dopants in each of the doped contact portions 110a, the doped liner portions 106a, the doped ILD portions 96a, and the doped CESL portions 94a may increase to a depth of from about 1 nm to about 15 nm, or from about 1 nm to about 10 nm extend. Although the bottom extents of each of the doped contact portions 110a, the doped liner portions 106a, the doped ILD portions 96a, and the doped CESL portions 94a are illustrated as being aligned at the same depth, each of the bottom surfaces of the doped contact portions 110a, the doped liner sections 106a, the doped ILD sections 96a and the doped CESL sections 94a may be misaligned with one another and extend to different depths. in the in 19A until 19D In the illustrated embodiment, the first contact material 108 is free of the dopants. However, in some embodiments, the dopants may extend through a partial or full thickness of the second contact material 110 and the dopants may extend into the first contact material 108 .

In einigen Ausführungsformen können die dotierten Kontaktabschnitte 110a, die dotierten Auskleidungsabschnitte 106a, die dotierten ILD-Abschnitte 96a und die dotierten CESL-Abschnitte 94a die gleichen Dotierstoffe umfassen, die Germanium (Ge), Silizium (Si), Argon (Ar), Xenon (Xe), Arsen (As), Stickstoff (N), Kombinationen davon oder dergleichen umfassen können. In einigen Ausführungsformen können die dotierten Kontaktabschnitte 110a, die dotierten Auskleidungsabschnitte 106a, die dotierten ILD-Abschnitte 96a und die dotierten CESL-Abschnitte 94a ferner Wasserstoff (H) umfassen, der zusammen mit den Dotierstoffen aus der Umgebungsluft oder dergleichen implantiert werden kann. Die dotierten Kontaktabschnitte 110a, die dotierten CESL-Abschnitte 94a, die dotierten Auskleidungsabschnitte 106a und die dotierten ILD-Abschnitte 96a können durch eine Ionenimplantation gebildet werden. Die Dosis für die Ionenimplantation kann im Bereich von etwa 1 × 1014 Atome/cm2 bis etwa 1 × 1016 Atome/cm2 liegen und der Neigungswinkel für die Ionenimplantation kann im Bereich von etwa 0 Grad bis etwa 60 Grad liegen. Die Ionenimplantation kann bei einer Temperatur im Bereich von etwa -100 °C bis etwa 500 °C mit einer angewandten Energie im Bereich von etwa 2 keV bis etwa 50 keV durchgeführt werden. In einigen Ausführungsformen kann das Durchführen der Ionenimplantation bei einer Temperatur im Bereich von etwa -100 °C bis etwa 25 °C für eine größere Ausdehnung der dotierten Auskleidungsabschnitte 106a, der dotierten ILD-Abschnitte 96a und/oder der dotierten CESL-Abschnitte 94a sorgen, was die Abdichtung zwischen den dotierten Kontaktabschnitten 110a und den dotierten Auskleidungsabschnitten 106a weiter verbessern kann. In einigen Ausführungsformen können die Konzentrationen der Dotierstoffe in jedem der dotierten Auskleidungsabschnitte 106a, den dotierten ILD-Abschnitten 96a und den dotierten CESL-Abschnitten 94a im Bereich von etwa 1 × 1020 Atomen/cm3 bis etwa 2 × 1022 Atomen/cm3 liegen. In einigen Ausführungsformen können die Konzentrationen der Dotierstoffe in den dotierten Kontaktbereichen 110a im Bereich von etwa 1 × 1018 Atomen/cm3 bis etwa 1 × 1021 Atomen/cm3 liegen.In some embodiments, the doped contact portions 110a, the doped liner portions 106a, the doped ILD portions 96a, and the doped CESL portions 94a may include the same dopants that are germanium (Ge), silicon (Si), argon (Ar), xenon ( Xe), arsenic (As), nitrogen (N), combinations thereof, or the like. In some embodiments, the doped contact portions 110a, the doped liner portions 106a, the doped ILD portions 96a, and the doped CESL portions 94a may further include hydrogen (H), which may be implanted along with the ambient air dopants or the like. The doped contact portions 110a, the doped CESL portions 94a, the doped liner portions 106a, and the doped ILD portions 96a may be formed by ion implantation. The dose for ion implantation can range from about 1×10 14 atoms/cm 2 to about 1×10 16 atoms/cm 2 and the tilt angle for ion implantation can range from about 0 degrees to about 60 degrees. The ion implantation can be performed at a temperature ranging from about -100°C to about 500°C with an applied energy ranging from about 2 keV to about 50 keV. In some embodiments, performing the ion implantation at a temperature in the range of about -100°C to about 25°C may provide for greater expansion of the doped liner portions 106a, the doped ILD portions 96a, and/or the doped CESL portions 94a. which can further improve the sealing between the doped contact portions 110a and the doped liner portions 106a. In some embodiments, the concentrations of the dopants in each of the doped liner portions 106a, the doped ILD portions 96a, and the doped CESL portions 94a may range from about 1×10 20 atoms/cm 3 to about 2×10 22 atoms/cm 3 to lie. In some embodiments, the concentrations of the dopants in the doped contact regions 110a may range from about 1×10 18 atoms/cm 3 to about 1×10 21 atoms/cm 3 .

Die Verteilung der Dotierstoffe kann in jedem der dotierten Kontaktbereiche 110a, den dotierten Auskleidungsbereichen 106a, den dotierten ILD-Bereichen 96a und den dotierten CESL-Bereichen 94a variieren. Eine Verteilung der Dotierstoffe in den dotierten Kontaktabschnitten 110a, den dotierten Auskleidungsabschnitten 106a, den dotierten ILD-Abschnitten 96a und den dotierten CESL-Abschnitten 94a wird als die in 19B und 19D veranschaulichte Kurve 109 veranschaulicht. In einigen Ausführungsformen kann eine Spitze der Verteilungskurve 109 nahe der Mitte der dotierten Kontaktabschnitte 110a, der dotierten Auskleidungsabschnitte 106a, der dotierten ILD-Abschnitte 96a und der dotierten CESL-Abschnitte 94a in einer Richtung senkrecht zu einer Hauptoberfläche des Substrats 50 liegen, aber die Offenbarung ist darauf nicht beschränkt. In einigen Ausführungsformen kann die Spitze der Verteilungskurve 109 in der Nähe der oberen Flächen der dotierten Kontaktabschnitte 110a, der dotierten Auskleidungsabschnitte 106a, der dotierten ILD-Abschnitte 96a und der dotierten CESL-Abschnitte 94a liegen.The distribution of the dopants may vary in each of the doped contact regions 110a, the doped liner regions 106a, the doped ILD regions 96a, and the doped CESL regions 94a. A distribution of the dopants in the doped contact portions 110a, the doped liner portions 106a, the doped ILD portions 96a, and the doped CESL portions 94a is given as that in FIG 19B and 19D illustrated curve 109 illustrates. In some embodiments, a peak in the distribution 109 may lie near the center of the doped contact portions 110a, the doped liner portions 106a, the doped ILD portions 96a, and the doped CESL portions 94a in a direction perpendicular to a major surface of the substrate 50, but the disclosure is not limited thereto. In some embodiments, the peak of the distribution curve 109 may be near the top surfaces of the doped contact portions 110a, the doped liner portions 106a, the doped ILD portions 96a, and the doped CESL portions 94a.

19E und 19F veranschaulichen die Beanspruchung, die auf die dotierten Kontaktabschnitte 110a durch die dotierten Auskleidungsabschnitte 106a, die dotierten ILD-Abschnitte 96a und die dotierten CESL-Abschnitte 94a ausgeübt wird, gemäß einigen Ausführungsformen. In der in 19E veranschaulichten Ausführungsform können das erste Kontaktmaterial 108 (nicht separat veranschaulicht), das zweite Kontaktmaterial 110 (nicht separat veranschaulicht) und die dotierten Kontaktabschnitte 110a in einer Ansicht von oben nach unten eine rechteckige Form haben. Die Beanspruchung Sx1, die auf die dotierten Kontaktabschnitte 110a in einer ersten Richtung ausgeübt wird, kann proportional zu einer Breite b1 der dotierten Kontaktabschnitte 110a in der ersten Richtung sein und die Beanspruchung Sy1, die auf die dotierten Kontaktabschnitte 110a in einer zweiten Richtung senkrecht zur ersten Richtung ausgeübt wird, kann proportional zu einer Breite a1 der dotierten Kontaktabschnitte 110a in der zweiten Richtung sein. Die Beanspruchung Sx1 und die Beanspruchung Sy1 können auch von den Materialien des CESL 94 und der ersten ILD 96 abhängen. Die Breite a1 und die Breite b1 können im Bereich von etwa 5 nm bis etwa 200 nm liegen und das Verhältnis der Breite a1 zur Breite b1 kann im Bereich von etwa 1 bis etwa 40 liegen. In Ausführungsformen, in denen die CESL 94 und die erste ILD 96 aus den gleichen Materialien (z. B. Siliziumoxid, Siliziumnitrid oder dergleichen) gebildet werden, können die Beanspruchung Sx1 und die Beanspruchung Sy1 gleich sein, wenn die Breite a1 und die Breite b1 gleich sind, die Beanspruchung Sx1 kann größer als die Beanspruchung Sy1 sein, wenn a1 kleiner als bi ist, und die Beanspruchung Sx1 kann kleiner als die Beanspruchung Sy1 sein, wenn a1 größer als b1 ist. In Ausführungsformen, in denen die CESL 94 und die erste ILD 96 aus unterschiedlichen Materialien gebildet werden, können die Beanspruchung Sx1 und die Beanspruchung Sy1 gleich sein, wenn eine der Breiten a1 oder b1 größer ist, und eine der Beanspruchungen Sx1 oder die Beanspruchung Sy1 kann größer sein, wenn die Breiten a1 und b1 gleich sind oder wenn eine der Breiten a1 oder b1 größer ist. 19E and 19F 12 illustrate the stress placed on the doped contact portions 110a by the doped liner portions 106a, the doped ILD portions 96a, and the doped CESL portions 94a, according to some embodiments. in the in 19E In the illustrated embodiment, the first contact material 108 (not separately illustrated), the second contact material 110 (not separately illustrated), and the doped contact portions 110a may have a rectangular shape in a top-down view. The stress S x1 applied to the doped contact portions 110a in a first direction may be proportional to a width b 1 of the doped contact portions 110a in the first direction and the stress S y1 applied to the doped contact portions 110a in a second direction exerted perpendicular to the first direction may be proportional to a width a 1 of the doped contact portions 110a in the second direction. The stress S x1 and the stress S y1 may also depend on the CESL 94 and first ILD 96 materials. Width a 1 and width b 1 can range from about 5 nm to about 200 nm, and the ratio of width a 1 to width b 1 can range from about 1 to about 40. In embodiments where the CESL 94 and the first ILD 96 are formed from the same materials (e.g., silicon oxide, silicon nitride, or the like), the stress S x1 and the stress S y1 may be equal when the widths a 1 and the width b 1 are the same, the stress S x1 can be greater than the stress S y1 when a 1 is less than bi and the stress S x1 can be less than the stress S y1 when a 1 is greater than b 1 . In embodiments where the CESL 94 and the first ILD 96 are formed from different materials, the stress S x1 and the stress S y1 may be equal if one of the widths a 1 or b 1 is greater and one of the stresses S x1 or the stress S y1 may be larger when the widths a 1 and b 1 are equal or when one of the widths a 1 or b 1 is larger.

In der in 19F veranschaulichten Ausführungsform können das erste Kontaktmaterial 108 (nicht separat veranschaulicht), das zweite Kontaktmaterial 110 (nicht separat veranschaulicht) und die dotierten Kontaktabschnitte 110a in einer Ansicht von oben nach unten runde Formen (z. B. elliptische Formen) haben. Die Beanspruchung Sx2, die auf die dotierten Kontaktabschnitte 110a in einer ersten Richtung ausgeübt wird, kann proportional zu einer Breite b2 der dotierten Kontaktabschnitte 110a in der ersten Richtung sein und die Beanspruchung Sy2, die auf die dotierten Kontaktabschnitte 110a in einer zweiten Richtung senkrecht zur ersten Richtung ausgeübt wird, kann proportional zu einer Breite a2 der dotierten Kontaktabschnitte 110a in der zweiten Richtung sein. Die Beanspruchung Sx2 und die Beanspruchung Sy2 können auch von den Materialien des CESL 94 und der ersten ILD 96 abhängen. Die Breite a2 und die Breite b2 können im Bereich von etwa 5 nm bis etwa 200 nm liegen und das Verhältnis der Breite a2 zur Breite b2 kann im Bereich von etwa 1 bis etwa 40 liegen. In Ausführungsformen, in denen die CESL 94 und die erste ILD 96 aus den gleichen Materialien (z. B. Siliziumoxid, Siliziumnitrid oder dergleichen) gebildet werden, können die Beanspruchung Sx2 und die Beanspruchung Sy2 gleich sein, wenn die Breite a2 und die Breite b2 gleich sind, die Beanspruchung Sx2 kann größer als die Beanspruchung Sy2 sein, wenn a2 kleiner als b2 ist, und die Beanspruchung Sx2 kann kleiner als die Beanspruchung Sy2 sein, wenn a2 größer als b2 ist. In Ausführungsformen, in denen die CESL 94 und die erste ILD 96 aus unterschiedlichen Materialien gebildet werden, können die Beanspruchung Sx2 und die Beanspruchung Sy2 gleich sein, wenn eine der Breiten a2 oder b2 größer ist, und eine der Beanspruchungen Sx2 oder die Beanspruchung Sy2 kann größer sein, wenn die Breiten a2 und b2 gleich sind oder wenn eine der Breiten a2 oder b2 größer ist.in the in 19F In the illustrated embodiment, the first contact material 108 (not separately illustrated), the second contact material 110 (not separately illustrated), and the doped contact portions 110a may have round shapes (e.g., elliptical shapes) in a top-down view. The stress S x2 applied to the doped contact portions 110a in a first direction may be proportional to a width b 2 of the doped contact portions 110a in the first direction and the stress S y2 applied to the doped contact portions 110a in a second direction exerted perpendicular to the first direction may be proportional to a width a 2 of the doped contact portions 110a in the second direction. The stress S x2 and the stress S y2 may also depend on the CESL 94 and first ILD 96 materials. Width a 2 and width b 2 can range from about 5 nm to about 200 nm, and the ratio of width a 2 to width b 2 can range from about 1 to about 40. In embodiments where the CESL 94 and the first ILD 96 are formed from the same materials (e.g., silicon oxide, silicon nitride, or the like), the stress S x2 and the stress S y2 may be equal when the widths a 2 and the width b 2 are equal, the stress S x2 can be greater than the stress S y2 when a 2 is less than b 2 , and the stress S x2 can be less than the stress S y2 when a 2 is greater than b 2 is. In embodiments where the CESL 94 and the first ILD 96 are formed from different materials, the stress S x2 and the stress S y2 may be equal if one of the widths a 2 or b 2 is greater and one of the stresses S x2 or the stress S y2 may be larger when the widths a 2 and b 2 are equal or when one of the widths a 2 or b 2 is larger.

Obwohl beschrieben wurde, dass die Dotierstoffe nur in das zweite Kontaktmaterial 110, die Auskleidung 106, die erste ILD 96 und die CESL 94 implantiert werden, können die Dotierstoffe in einigen Ausführungsformen auch in die ersten Abstandshalter 81, die zweiten Abstandshalter 83, die Gate-Dielektrikum-Schichten 100 und die Gate-Elektroden 102 implantiert werden. Das Implantieren der Dotierstoffe in einen der ersten Abstandhalter 81, der zweiten Abstandhalter 83, der Gate-Dielektrikum-Schichten 100 und der Gate-Elektroden 102 kann dazu führen, dass zusätzliche Beanspruchung auf die dotierten Kontaktabschnitte 110a ausgeübt wird, was die Abdichtung zwischen den dotierten Kontaktabschnitten 110a und den dotierten Auskleidungsabschnitten 106a verbessern kann. Außerdem können in einigen Ausführungsformen die Dotierstoffe über die gesamte Dicke des zweiten Kontaktmaterials 110 und in das erste Kontaktmaterial 108 implantiert werden.Although the dopants have been described as being implanted only into the second contact material 110, the liner 106, the first ILD 96, and the CESL 94, in some embodiments the dopants may also be implanted into the first spacers 81, the second spacers 83, the gate Dielectric layers 100 and the gate electrodes 102 are implanted. The implantation of the dopants into one of the first spacers 81, the second spacers 83, the gate dielectric layers 100 and the gate electrodes 102 may result in additional stress being placed on the doped contact portions 110a, which compromises the seal between the doped Can improve contact portions 110a and the doped liner portions 106a. Additionally, in some embodiments, the dopants may be implanted across the entire thickness of the second contact material 110 and into the first contact material 108 .

In 20A bis 20D kann ein Planarisierungsprozess, wie ein CMP, durchgeführt werden, um zu bewirken, dass die oberen Flächen der dotierten Kontaktabschnitte 110a bündig mit den oberen Flächen der dotierten Auskleidungsabschnitte 106a, der dotierten ILD-Abschnitte 96a, der dotierten CESL-Abschnitte 94a, der ersten Abstandhalter 81, der zweiten Abstandhalter 83, der Gate-Dielektrikum-Schichten 100 und der Gate-Elektroden 102 ist. Der Planarisierungsprozess kann Prozessflüssigkeiten, wie eine CMP-Aufschlämmung und dergleichen, verwenden, die Materialien des ersten Kontaktmaterials 108, des zweiten Kontaktmaterials 110 und der dotierten Kontaktabschnitte 110a entfernen können, wenn die Prozessflüssigkeiten in Kontakt mit dem ersten Kontaktmaterial 108, dem zweiten Kontaktmaterial 110 und den dotierten Kontaktabschnitten 110a kommen. Das Durchführen des Ionenimplantationsprozesses zur Bildung der dotierten Kontaktabschnitte 110a, der dotierten Auskleidungsabschnitte 106a, der dotierten ILD-Abschnitte 96a und der dotierten CESL-Abschnitte 94a verbessert die Abdichtung zwischen den dotierten Kontaktabschnitten 110a und den dotierten Auskleidungsabschnitten 106a, was verhindert, dass die Prozessflüssigkeiten zwischen die dotierten Auskleidungsabschnitten 106a und jeden der dotierten Kontaktabschnitte 110a, das zweite Kontaktmaterial 110 und das erste Kontaktmaterial 108 eindringen. Dadurch wird eine unerwünschte Materialentfernung von den dotierten Kontaktabschnitten 110a, dem zweiten Kontaktmaterial 110 und dem ersten Kontaktmaterial 108 verhindert, die Bauelementdefekte reduziert und die Bauelementleistung verbessert.In 20A until 20D For example, a planarization process, such as a CMP, can be performed to cause the top surfaces of the doped contact portions 110a to be flush with the top surfaces of the doped liner portions 106a, the doped ILD portions 96a, the doped CESL portions 94a, the first spacers 81, the second spacer 83, the gate dielectric layers 100 and the gate electrodes 102 is. The planarization process may use process liquids, such as a CMP slurry and the like, which may remove materials of the first contact material 108, the second contact material 110, and the doped contact portions 110a when the process liquids are in contact with the first contact material 108, the second contact material 110, and the doped contact portions 110a. Performing the ion implantation process to form the doped contact portions 110a, the doped liner portions 106a, the doped ILD portions 96a, and the doped CESL portions 94a improves the sealing between the doped contact portions 110a and the doped liner portions 106a, preventing the process fluids from leaking between the doped liner portions 106a and each of the doped contact portions 110a, the second contact material 110 and the first contact material 108 penetrate. This prevents unwanted material removal from the doped contact portions 110a, the second contact material 110, and the first contact material 108, reduces device defects, and improves device performance.

Nach der Planarisierung kann eine Spitze der Verteilung der Dotierstoffe in den dotierten Kontaktabschnitten 110a, den dotierten Auskleidungsabschnitten 106a, den dotierten ILD-Abschnitten 96a und den dotierten CESL-Abschnitten 94a nahe der Mitte der dotierten Kontaktabschnitte 110a, der dotierten Auskleidungsabschnitte 106a, der dotierten ILD-Abschnitte 96a und der dotierten CESL-Abschnitte 94a in einer Richtung senkrecht zu einer Hauptoberfläche des Substrats 50 liegen. In einigen Ausführungsformen kann die Spitze der Verteilung der Dotierstoffe in den dotierten Kontaktabschnitten 110a, den dotierten Auskleidungsabschnitten 106a, den dotierten ILD-Abschnitten 96a und den dotierten CESL-Abschnitten 94a in der Nähe der oberen Flächen der dotierten Kontaktabschnitte 110a, der dotierten Auskleidungsabschnitte 106a, der dotierten ILD-Abschnitte 96a und der dotierten CESL-Abschnitte 94a liegen.After planarization, the distribution of dopants in the doped contact portions 110a, the doped liner portions 106a, the doped ILD portions 96a, and the doped CESL portions 94a may peak near the center of the doped contact portions 110a, the doped liner portions 106a, the doped ILD -portions 96a and the doped CESL portions 94a lie in a direction perpendicular to a major surface of the substrate 50. In some embodiments, the peak of the distribution of the dopants in the doped contact portions 110a, the doped liner portions 106a, the doped ILD portions 96a, and the doped CESL portions 94a may peak near the top surfaces of the doped contact portions 110a, the doped liner portions 106a, of the doped ILD sections 96a and the doped CESL sections 94a.

In 21A und 21B wird ein zweites ILD 114 über den dotierten Kontaktabschnitten 110a, den dotierten Auskleidungsabschnitten 106a, den dotierten ILD-Abschnitten 96a, den dotierten CESL-Abschnitten 94a, den ersten Abstandhaltern 81, den zweiten Abstandhaltern 83, den Gate-Dielektrikum-Schichten 100 und den Gate-Elektroden 102 abgeschieden. In einigen Ausführungsformen wird das zweite ILD 114 ein fließfähiger Film, der durch FCVD gebildet wird. In einigen Ausführungsformen wird das zweite ILD 114 aus einem dielektrischen Material, wie PSG, BSG, BPSG, USG oder dergleichen, gebildet, das durch ein beliebiges geeignetes Verfahren abgeschieden werden kann, wie CVD, PECVD oder dergleichen. In einigen Ausführungsformen können die dielektrischen Materialien für die zweite ILD 114 Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid oder dergleichen umfassen. In einigen Ausführungsformen wird der Gatestapel (einschließlich der Gate-Dielektrikum-Schichten 100 und der entsprechenden darüberliegenden Gate-Elektroden 102) vor der Bildung der zweiten ILD 114 vertieft, sodass eine Vertiefung direkt über dem Gatestapel und zwischen gegenüberliegenden Abschnitten der ersten Abstandhalter 81 gebildet wird. Eine Gate-Maske 112, die eine oder mehrere Schichten aus dielektrischem Material, wie Siliziumnitrid, Siliziumoxynitrid oder dergleichen, umfasst, wird in die Vertiefung gefüllt, gefolgt von einem Planarisierungsprozess, um überschüssige Abschnitte des dielektrischen Materials zu entfernen, die sich über die dotierten Kontaktabschnitte 110a, die dotierten Auskleidungsabschnitte 106a, die dotierten ILD-Abschnitte 96a, die dotierten CESL-Abschnitte 94a, die ersten Abstandhalter 81 und die zweiten Abstandhalter 83 erstrecken. Anschließend gebildete Gate-Kontakte (wie die nachstehend unter Bezug auf 22A und 22B erörterten Gate-Kontakte 116) durchdringen die Gate-Maske 112, um die obere Fläche der vertieften Gate-Elektroden 102 zu kontaktieren.In 21A and 21B A second ILD 114 is formed over the doped contact portions 110a, the doped liner portions 106a, the doped ILD portions 96a, the doped CESL portions 94a, the first spacers 81, the second spacers 83, the gate dielectric layers 100, and the gate -Electrodes 102 deposited. In some embodiments, the second ILD 114 becomes a flowable film formed by FCVD. In some embodiments, the second ILD 114 is formed from a dielectric material, such as PSG, BSG, BPSG, USG, or the like, which may be deposited by any suitable method, such as CVD, PECVD, or the like. In some embodiments, the dielectric materials for the second ILD 114 may include silicon oxide, silicon nitride, silicon oxynitride, or the like. In some embodiments, the gate stack (including the gate dielectric layers 100 and the corresponding overlying gate electrodes 102) is recessed prior to the formation of the second ILD 114 such that a recess is formed directly over the gate stack and between opposing portions of the first spacers 81 . A gate mask 112 comprising one or more layers of dielectric material such as silicon nitride, silicon oxynitride, or the like is filled into the recess, followed by a planarization process to remove excess portions of the dielectric material overlying the doped contact portions 110a, the doped liner sections 106a, the doped ILD sections 96a, the doped CESL sections 94a, the first spacers 81 and the second spacers 83 extend. Subsequently formed gate contacts (such as those below with reference to 22A and 22B gate contacts 116 discussed) penetrate the gate mask 112 to contact the top surface of the recessed gate electrodes 102. FIG.

In 22A und 22B werden die Gate-Kontakte 116 durch die zweite ILD 114 und die Gate-Masken 112 und Source/Drain-Kontakte 118 durch das zweite ILD 114 gebildet.In 22A and 22B the gate contacts 116 are formed by the second ILD 114 and the gate masks 112 and source/drain contacts 118 are formed by the second ILD 114 .

Öffnungen für die Source/Drain-Kontakte 118 werden durch das zweite ILD 114 und Öffnungen für die Gate-Kontakte 116 werden durch das zweite ILD 114 und die Gate-Maske 112 gebildet. Die Öffnungen können unter Verwendung annehmbarer Fotolithografie- und Ätztechniken gebildet werden. In den Öffnungen werden eine Auskleidung, wie eine Diffusionssperrschicht, eine Haftschicht oder dergleichen, und ein leitfähiges Material gebildet. Die Auskleidung kann Titan, Titannitrid, Tantal, Tantalnitrid oder dergleichen umfassen. Das leitfähige Material kann Kupfer, eine Kupferlegierung, Silber, Gold, Wolfram, Kobalt, Aluminium, Nickel oder dergleichen sein. Ein Planarisierungsprozess, wie ein CMP, kann durchgeführt werden, um überschüssiges Material von einer Fläche des zweiten ILD 114 zu entfernen. Die verbleibende Auskleidung und das verbleibende leitfähige Material bilden die Source-/Drain-Kontakte 118 und die Gate-Kontakte 116 in den Öffnungen. Die Source-/Drain-Kontakte 118 werden über das erste Kontaktmaterial 108, das zweite Kontaktmaterial und die dotierten Kontaktabschnitte 110a physikalisch und elektrisch mit den epitaktischen Source-/Drain-Bereichen 92 gekoppelt, und die Gate-Kontakte 116 werden physikalisch und elektrisch mit den Gate-Elektroden 102 gekoppelt. Die Source-/Drain-Kontakte 118 und die Gate-Kontakte 116 können in verschiedenen Prozessen gebildet werden oder können in demselben Prozess gebildet werden. Obwohl sie derart gezeigt sind, dass sie in der gleichen Querschnitt gebildet werden, versteht es sich, dass die Source-/Drain-Kontakte 118 und die Gate-Kontakte 116 in unterschiedlichen Querschnitten gebildet werden können, wodurch ein Kurzschließen der Kontakte vermieden werden kann.Openings for the source/drain contacts 118 are formed through the second ILD 114 and openings for the gate contacts 116 are formed through the second ILD 114 and the gate mask 112 . The openings can be formed using acceptable photolithographic and etching techniques. A liner, such as a diffusion barrier layer, an adhesive layer, or the like, and a conductive material are formed in the openings. The liner may comprise titanium, titanium nitride, tantalum, tantalum nitride, or the like. The conductive material can be copper, a copper alloy, silver, gold, tungsten, cobalt, aluminum, nickel, or the like. A planarization process, such as a CMP, may be performed to remove excess material from a surface of the second ILD 114 . The remaining liner and conductive material form the sour ce/drain contacts 118 and the gate contacts 116 in the openings. The source/drain contacts 118 are physically and electrically coupled to the epitaxial source/drain regions 92 via the first contact material 108, the second contact material, and the doped contact portions 110a, and the gate contacts 116 are physically and electrically coupled to the Gate electrodes 102 coupled. The source/drain contacts 118 and the gate contacts 116 may be formed in different processes or may be formed in the same process. Although shown as being formed in the same cross-section, it should be understood that the source/drain contacts 118 and the gate contacts 116 can be formed in different cross-sections, thereby avoiding shorting of the contacts.

Ausführungsformen können verschiedene Vorteile erzielen. Zum Beispiel kann das Dotieren der Auskleidung 106, der ersten ILD 96 und der CESL 94, um die dotierten Auskleidungsabschnitte 106a, die dotierten ILD-Abschnitte 96a bzw. die dotierten CESL-Abschnitte 94a zu bilden, kann dazu führen, dass sich die Materialien der Auskleidung 106, der ersten ILD 96 und der CESL 94 ausdehnen, was die Abdichtung zwischen den dotierten Kontaktabschnitten 110a und den dotierten Auskleidungsabschnitten 106a verbessert. Die verbesserte Abdichtung zwischen den dotierten Auskleidungsabschnitten 106a und den dotierten Kontaktabschnitten 110a verhindert, dass Prozessflüssigkeiten, wie eine CMP-Aufschlämmung, zwischen die dotierten Auskleidungsabschnitte 106a und die dotierten Kontaktabschnitte 110a eindringen. Dadurch wird verhindert, dass Materialien der dotierten Kontaktabschnitte 110a, des zweiten Kontaktmaterials 110 und des ersten Kontaktmaterials 108 durch die Prozessflüssigkeiten oder dergleichen unerwünscht entfernt werden, was die Bauelementdefekte reduziert und die Bauelementleistung verbessert.Embodiments can achieve various benefits. For example, doping the liner 106, the first ILD 96, and the CESL 94 to form the doped liner portions 106a, the doped ILD portions 96a, and the doped CESL portions 94a, respectively, may result in the materials of the The liner 106, the first ILD 96 and the CESL 94 expand, which improves the sealing between the doped contact portions 110a and the doped liner portions 106a. The improved sealing between the doped liner sections 106a and the doped contact sections 110a prevents process liquids, such as a CMP slurry, from penetrating between the doped liner sections 106a and the doped contact sections 110a. This prevents materials of the doped contact portions 110a, the second contact material 110, and the first contact material 108 from being undesirably removed by the process liquids or the like, reducing device defects and improving device performance.

Die offenbarten FinFET-Ausführungsformen könnten auch auf Nanostruktur-Bauelemente wie Nanostruktur-Feldeffekttransistoren (z. B. Nanoblatt-, Nanodraht-, Gate-All-Around-Feldeffekttransistoren oder dergleichen) (NSFETs) angewendet werden. In einer NSFET-Ausführungsform sind die Finnen durch Nanostrukturen ersetzt, die durch Strukturieren eines Stapels mit abwechselnder Schichtfolge aus Kanalschichten und Opferschichten gebildet werden. Dummy-Gate-Stapel und Source-/Drain-Bereiche werden in ähnlicher Weise wie bei den vorstehend beschriebenen Ausführungsformen gebildet. Nachdem die Dummy-Gate-Stapel entfernt ist, können die Opferschichten in Kanalbereichen teilweise oder vollständig entfernt werden. Die Ersatz-Gate-Strukturen werden auf ähnliche Weise wie bei den vorstehend beschriebenen Ausführungsformen gebildet, die Ersatz-Gate-Strukturen können Öffnungen, die durch das Entfernen der Opferschichten hinterlassen wurden, teilweise oder vollständig füllen und die Ersatz-Gate-Strukturen können die Kanalschichten in den Kanalbereichen der NSFET-Bauelemente teilweise oder vollständig umgeben. Die ILDs und die Kontakte zu den Ersatz-Gate-Strukturen und den Source-/Drain-Bereichen können auf ähnliche Weise wie bei den vorstehend beschriebenen Ausführungsformen gebildet werden. Ein Nanostruktur-Bauelement kann wie in der US-Patentanmeldung mit der Veröffentlichungsnr. 2016/0365414 offenbart gebildet werden, die vorliegend durch Bezugnahme in ihrer Gesamtheit aufgenommen ist.The disclosed FinFET embodiments could also be applied to nanostructured devices such as nanostructured field effect transistors (e.g., nanosheet, nanowire, gate all around field effect transistors, or the like) (NSFETs). In an NSFET embodiment, the fins are replaced by nanostructures formed by patterning a stack of alternating channel layers and sacrificial layers. Dummy gate stacks and source/drain regions are formed in a manner similar to the embodiments described above. After the dummy gate stack is removed, the sacrificial layers in channel areas can be partially or fully removed. The replacement gate structures are formed in a manner similar to the embodiments described above, the replacement gate structures may partially or completely fill openings left by the removal of the sacrificial layers, and the replacement gate structures may fill the channel layers partially or completely surrounded in the channel regions of the NSFET devices. The ILDs and the contacts to the replacement gate structures and the source/drain regions can be formed in a manner similar to the embodiments described above. A nanostructure device can be used as described in US patent application publication no. 2016/0365414, which is incorporated herein by reference in its entirety.

Gemäß einer Ausführungsform umfasst ein Halbleiterbauelement eine erste dielektrische Schicht über einem leitfähigen Merkmal, wobei ein erster Abschnitt der ersten dielektrischen Schicht einen ersten Dotierstoff umfasst; ein Metallmerkmal, das elektrisch mit dem leitfähigen Merkmal gekoppelt ist, wobei das Metallmerkmal ein erstes Kontaktmaterial in Kontakt mit dem leitfähigen Merkmal umfasst; ein zweites Kontaktmaterial über dem ersten Kontaktmaterial, wobei das zweite Kontaktmaterial ein Material umfasst, das sich von dem ersten Kontaktmaterial unterscheidet, wobei ein erster Abschnitt des zweiten Kontaktmaterials ferner den ersten Dotierstoff umfasst; und eine dielektrische Auskleidung zwischen der ersten dielektrischen Schicht und dem Metallmerkmal, wobei ein erster Abschnitt der dielektrischen Auskleidung den ersten Dotierstoff umfasst. In einer Ausführungsform umfasst der erste Dotierstoff Germanium (Ge). In einer Ausführungsform umfasst das erste Kontaktmaterial Kobalt (Co) und das zweite Kontaktmaterial umfasst Wolfram (W). In einer Ausführungsform erstrecken sich der erste Abschnitt der ersten dielektrischen Schicht, der erste Abschnitt des zweiten Kontaktmaterials und der erste Abschnitt der dielektrischen Auskleidung jeweils in Tiefen von 1 nm bis 15 nm. In einer Ausführungsform sind die oberen Flächen der ersten dielektrischen Schicht, des Metallmerkmals und der dielektrischen Auskleidung miteinander bündig. In einer Ausführungsform umfasst das Halbleiterbauelement ferner eine zweite dielektrische Schicht über dem leitfähigen Merkmal, wobei ein erster Abschnitt der zweiten dielektrischen Schicht mit dem ersten Dotierstoff dotiert ist, wobei die erste dielektrische Schicht und die zweite dielektrische Schicht jeweils Seitenwände der dielektrischen Auskleidung kontaktieren, und wobei die erste dielektrische Schicht und die zweite dielektrische Schicht jeweils unterschiedliche Materialien umfassen. In einer Ausführungsform umfasst die erste dielektrische Schicht Siliziumoxid und die zweite dielektrische Schicht Siliziumnitrid. In einer Ausführungsform befindet sich eine maximale Konzentration des ersten Dotierstoffs in jedem von dem ersten Abschnitt der ersten dielektrischen Schicht, dem ersten Abschnitt des zweiten Kontaktmaterials und dem ersten Abschnitt der dielektrischen Auskleidung an einer oberen Fläche des ersten Abschnitts der ersten dielektrischen Schicht, des ersten Abschnitts des zweiten Kontaktmaterials bzw. des ersten Abschnitts der dielektrischen Auskleidung. In einer Ausführungsform befindet sich eine maximale Konzentration des ersten Dotierstoffs in jedem von dem ersten Abschnitt der ersten dielektrischen Schicht, dem ersten Abschnitt des zweiten Kontaktmaterials und dem ersten Abschnitt der ersten dielektrischen Schicht unter einer oberen Fläche des ersten Abschnitts der ersten dielektrischen Schicht, des ersten Abschnitts des zweiten Kontaktmaterials bzw. des ersten Abschnitts der ersten dielektrischen Schicht.According to one embodiment, a semiconductor device includes a first dielectric layer over a conductive feature, wherein a first portion of the first dielectric layer includes a first dopant; a metal feature electrically coupled to the conductive feature, the metal feature comprising a first contact material in contact with the conductive feature; a second contact material over the first contact material, the second contact material comprising a material different than the first contact material, a first portion of the second contact material further comprising the first dopant; and a dielectric liner between the first dielectric layer and the metal feature, wherein a first portion of the dielectric liner includes the first dopant. In one embodiment, the first dopant includes germanium (Ge). In one embodiment, the first contact material includes cobalt (Co) and the second contact material includes tungsten (W). In one embodiment, the first portion of the first dielectric layer, the first portion of the second contact material, and the first portion of the dielectric liner each extend to depths of 1 nm to 15 nm. In one embodiment, the top surfaces of the first dielectric layer are the metal feature and the dielectric liner are flush with each other. In one embodiment, the semiconductor device further comprises a second dielectric layer over the conductive feature, a first portion of the second dielectric layer being doped with the first dopant, the first dielectric layer and the second dielectric layer each contacting sidewalls of the dielectric liner, and wherein the first dielectric layer and the second dielectric layer each comprise different materials. In one embodiment, the first dielectric layer includes silicon oxide and the second dielectric layer includes silicon nitride. In one embodiment, there is a maximum concentration of the first dopant in each of the first portion of the first dielectric layer, first portion of the second contact material, and first portion of the dielectric liner at a top surface of the first portion of the first dielectric layer, the first portion of the second contact material, and the first portion of the dielectric liner, respectively. In one embodiment, a maximum concentration of the first dopant in each of the first portion of the first dielectric layer, the first portion of the second contact material, and the first portion of the first dielectric layer is below a top surface of the first portion of the first dielectric layer, the first Section of the second contact material or the first section of the first dielectric layer.

Gemäß einer anderen Ausführungsform umfasst ein Halbleiterbauelement eine erste dielektrische Schicht über einem Substrat und einem leitfähigen Merkmal; eine erste dotierte dielektrische Schicht über der ersten dielektrischen Schicht; einen ersten Metallabschnitt in der ersten dielektrischen Schicht, der elektrisch mit dem leitfähigen Merkmal gekoppelt ist; einen dotierten Metallabschnitt über dem ersten Metallabschnitt, wobei der erste Metallabschnitt und der dotierte Metallabschnitt ein gleiches Metallmaterial umfassen; eine dielektrische Auskleidung zwischen der ersten dielektrischen Schicht und dem ersten Metallabschnitt; und eine dotierte Auskleidung über der dielektrischen Auskleidung und zwischen der ersten dotierten dielektrischen Schicht und dem dotierten Metallabschnitt, wobei die erste dotierte dielektrische Schicht, die dotierte Auskleidung und der dotierte Metallabschnitt jeweils erste Dotierstoffe umfassen. In einer Ausführungsform umfassen die ersten Dotierstoffe Xenon (Xe). In einer Ausführungsform umfasst das Halbleiterbauelement ferner einen zweiten Metallabschnitt zwischen dem ersten Metallabschnitt und dem leitfähigen Merkmal, wobei der zweite Metallabschnitt den ersten Metallabschnitt mit dem leitfähigen Merkmal elektrisch koppelt und der zweite Metallabschnitt ein anderes Metall als der erste Metallabschnitt umfasst. In einer Ausführungsform umfasst der zweite Metallabschnitt Kobalt (Co) und der erste Metallabschnitt umfasst Ruthenium (Ru). In einer Ausführungsform kontaktiert die dielektrische Auskleidung die Seitenwände des ersten Metallabschnitts und des zweiten Metallabschnitts, und die dotierte Auskleidung kontaktiert die Seitenwände des ersten Metallabschnitts. In einer Ausführungsform sind untere Ausdehnungen der ersten dotierten dielektrischen Schicht, des dotierten Metallabschnitts und der dotierten Auskleidung zueinander ausgerichtet.According to another embodiment, a semiconductor device includes a first dielectric layer over a substrate and a conductive feature; a first doped dielectric layer over the first dielectric layer; a first portion of metal in the first dielectric layer electrically coupled to the conductive feature; a doped metal portion overlying the first metal portion, the first metal portion and the doped metal portion comprising a same metal material; a dielectric liner between the first dielectric layer and the first metal section; and a doped liner over the dielectric liner and between the first doped dielectric layer and the doped metal portion, wherein the first doped dielectric layer, the doped liner, and the doped metal portion each include first dopants. In one embodiment, the first dopants include xenon (Xe). In one embodiment, the semiconductor device further includes a second metal portion between the first metal portion and the conductive feature, wherein the second metal portion electrically couples the first metal portion to the conductive feature, and the second metal portion includes a different metal than the first metal portion. In one embodiment, the second metal portion includes cobalt (Co) and the first metal portion includes ruthenium (Ru). In one embodiment, the dielectric liner contacts the sidewalls of the first metal portion and the second metal portion, and the doped liner contacts the sidewalls of the first metal portion. In one embodiment, bottom extents of the first doped dielectric layer, the doped metal portion, and the doped liner are aligned with one another.

Gemäß einer anderen Ausführungsform umfasst ein Verfahren Abscheiden einer ersten dielektrischen Schicht über einem leitfähigen Merkmal; Ätzen der ersten dielektrischen Schicht, um eine Öffnung zu bilden, die das leitfähige Merkmal freilegt; Bilden einer dielektrischen Auskleidung in der Öffnung, wobei die dielektrische Auskleidung Seitenwände der ersten dielektrischen Schicht auskleidet; Bilden eines ersten Metallabschnitts in der Öffnung über dem leitfähigen Merkmal; Bilden eines zweiten Metallabschnitts über dem ersten Metallabschnitt und Füllen der Öffnung, wobei der zweite Metallabschnitt ein Material umfasst, das sich von dem ersten Metallabschnitt unterscheidet; und Durchführen einer Ionenimplantation an der ersten dielektrischen Schicht, der dielektrischen Auskleidung und dem zweiten Metallabschnitt, wobei die Ionenimplantation bewirkt, dass sich das Material der ersten dielektrischen Schicht und der dielektrischen Auskleidung in Richtung auf den zweiten Metallabschnitt ausdehnt. In einer Ausführungsform umfasst das Bilden des ersten Metallabschnitts Abscheiden eines ersten Metallmaterials in der Öffnung; und Rückätzen des ersten Metallmaterials, wobei das erste Metallmaterial Kobalt umfasst. In einer Ausführungsform wird die Ionenimplantation bei einer Temperatur von -100 °C bis 25 °C durchgeführt. In einer Ausführungsform wird die Ionenimplantation mit Germanium-Dotierstoffen in einer Dosierung von 1 × 1014 Atomen/cm2 bis 1 × 1016 Atomen/cm2 durchgeführt, und die Ionenimplantation bewirkt, dass sich das Material der ersten dielektrischen Schicht und der dielektrischen Auskleidung in Richtung auf den zweiten Metallabschnitt um mindestens 1 nm ausdehnt. In einer Ausführungsform umfasst das Verfahren ferner Planarisieren des zweiten Metallabschnitts, der dielektrischen Auskleidung und der ersten dielektrischen Schicht nach dem Durchführen der Ionenimplantation.According to another embodiment, a method includes depositing a first dielectric layer over a conductive feature; etching the first dielectric layer to form an opening exposing the conductive feature; forming a dielectric liner in the opening, the dielectric liner lining sidewalls of the first dielectric layer; forming a first metal section in the opening over the conductive feature; forming a second metal portion over the first metal portion and filling the opening, the second metal portion comprising a material different than the first metal portion; and performing an ion implantation on the first dielectric layer, the dielectric liner, and the second metal portion, wherein the ion implantation causes the material of the first dielectric layer and the dielectric liner to expand toward the second metal portion. In one embodiment, forming the first metal portion includes depositing a first metal material in the opening; and etching back the first metal material, the first metal material comprising cobalt. In one embodiment, the ion implantation is performed at a temperature of -100°C to 25°C. In one embodiment, the ion implantation is performed with germanium dopants at a dosage of 1×10 14 atoms/cm 2 to 1×10 16 atoms/cm 2 and the ion implantation causes the material of the first dielectric layer and the dielectric liner to change extends toward the second metal section by at least 1 nm. In an embodiment, the method further includes planarizing the second metal portion, the dielectric liner, and the first dielectric layer after performing the ion implantation.

Das Vorstehende umreißt Merkmale mehrerer Ausführungsformen, sodass die Fachperson die Aspekte der vorliegenden Offenbarung besser verstehen kann. Die Fachperson sollte sich darüber im Klaren sein, dass sie die vorliegende Offenbarung ohne Weiteres als Grundlage für das Entwerfen oder Abwandeln anderer Prozesse und Strukturen verwenden kann, um dieselben Zwecke auszuführen und/oder dieselben Vorteile der vorliegend vorgestellten Ausführungsformen zu erzielen. Die Fachperson sollte auch erkennen, dass derartige äquivalente Konstruktionen nicht von dem Geist und Umfang der vorliegenden Offenbarung abweichen und dass sie verschiedene Änderungen, Ersetzungen und Modifikationen hieran vornehmen kann, ohne von dem Geist und Umfang der vorliegenden Offenbarung abzuweichen.The foregoing outlines features of several embodiments so that those skilled in the art may better understand aspects of the present disclosure. Those skilled in the art should appreciate that they can readily use the present disclosure as a basis for designing or modifying other processes and structures to carry out the same purposes and/or achieve the same advantages of the embodiments presented herein. It should also be appreciated by those skilled in the art that such equivalent constructions do not depart from the spirit and scope of the present disclosure and that various changes, substitutions and modifications can be made thereto without departing from the spirit and scope of the present disclosure.

ZITATE ENTHALTEN IN DER BESCHREIBUNGQUOTES INCLUDED IN DESCRIPTION

Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.This list of documents cited by the applicant was generated automatically and is included solely for the better information of the reader. The list is not part of the German patent or utility model application. The DPMA assumes no liability for any errors or omissions.

Zitierte PatentliteraturPatent Literature Cited

  • US 63/082045 [0001]US63/082045 [0001]

Claims (20)

Halbleiterbauelement, umfassend: eine erste dielektrische Schicht über einem leitfähigen Merkmal, wobei ein erster Abschnitt der ersten dielektrischen Schicht einen ersten Dotierstoff umfasst; ein Metallmerkmal, das elektrisch mit dem leitfähigen Merkmal gekoppelt ist, wobei das Metallmerkmal umfasst: ein erstes Kontaktmaterial in Kontakt mit dem leitfähigen Merkmal; ein zweites Kontaktmaterial über dem ersten Kontaktmaterial, wobei das zweite Kontaktmaterial ein Material umfasst, das sich von dem ersten Kontaktmaterial unterscheidet, wobei ein erster Abschnitt des zweiten Kontaktmaterials ferner den ersten Dotierstoff umfasst; und eine dielektrische Auskleidung zwischen der ersten dielektrischen Schicht und dem Metallmerkmal, wobei ein erster Abschnitt der dielektrischen Auskleidung den ersten Dotierstoff umfasst.A semiconductor device comprising: a first dielectric layer over a conductive feature, a first portion of the first dielectric layer comprising a first dopant; a metal feature electrically coupled to the conductive feature, the metal feature comprising: a first contact material in contact with the conductive feature; a second contact material over the first contact material, the second contact material comprising a material different than the first contact material, a first portion of the second contact material further comprising the first dopant; and a dielectric liner between the first dielectric layer and the metal feature, wherein a first portion of the dielectric liner includes the first dopant. Halbleiterbauelement nach Anspruch 1, wobei der erste Dotierstoff Germanium (Ge) umfasst.semiconductor device claim 1 , wherein the first dopant comprises germanium (Ge). Halbleiterbauelement nach Anspruch 1 oder 2, wobei das erste Kontaktmaterial Kobalt (Co) umfasst und das zweite Kontaktmaterial Wolfram (W) umfasst.semiconductor device claim 1 or 2 , wherein the first contact material comprises cobalt (Co) and the second contact material comprises tungsten (W). Halbleiterbauelement nach einem der vorhergehenden Ansprüche, wobei sich der erste Abschnitt der ersten dielektrischen Schicht, der erste Abschnitt des zweiten Kontaktmaterials und der erste Abschnitt der dielektrischen Auskleidung jeweils in Tiefen im Bereich von 1 nm bis 15 nm erstrecken.A semiconductor device as claimed in any preceding claim, wherein the first portion of the first dielectric layer, the first portion of the second contact material and the first portion of the dielectric liner each extend to depths in the range 1 nm to 15 nm. Halbleiterbauelement nach einem der vorhergehenden Ansprüche, wobei die oberen Flächen der ersten dielektrischen Schicht, des Metallmerkmals und der dielektrischen Auskleidung miteinander bündig sind.A semiconductor device as claimed in any preceding claim, wherein the top surfaces of the first dielectric layer, the metal feature and the dielectric liner are flush with one another. Halbleiterbauelement nach einem der vorhergehenden Ansprüche, ferner umfassend eine zweite dielektrische Schicht über dem leitfähigen Merkmal, wobei ein erster Abschnitt der zweiten dielektrischen Schicht mit dem ersten Dotierstoff dotiert ist, wobei die erste dielektrische Schicht und die zweite dielektrische Schicht jeweils Seitenwände der dielektrischen Auskleidung kontaktieren, und wobei die erste dielektrische Schicht und die zweite dielektrische Schicht jeweils unterschiedliche Materialien umfassen.The semiconductor device of any preceding claim, further comprising a second dielectric layer over the conductive feature, a first portion of the second dielectric layer being doped with the first dopant, the first dielectric layer and the second dielectric layer each contacting sidewalls of the dielectric liner, and wherein the first dielectric layer and the second dielectric layer each comprise different materials. Halbleiterbauelement nach Anspruch 6, wobei die erste dielektrische Schicht Siliziumoxid umfasst und die zweite dielektrische Schicht Siliziumnitrid umfasst.semiconductor device claim 6 , wherein the first dielectric layer comprises silicon oxide and the second dielectric layer comprises silicon nitride. Halbleiterbauelement nach einem der vorhergehenden Patentansprüche 1 bis 7, wobei sich eine maximale Konzentration des ersten Dotierstoffs in jedem von dem ersten Abschnitt der ersten dielektrischen Schicht, dem ersten Abschnitt des zweiten Kontaktmaterials und dem ersten Abschnitt der dielektrischen Auskleidung an einer oberen Fläche des ersten Abschnitts der ersten dielektrischen Schicht, des ersten Abschnitts des zweiten Kontaktmaterials bzw. des ersten Abschnitts der dielektrischen Auskleidung befindet.Semiconductor component according to one of the preceding patent claims 1 until 7 wherein a maximum concentration of the first dopant in each of the first portion of the first dielectric layer, the first portion of the second contact material, and the first portion of the dielectric liner is at a top surface of the first portion of the first dielectric layer, the first portion of the second Contact material or the first portion of the dielectric lining is located. Halbleiterbauelement nach einem der vorhergehenden Ansprüche 1 bis 7, wobei sich eine maximale Konzentration des ersten Dotierstoffs in jedem von dem ersten Abschnitt der ersten dielektrischen Schicht, dem ersten Abschnitt des zweiten Kontaktmaterials und dem ersten Abschnitt der ersten dielektrischen Schicht unterhalb einer oberen Fläche des ersten Abschnitts der ersten dielektrischen Schicht, des ersten Abschnitts des zweiten Kontaktmaterials bzw. des ersten Abschnitts der ersten dielektrischen Schicht befindet.Semiconductor component according to one of the preceding Claims 1 until 7 , wherein a maximum concentration of the first dopant in each of the first portion of the first dielectric layer, the first portion of the second contact material, and the first portion of the first dielectric layer is below a top surface of the first portion of the first dielectric layer, the first portion of the second contact material or the first portion of the first dielectric layer is located. Halbleiterbauelement, umfassend: eine erste dielektrische Schicht über einem Substrat und einem leitfähigem Merkmal; eine erste dotierte dielektrische Schicht über der ersten dielektrischen Schicht; einen ersten Metallabschnitt in der ersten dielektrischen Schicht, der elektrisch mit dem leitfähigen Merkmal gekoppelt ist; einen dotierten Metallabschnitt über dem ersten Metallabschnitt, wobei der erste Metallabschnitt und der dotierte Metallabschnitt ein gleiches Metallmaterial umfassen; eine dielektrische Auskleidung zwischen der ersten dielektrischen Schicht und dem ersten Metallabschnitt; und eine dotierte Auskleidung über der dielektrischen Auskleidung und zwischen der ersten dotierten dielektrischen Schicht und dem dotierten Metallabschnitt, wobei die erste dotierte dielektrische Schicht, die dotierte Auskleidung und der dotierte Metallabschnitt jeweils erste Dotierstoffe umfassen.A semiconductor device comprising: a first dielectric layer over a substrate and a conductive feature; a first doped dielectric layer over the first dielectric layer; a first portion of metal in the first dielectric layer electrically coupled to the conductive feature; a doped metal portion overlying the first metal portion, the first metal portion and the doped metal portion comprising a same metal material; a dielectric liner between the first dielectric layer and the first metal section; and a doped liner over the dielectric liner and between the first doped dielectric layer and the doped metal portion, wherein the first doped dielectric layer, the doped liner, and the doped metal portion each include first dopants. Halbleiterbauelement nach Anspruch 10, wobei die ersten Dotierstoffe Xenon (Xe) umfassen.semiconductor device claim 10 , wherein the first dopants comprise xenon (Xe). Halbleiterbauelement nach Anspruch 10 oder 11, ferner umfassend einen zweiten Metallabschnitt zwischen dem ersten Metallabschnitt und dem leitfähigen Merkmal, wobei der zweite Metallabschnitt den ersten Metallabschnitt mit dem leitfähigen Merkmal elektrisch koppelt und der zweite Metallabschnitt ein anderes Metall als der erste Metallabschnitt umfasst.semiconductor device claim 10 or 11 , further comprising a second metal portion between the first metal portion and the conductive feature, wherein the second metal portion electrically couples the first metal portion to the conductive feature and the second metal portion comprises a different metal than the first metal portion. Halbleiterbauelement nach Anspruch 12, wobei der zweite Metallabschnitt Kobalt (Co) umfasst und der erste Metallabschnitt Ruthenium (Ru) umfasst.semiconductor device claim 12 wherein the second metal portion comprises cobalt (Co) and the first metal portion comprises ruthenium (Ru). Halbleiterbauelement nach Anspruch 12 oder 13, wobei die dielektrische Auskleidung die Seitenwände des ersten Metallabschnitts und des zweiten Metallabschnitts kontaktiert, und wobei die dotierte Auskleidung die Seitenwände des ersten Metallabschnitts kontaktiert.semiconductor device claim 12 or 13 wherein the dielectric liner contacts the sidewalls of the first metal portion and the second metal portion, and wherein the doped liner contacts the sidewalls of the first metal portion. Halbleiterbauelement nach einem der vorhergehenden Ansprüche 10 bis 14, wobei untere Ausdehnungen der ersten dotierten dielektrischen Schicht, des dotierten Metallabschnitts und der dotierten Auskleidung zueinander ausgerichtet sind.Semiconductor component according to one of the preceding Claims 10 until 14 , wherein bottom extents of the first doped dielectric layer, the doped metal portion, and the doped liner are aligned with one another. Verfahren, das Folgendes umfasst: Abscheiden einer ersten dielektrischen Schicht über einem leitfähigen Merkmal; Ätzen der ersten dielektrischen Schicht, um eine Öffnung zu bilden, die das leitfähige Merkmal freilegt; Bilden einer dielektrischen Auskleidung in der Öffnung, wobei die dielektrische Auskleidung Seitenwände der ersten dielektrischen Schicht auskleidet; Bilden eines ersten Metallabschnitts in der Öffnung über dem leitfähigen Merkmal; Bilden eines zweiten Metallabschnitts über dem ersten Metallabschnitt und Füllen der Öffnung, wobei der zweite Metallabschnitt ein von dem ersten Metallabschnitt verschiedenes Material umfasst; und Durchführen einer Ionenimplantation an der ersten dielektrischen Schicht, der dielektrischen Auskleidung und dem zweiten Metallabschnitt, wobei die Ionenimplantation bewirkt, dass sich das Material der ersten dielektrischen Schicht und der dielektrischen Auskleidung in Richtung auf den zweiten Metallabschnitt ausdehnt.Procedure, which includes: depositing a first dielectric layer over a conductive feature; etching the first dielectric layer to form an opening exposing the conductive feature; forming a dielectric liner in the opening, the dielectric liner lining sidewalls of the first dielectric layer; forming a first metal section in the opening over the conductive feature; forming a second metal section over the first metal section and filling the opening, the second metal section comprising a different material than the first metal section; and performing an ion implantation on the first dielectric layer, the dielectric liner, and the second metal portion, wherein the ion implantation causes the material of the first dielectric layer and the dielectric liner to expand toward the second metal portion. Verfahren nach Anspruch 16, wobei das Bilden des ersten Metallabschnitts umfasst: Abscheiden eines ersten Metallmaterials in der Öffnung; und Rückätzen des ersten Metallmaterials, wobei das erste Metallmaterial Kobalt umfasst.procedure after Claim 16 , wherein forming the first metal portion comprises: depositing a first metal material in the opening; and etching back the first metal material, the first metal material comprising cobalt. Verfahren nach Anspruch 16 oder 17, wobei die Ionenimplantation bei einer Temperatur von -100 °C bis 25 °C durchgeführt wird.procedure after Claim 16 or 17 , wherein the ion implantation is performed at a temperature of -100 °C to 25 °C. Verfahren nach einem der vorhergehenden Ansprüche 16 bis 18, wobei die Ionenimplantation mit Germanium-Dotierstoffen in einer Dosierung von 1 × 1014 Atomen/cm2 bis 1 × 1016 Atomen/cm2 durchgeführt wird, und wobei die Ionenimplantation bewirkt, dass sich das Material der ersten dielektrischen Schicht und der dielektrischen Auskleidung in Richtung auf den zweiten Metallabschnitt um mindestens 1 nm ausdehnt.Method according to any of the preceding Claims 16 until 18 , wherein the ion implantation is performed with germanium dopants at a dosage of 1×10 14 atoms/cm 2 to 1×10 16 atoms/cm 2 , and wherein the ion implantation causes the material of the first dielectric layer and the dielectric liner to change extends towards the second metal section by at least 1 nm. Verfahren nach einem der vorhergehenden Ansprüche 16 bis 19, ferner umfassend Planarisieren des zweiten Metallabschnitts, der dielektrischen Auskleidung und der ersten dielektrischen Schicht nach dem Durchführen der Ionenimplantation.Method according to any of the preceding Claims 16 until 19 , further comprising planarizing the second metal portion, the dielectric liner, and the first dielectric layer after performing the ion implantation.
DE102021108872.0A 2020-09-23 2021-04-09 SEMICONDUCTOR DEVICE AND METHOD Pending DE102021108872A1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063082045P 2020-09-23 2020-09-23
US63/082,045 2020-09-23
US17/223,293 2021-04-06
US17/223,293 US11862694B2 (en) 2020-09-23 2021-04-06 Semiconductor device and method

Publications (1)

Publication Number Publication Date
DE102021108872A1 true DE102021108872A1 (en) 2022-03-24

Family

ID=79232800

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102021108872.0A Pending DE102021108872A1 (en) 2020-09-23 2021-04-09 SEMICONDUCTOR DEVICE AND METHOD

Country Status (5)

Country Link
US (2) US11862694B2 (en)
KR (1) KR20220040360A (en)
CN (1) CN113921519A (en)
DE (1) DE102021108872A1 (en)
TW (1) TWI821698B (en)

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7473593B2 (en) * 2006-01-11 2009-01-06 International Business Machines Corporation Semiconductor transistors with expanded top portions of gates
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9406804B2 (en) 2014-04-11 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with contact-all-around
US9443769B2 (en) 2014-04-21 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact
US9831183B2 (en) 2014-08-07 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure and method of forming
US9466563B2 (en) 2014-12-01 2016-10-11 Stmicroelectronics, Inc. Interconnect structure for an integrated circuit and method of fabricating an interconnect structure
KR102292813B1 (en) * 2015-10-14 2021-08-23 삼성전자주식회사 Semiconductor device and method for fabricating the same
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9548366B1 (en) 2016-04-04 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self aligned contact scheme
US10510851B2 (en) * 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Low resistance contact method and structure
US10347720B2 (en) * 2017-10-30 2019-07-09 Taiwan Semiconductor Manufacturing Co., Ltd. Doping for semiconductor device with conductive feature
US10763168B2 (en) 2017-11-17 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with doped via plug and method for forming the same
US10790142B2 (en) 2017-11-28 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Selective capping processes and structures formed thereby
US11145751B2 (en) 2018-03-29 2021-10-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with doped contact plug and method for forming the same
US10861953B2 (en) * 2018-04-30 2020-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Air spacers in transistors and methods forming same
US10854503B2 (en) 2018-07-16 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with air gap and method sealing the air gap
US11227918B2 (en) 2018-07-31 2022-01-18 Taiwan Semiconductor Manufacturing Company, Ltd. Melt anneal source and drain regions
US10879393B2 (en) * 2018-08-14 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of fabricating semiconductor devices having gate structure with bent sidewalls
US11069812B2 (en) 2018-09-28 2021-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device and method of forming the same
US11227830B2 (en) * 2018-10-31 2022-01-18 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive features having varying resistance
US11456383B2 (en) * 2019-08-30 2022-09-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having a contact plug with an air gap spacer
DE102020114875B4 (en) * 2019-08-30 2024-02-22 Taiwan Semiconductor Manufacturing Co., Ltd. FINFET APPARATUS AND METHOD
US11227950B2 (en) * 2019-09-16 2022-01-18 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming air spacers in semiconductor devices
US11489053B2 (en) * 2020-04-09 2022-11-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method

Also Published As

Publication number Publication date
TWI821698B (en) 2023-11-11
US20230411474A1 (en) 2023-12-21
TW202213536A (en) 2022-04-01
US20220093758A1 (en) 2022-03-24
CN113921519A (en) 2022-01-11
KR20220040360A (en) 2022-03-30
US11862694B2 (en) 2024-01-02

Similar Documents

Publication Publication Date Title
DE102019116036B4 (en) SEMICONDUCTOR DEVICE AND METHOD
DE102020114875B4 (en) FINFET APPARATUS AND METHOD
DE102020115611A1 (en) SEMICONDUCTOR DEVICE AND METHOD
DE102020109491A1 (en) SEMICONDUCTOR DEVICE AND METHOD
DE102021116181A1 (en) TRANSISTOR GATE STRUCTURES AND METHODS OF FORMING SAME
DE102020115554A1 (en) DOUBLE DOPER SOURCE / DRAIN REGIONS AND THEIR MANUFACTURING METHODS
DE102021104817B4 (en) SEMICONDUCTOR DEVICE AND METHOD
DE102019121278A1 (en) Semiconductor device and method of manufacture
DE102021105733A1 (en) CONTACT PLUG STRUCTURE OF A SEMICONDUCTOR DEVICE AND METHOD OF FORMING THE SAME
DE102021103538A1 (en) SEMICONDUCTOR DEVICE AND METHOD
DE102021107846A1 (en) SEMICONDUCTOR DEVICE AND METHOD
DE102021101001A1 (en) SEMICONDUCTOR DEVICE AND METHOD
DE102020115408A1 (en) SEMICONDUCTOR DEVICE AND METHOD
DE102020110678B4 (en) SEMICONDUCTOR DEVICE AND METHOD
DE102021118124A1 (en) Transistor isolation regions and methods of forming the same
DE102021109560A1 (en) TRANSISTOR GATE STRUCTURES AND METHODS OF FORMING THEM
DE102021108858A1 (en) SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURE
DE102020132620A1 (en) Semiconductor device and method
DE102021100990A1 (en) SEMICONDUCTOR DEVICE AND METHOD
DE102020120658A1 (en) Transistor gates and processes for their manufacture
DE102020114860A1 (en) TRANSISTOR GATES AND METHOD OF MAKING THEM
DE102021116786B4 (en) METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE
DE102021108872A1 (en) SEMICONDUCTOR DEVICE AND METHOD
DE102020115255B4 (en) Method of manufacturing a semiconductor device
DE102021110397B4 (en) SOURCE/DRAIN REGIONS AND METHODS FOR THEIR FORMATION

Legal Events

Date Code Title Description
R012 Request for examination validly filed