DE102020132616A1 - Biosensorsystem mit integrierter mikronadel - Google Patents

Biosensorsystem mit integrierter mikronadel Download PDF

Info

Publication number
DE102020132616A1
DE102020132616A1 DE102020132616.5A DE102020132616A DE102020132616A1 DE 102020132616 A1 DE102020132616 A1 DE 102020132616A1 DE 102020132616 A DE102020132616 A DE 102020132616A DE 102020132616 A1 DE102020132616 A1 DE 102020132616A1
Authority
DE
Germany
Prior art keywords
layer
biosensor system
system package
mli
cap structure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102020132616.5A
Other languages
English (en)
Inventor
Allen Timothy Chang
Jui-Cheng Huang
Wen-Chuan Tai
Yu-Jie Huang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102020132616A1 publication Critical patent/DE102020132616A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N33/00Investigating or analysing materials by specific methods not covered by groups G01N1/00 - G01N31/00
    • G01N33/48Biological material, e.g. blood, urine; Haemocytometers
    • G01N33/50Chemical analysis of biological material, e.g. blood, urine; Testing involving biospecific ligand binding methods; Immunological testing
    • G01N33/53Immunoassay; Biospecific binding assay; Materials therefor
    • G01N33/543Immunoassay; Biospecific binding assay; Materials therefor with an insoluble carrier for immobilising immunochemicals
    • G01N33/54366Apparatus specially adapted for solid-phase testing
    • G01N33/54373Apparatus specially adapted for solid-phase testing involving physiochemical end-point determination, e.g. wave-guides, FETS, gratings
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N27/00Investigating or analysing materials by the use of electric, electrochemical, or magnetic means
    • G01N27/26Investigating or analysing materials by the use of electric, electrochemical, or magnetic means by investigating electrochemical variables; by using electrolysis or electrophoresis
    • G01N27/28Electrolytic cell components
    • G01N27/30Electrodes, e.g. test electrodes; Half-cells
    • G01N27/327Biochemical electrodes, e.g. electrical or mechanical details for in vitro measurements
    • G01N27/3271Amperometric enzyme electrodes for analytes in body fluids, e.g. glucose in blood
    • AHUMAN NECESSITIES
    • A61MEDICAL OR VETERINARY SCIENCE; HYGIENE
    • A61BDIAGNOSIS; SURGERY; IDENTIFICATION
    • A61B5/00Measuring for diagnostic purposes; Identification of persons
    • A61B5/145Measuring characteristics of blood in vivo, e.g. gas concentration, pH value; Measuring characteristics of body fluids or tissues, e.g. interstitial fluid, cerebral tissue
    • A61B5/14507Measuring characteristics of blood in vivo, e.g. gas concentration, pH value; Measuring characteristics of body fluids or tissues, e.g. interstitial fluid, cerebral tissue specially adapted for measuring characteristics of body fluids other than blood
    • A61B5/1451Measuring characteristics of blood in vivo, e.g. gas concentration, pH value; Measuring characteristics of body fluids or tissues, e.g. interstitial fluid, cerebral tissue specially adapted for measuring characteristics of body fluids other than blood for interstitial fluid
    • A61B5/14514Measuring characteristics of blood in vivo, e.g. gas concentration, pH value; Measuring characteristics of body fluids or tissues, e.g. interstitial fluid, cerebral tissue specially adapted for measuring characteristics of body fluids other than blood for interstitial fluid using means for aiding extraction of interstitial fluid, e.g. microneedles or suction
    • AHUMAN NECESSITIES
    • A61MEDICAL OR VETERINARY SCIENCE; HYGIENE
    • A61BDIAGNOSIS; SURGERY; IDENTIFICATION
    • A61B5/00Measuring for diagnostic purposes; Identification of persons
    • A61B5/68Arrangements of detecting, measuring or recording means, e.g. sensors, in relation to patient
    • A61B5/6846Arrangements of detecting, measuring or recording means, e.g. sensors, in relation to patient specially adapted to be brought in contact with an internal body part, i.e. invasive
    • A61B5/6847Arrangements of detecting, measuring or recording means, e.g. sensors, in relation to patient specially adapted to be brought in contact with an internal body part, i.e. invasive mounted on an invasive device
    • A61B5/685Microneedles
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B7/00Microstructural systems; Auxiliary parts of microstructural devices or systems
    • B81B7/0006Interconnects
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B7/00Microstructural systems; Auxiliary parts of microstructural devices or systems
    • B81B7/0032Packages or encapsulation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B7/00Microstructural systems; Auxiliary parts of microstructural devices or systems
    • B81B7/02Microstructural systems; Auxiliary parts of microstructural devices or systems containing distinct electrical or optical devices of particular relevance for their function, e.g. microelectro-mechanical systems [MEMS]
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00023Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems without movable or flexible elements
    • B81C1/00119Arrangement of basic structures like cavities or channels, e.g. suitable for microfluidic systems
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00261Processes for packaging MEMS devices
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N27/00Investigating or analysing materials by the use of electric, electrochemical, or magnetic means
    • G01N27/26Investigating or analysing materials by the use of electric, electrochemical, or magnetic means by investigating electrochemical variables; by using electrolysis or electrophoresis
    • G01N27/27Association of two or more measuring systems or cells, each measuring a different parameter, where the measurement results may be either used independently, the systems or cells being physically associated, or combined to produce a value for a further parameter
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N27/00Investigating or analysing materials by the use of electric, electrochemical, or magnetic means
    • G01N27/26Investigating or analysing materials by the use of electric, electrochemical, or magnetic means by investigating electrochemical variables; by using electrolysis or electrophoresis
    • G01N27/403Cells and electrode assemblies
    • G01N27/4035Combination of a single ion-sensing electrode and a single reference electrode
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N27/00Investigating or analysing materials by the use of electric, electrochemical, or magnetic means
    • G01N27/26Investigating or analysing materials by the use of electric, electrochemical, or magnetic means by investigating electrochemical variables; by using electrolysis or electrophoresis
    • G01N27/403Cells and electrode assemblies
    • G01N27/406Cells and probes with solid electrolytes
    • G01N27/407Cells and probes with solid electrolytes for investigating or analysing gases
    • G01N27/4071Cells and probes with solid electrolytes for investigating or analysing gases using sensor elements of laminated structure
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N27/00Investigating or analysing materials by the use of electric, electrochemical, or magnetic means
    • G01N27/26Investigating or analysing materials by the use of electric, electrochemical, or magnetic means by investigating electrochemical variables; by using electrolysis or electrophoresis
    • G01N27/403Cells and electrode assemblies
    • G01N27/414Ion-sensitive or chemical field-effect transistors, i.e. ISFETS or CHEMFETS
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N27/00Investigating or analysing materials by the use of electric, electrochemical, or magnetic means
    • G01N27/26Investigating or analysing materials by the use of electric, electrochemical, or magnetic means by investigating electrochemical variables; by using electrolysis or electrophoresis
    • G01N27/403Cells and electrode assemblies
    • G01N27/414Ion-sensitive or chemical field-effect transistors, i.e. ISFETS or CHEMFETS
    • G01N27/4145Ion-sensitive or chemical field-effect transistors, i.e. ISFETS or CHEMFETS specially adapted for biomolecules, e.g. gate electrode with immobilised receptors
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N27/00Investigating or analysing materials by the use of electric, electrochemical, or magnetic means
    • G01N27/26Investigating or analysing materials by the use of electric, electrochemical, or magnetic means by investigating electrochemical variables; by using electrolysis or electrophoresis
    • G01N27/403Cells and electrode assemblies
    • G01N27/414Ion-sensitive or chemical field-effect transistors, i.e. ISFETS or CHEMFETS
    • G01N27/4148Integrated circuits therefor, e.g. fabricated by CMOS processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49838Geometry or layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2201/00Specific applications of microelectromechanical systems
    • B81B2201/02Sensors
    • B81B2201/0214Biosensors; Chemical sensors
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2201/00Specific applications of microelectromechanical systems
    • B81B2201/05Microfluidics
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2203/00Forming microstructural systems
    • B81C2203/01Packaging MEMS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/0212Auxiliary members for bonding areas, e.g. spacers
    • H01L2224/02122Auxiliary members for bonding areas, e.g. spacers being formed on the semiconductor or solid-state body
    • H01L2224/02163Auxiliary members for bonding areas, e.g. spacers being formed on the semiconductor or solid-state body on the bonding area
    • H01L2224/02165Reinforcing structures
    • H01L2224/02166Collar structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/4805Shape
    • H01L2224/4809Loop shape
    • H01L2224/48091Arched
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73265Layer and wire connectors

Landscapes

  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Molecular Biology (AREA)
  • General Physics & Mathematics (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • General Health & Medical Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • Electrochemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Biomedical Technology (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Hematology (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Heart & Thoracic Surgery (AREA)
  • Veterinary Medicine (AREA)
  • Public Health (AREA)
  • Medical Informatics (AREA)
  • Surgery (AREA)
  • Animal Behavior & Ethology (AREA)
  • Biophysics (AREA)
  • Urology & Nephrology (AREA)
  • Optics & Photonics (AREA)
  • Geometry (AREA)
  • Cell Biology (AREA)
  • Microbiology (AREA)
  • Biotechnology (AREA)
  • Food Science & Technology (AREA)
  • Medicinal Chemistry (AREA)
  • Dispersion Chemistry (AREA)
  • Measurement Of The Respiration, Hearing Ability, Form, And Blood Characteristics Of Living Organisms (AREA)
  • Apparatus Associated With Microorganisms And Enzymes (AREA)

Abstract

Ein Biosensorsystem-Package weist auf: eine Transistorstruktur in einer Halbleiterschicht mit einer Vorderseite und einer Rückseite, wobei die Transistorstruktur einen Kanalbereich aufweist; eine vergrabene Oxidschicht (BOX-Schicht) auf der Rückseite der Halbleiterschicht, wobei die vergrabene Oxidschicht eine Öffnung an der Rückseite des Kanalbereichs aufweist und eine Grenzflächenschicht die Rückseite über dem Kanalbereich bedeckt; eine mehrschichtige Interconnect-Struktur (MLI-Struktur) auf der Vorderseite der Halbleiterschicht, wobei die Transistorstruktur mit der MLI-Struktur elektrisch verbunden ist; und eine Kappenstruktur angebracht an der vergrabenen Oxidschicht, wobei die Kappenstruktur eine Mikronadel aufweist.

Description

  • PRIORITÄTSANSPRUCH UND QUERVERWEIS
  • Diese Anmeldung beansprucht die Priorität der vorläufigen US-Patentanmeldung Nr. 62967,850 , eingereicht am 30. Jänner 2020, die durch Bezugnahme vollumfänglich in die vorliegende Anmeldung aufgenommen wird.
  • STAND DER TECHNIK
  • Biosensoren sind Vorrichtungen zum Erkennen und Nachweisen von Biomolekülen und arbeiten auf der Grundlage elektronischer, elektrochemischer, optischer und mechanischer Erfassungsprinzipien. Biosensoren, welche Transistoren aufweisen, sind Sensoren, welche Ladungen, Photonen und mechanische Eigenschaften von biologischen Einheiten oder Biomolekülen elektrisch erfassen. Die Erfassung kann vorgenommen werden, indem die biologischen Einheiten oder Biomoleküle selbst erfasst werden, oder durch Wechselwirkung und Reaktion zwischen festgelegten Reaktanten und biologischen Einheiten/Biomolekülen. Solche Biosensoren können unter Verwendung von Halbleiterprozessen hergestellt werden, können elektrische Signale rasch umwandeln, und können problemlos mit integrierten Schaltungen (ICs) und mikroelektromechanischen Systemen (MEMS) verwendet werden.
  • Figurenliste
  • Aspekte der vorliegenden Offenbarung lassen sich am besten anhand der folgenden detaillierten Beschreibung in Verbindung mit den beiliegenden Zeichnungen verstehen. Es ist zu beachten, dass gemäß der branchenüblichen Praxis verschiedene Merkmale nicht maßstabsgetreu dargestellt sind. Tatsächlich können die Abmessungen der verschiedenen Merkmale zugunsten einer klaren Erläuterung willkürlich vergrößert oder verkleinert sein.
    • 1A ist ein Blockdiagramm eines Beispielbiosensorsystems im Einklang mit einigen Ausführungsformen.
    • 1B ist eine schematische Darstellung eines Beispielbiosensors, welches im Biosensorsystem von 1A verwendet wird, im Einklang mit einigen Ausführungsformen.
    • 2A ist ein Querschnittsdiagramm, welches ein Biosensorsystem-Package im Einklang mit einigen Ausführungsformen darstellt.
    • 2B ist ein Querschnittsdiagramm, welches ein weiteres Biosensorsystem-Package 200b im Einklang mit einigen Ausführungsformen darstellt.
    • 3A ist eine Draufsicht eines integrierten durchgehenden Biomarkerüberwachungs- und Behandlungschips im Einklang mit einigen Ausführungsformen.
    • 3B ist ein Querschnittsdiagramm, welches den Querschnitt des integrierten durchgehenden Biomarkerüberwachungs- und Behandlungschips entlang einer Linie A - A' von 3A im Einklang mit einigen Ausführungsformen darstellt.
    • 3C ist ein Querschnittsdiagramm, welches den Querschnitt des integrierten durchgehenden Biomarkerüberwachungs- und Behandlungschips entlang einer Linie B - B' von 3A im Einklang mit einigen Ausführungsformen darstellt.
    • 3D ist ein Querschnittsdiagramm, welches den Querschnitt des integrierten durchgehenden Biomarkerüberwachungs- und Behandlungschips entlang einer Linie C - C' von 3A im Einklang mit einigen Ausführungsformen darstellt.
    • 3E ist ein Diagramm, welches die Verwendung des integrierten durchgehenden Biomarkerüberwachungs- und Behandlungschips von 3A im Einklang mit einigen Ausführungsformen darstellt.
    • 3F ist ein Ablaufdiagramm, welches ein Verfahren zum Betreiben des integrierten durchgehenden Biomarkerüberwachungs- und Behandlungschips von 3A im Einklang mit einigen Ausführungsformen darstellt.
    • 4A ist eine Draufsicht eines simultanen Biomarkerüberwachungs- und Arzneimittelabgabebehandlungschips und dessen Anwendung im Einklang mit einigen Ausführungsformen.
    • 4B ist ein Ablaufdiagramm, welches ein Verfahren für den simultanen Biomarkerüberwachungs- und Arzneimittelabgabebehandlungschip von 4A im Einklang mit einigen Ausführungsformen darstellt.
    • 5A ist eine Draufsicht eines weiteren integrierten durchgehenden Biomarkerüberwachungs- und Behandlungschips im Einklang mit einigen Ausführungsformen.
    • 5B ist ein Querschnittsdiagramm, welches den Querschnitt des integrierten durchgehenden Biomarkerüberwachungs- und Behandlungschips entlang einer Linie A - A' von 5A im Einklang mit einigen Ausführungsformen darstellt.
    • 5C ist ein Querschnittsdiagramm, welches den Querschnitt des integrierten durchgehenden Biomarkerüberwachungs- und Behandlungschips entlang einer Linie B - B' von 5A im Einklang mit einigen Ausführungsformen darstellt.
    • 5D ist ein Querschnittsdiagramm, welches den Querschnitt des integrierten durchgehenden Biomarkerüberwachungs- und Behandlungschips entlang einer Linie C - C' von 5A im Einklang mit einigen Ausführungsformen darstellt.
    • 5E ist ein Diagramm, welches die Verwendung des integrierten durchgehenden Biomarkerüberwachungs- und Behandlungschips von 5A im Einklang mit einigen Ausführungsformen darstellt.
    • 5F ist ein Ablaufdiagramm, welches ein Verfahren zur fortlaufenden Biomarkerüberwachung im Einklang mit einigen Ausführungsformen darstellt.
    • 5G ist ein Diagramm, welches die Verwendung des integrierten durchgehenden Biomarkerüberwachungs- und Behandlungschips von 5A im Einklang mit einigen Ausführungsformen darstellt.
    • 5H ist ein Ablaufdiagramm, welches ein Verfahren zur fortlaufenden Biomarkerüberwachung mit Arzneimittelabgabebehandlung im geschlossenen Kreislauf im Einklang mit einigen Ausführungsformen darstellt.
    • 6A und 6B sind Ablaufdiagramme, welche ein Verfahren zum Herstellen des Biosensorsystem-Packages von 2A beziehungsweise 2B im Einklang mit einigen Ausführungsformen darstellen.
    • 6C ist ein Ablaufdiagramm, welches den Schritt 624 des Verfahrens von 6A und 6B im Einklang mit einigen Ausführungsformen darstellt.
    • 6D ist ein Ablaufdiagramm, welches den Schritt 636 des Verfahrens von 6A und 6B im Einklang mit einigen Ausführungsformen darstellt.
    • 6E ist ein weiteres Ablaufdiagramm, welches den Schritt 636 des Verfahrens von 6A und 6B im Einklang mit einigen Ausführungsformen darstellt.
    • 7 - 38 sind Querschnittsdiagramme, welche das gemäß einem oder mehreren Schritten des Verfahrens von 6A und 6B konstruierte Biosensorsystem-Package im Einklang mit einigen Ausführungsformen darstellt.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Die folgende Offenbarung stellt viele unterschiedliche Ausführungsformen oder Beispiele zur Umsetzung verschiedener Merkmale des bereitgestellten Gegenstands bereit. Nachfolgend sind spezifische Beispiele von Komponenten und Anordnungen beschrieben, um die vorliegende Offenbarung zu vereinfachen. Dabei handelt es sich selbstverständlich nur um Beispiele, welche keinesfalls als Einschränkung auszulegen sind. Zum Beispiel kann die Bildung eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung Ausführungsformen umfassen, in welchen das erste und das zweite Merkmal in direktem Kontakt miteinander gebildet sind, kann jedoch auch Ausführungsformen umfassen, in welchen zusätzliche Merkmale derart zwischen dem ersten Merkmal und dem zweiten Merkmal gebildet sein können, dass das erste und das zweite Merkmal gegebenenfalls nicht in direktem Kontakt miteinander stehen. Ferner können Bezugszeichen in den verschiedenen Beispielen der vorliegende Offenbarung wiederholt werden. Diese Wiederholung dient dem Zweck der Vereinfachung und Klarheit und schreibt nicht grundsätzlich eine Beziehung zwischen den verschiedenen hierin erläuterten Ausführungsformen und/oder Konfigurationen vor.
  • Ferner können Begriffe räumlicher Beziehungen, wie zum Beispiel „unter“, „unten“, „abwärts“, „über“, „oben“, „abwärts“ und dergleichen hierin zum Zweck einer einfacheren Beschreibung der Beziehung eines in den Figuren dargestellten Elements oder Merkmals zu (einem) anderen Element(en) oder Merkmal(en) verwendet werden. Die Begriffe räumlicher Beziehungen sollen dazu dienen, verschiedene Ausrichtungen der Vorrichtung bei der Verwendung oder im Betrieb zusätzlich zur in den Figuren abgebildeten Ausrichtung einzuschließen. Die Vorrichtung kann anders ausgerichtet (um 90 Grad gedreht oder in anderen Ausrichtungen) angeordnet sein, und die hierin verwendeten Begriffe räumlicher Beziehungen können somit auch dementsprechend ausgelegt werden.
  • In der Regel bezieht sich der hierin verwendete Begriff „BioFET“ auf einen Feldeffekttransistor (FET), welcher eine Schicht aus immobilisierten Einfangreagenzien, welche als Oberflächenrezeptoren dienen, um das Vorhandensein eines Zielanalyten biologischen Ursprungs nachzuweisen, aufweist. Ein BioFET ist ein Feldeffektsensor mit einem Halbleiterwandler im Einklang mit einigen Ausführungsformen. Ein Vorteil von BioFETs ist Aussicht auf eine markierungsfreie Funktion. Insbesondere ermöglichen BioFETs die Vermeidung kostspieliger und zeitaufwändiger Markierungsvorgänge, wie zum Beispiel das Markieren eines Analyten mit fluoreszierenden oder radioaktiven Testsubstanzen. Die Analyten zum Nachweis durch einen BioFET sind normalerweise biologischen Ursprungs, wie zum Beispiel - aber ohne Einschränkung - Proteine, Kohlenhydrate, Lipide, Gewebefragmente oder Abschnitte derselben. Ein BioFET kann ein Bestandteil eines breiteren Spektrums von FET-Sensoren sein, welche auch eine beliebige chemische Verbindung (im Fachgebiet bekannt als ein „ChemFET“) oder ein beliebiges anderes Element, wie zum Beispiel Ionen, unter anderem Protonen oder Metallionen, (im Fachgebiet bekannt als ein „ISFET“) nachweisen können. Diese Offenbarung betrifft sämtliche Typen von Sensoren auf FET-Basis („FET-Sensoren“).
  • Ein „Einfangreagens“ wie hierin verwendet ist ein Molekül oder eine Verbindung, welches/welche in der Lage ist, den Zielanalyten oder das Zielreagens zu binden, welches/welche direkt oder indirekt an einen im Wesentlichen festen Werkstoff gebunden sein kann. Das Einfangreagens kann eine chemische, und ausdrücklich eine beliebige, Substanz sein, für welche es einen natürlich vorkommenden Zielanalyten (zum Beispiel einen Antikörper, ein Polypeptid, DNA, RNA, eine Zelle, einen Virus, etc.) gibt, oder für welche ein Zielanalyt hergestellt werden kann, und der Einfangreagens kann in einer Analyse an einen oder mehrere Zielanalyten binden.
  • „Zielanalyt“ wie hierin verwendet ist die Substanz, welche in der Untersuchungsprobe unter Verwendung der vorliegenden Offenbarung nachgewiesen werden soll. Der Zielanalyt kann eine chemische, und ausdrücklich eine beliebige, Substanz sein, für welche es ein natürlich vorkommendes Einfangreagenz (zum Beispiel einen Antikörper, ein Polypeptid, DNA, RNA, eine Zelle, einen Virus, etc.) gibt, oder für welche ein Einfangreagens hergestellt werden kann, und der Zielanalyt kann in einer Analyse an ein oder mehrere Einfangreagenzien binden. „Zielanalyt“ umfasst auch beliebige Antigensubstanzen, Antikörper oder Kombinationen davon. Der Zielanalyt kann ein Protein, ein Peptid, eine Aminosäure, ein Kohlenhydrat, ein Hormon, ein Steroid, ein Vitamin, ein Arzneimittel oder eine Droge, einschließlich jenen, welche für therapeutische Zwecke verabreicht werden, sowie jenen, welche zu rechtswidrigen Zwecken eingenommen werden, ein Bakterium, einen Virus und Metaboliten von oder Antikörper zu einer der obengenannten Substanzen enthalten.
  • „Biomarker“ wie hierin verwendet bedeutet einen messbaren Indikator des Schweregrads oder des Vorhandenseins eines bestimmten Krankheitszustands. Allgemeiner betrachtet ist ein Biomarker alles das, was als ein Indikator für einen bestimmten Krankheitszustand oder einen anderen physiologischen Zustand eines Organismus verwendet werden kann. Ein Biomarker kann eine Substanz sein, welche als ein Mittel zum Untersuchen einer Organfunktion oder anderer Gesundheitsaspekte in einen Organismus eingebracht wird. Zum Beispiel wird Rubidiumchlorid in der Isotopenmarkierung verwendet, um die Durchblutung des Herzmuskels zu überprüfen. Es kann sich auch um eine Substanz handeln, deren Erkennung einen bestimmten Krankheitszustand anzeigt, zum Beispiel kann das Vorhandensein eines Antikörpers eine Infektion anzeigen. Genauer gesagt zeigt ein Biomarker einen Wechsel der Expression oder des Zustands eines Proteins an, welcher mit dem Risiko oder dem Voranschreiten einer Krankheit oder mit der Empfänglichkeit der Krankheit für eine bestimmte Behandlung korreliert. Biomarker können charakteristische biologische Eigenschaften oder Moleküle sein, welche in bestimmten Körperteilen, wie dem Blut oder dem Gewebe, erfasst und gemessen werden können. Sie können entweder normale oder krankhafte Prozesse im Körper anzeigen. Biomarker können bestimmte Zellen, Moleküle oder Gene, Genprodukte, Enzyme oder Hormone sein. Komplexe Organfunktionen oder allgemeine charakteristische Veränderungen biologischer Strukturen können ebenfalls als Biomarker dienen.
  • „Untersuchungsprobe‟ wie hierin verwendet bedeutet die Zusammensetzung, die Lösung, die Substanz, das Gas oder die Flüssigkeit, welche/s den Zielanalyten enthält, welcher unter Verwendung der vorliegenden Offenbarung erfasst und untersucht werden soll. Neben dem Zielanalyten kann die Untersuchungsprobe andere Komponenten enthalten, kann die physikalischen Attribute einer Flüssigkeit oder eines Gases aufweisen, und kann von beliebiger Größe oder beliebigem Volumen sein, wie zum Beispiel ein sich bewegender Strom einer Flüssigkeit oder eines Gases. Die Untersuchungsprobe kann neben dem Zielanalyten beliebige weitere Substanzen enthalten, solange diese anderen Substanzen die Bindung des Zielanalyten mit dem Einfangreagens oder die spezifische Bindung des ersten Bindungselements mit dem zweiten Bindungselement nicht beeinträchtigt. Nicht einschränkende Beispiele für Untersuchungsproben sind natürlich vorkommende und nicht natürlich vorkommende Proben oder Kombinationen derselben. Natürlich vorkommende Untersuchungsproben können synthetisch oder synthetisiert sein. Natürlich vorkommende Untersuchungsproben umfassen irgendwo im oder am Körper eines Subjekts isolierte Körperflüssigkeiten, wie zum Beispiel, jedoch nicht beschränkt auf, Blut, Plasma, Serum, Urin, Speichel oder Auswurf, Rückenmarksflüssigkeit, Zerebrospinalflüssigkeit (Liquor), Pleuraflüssigkeit, Brustwarzenaspiratflüssigkeiten, Lymphflüssigkeit, Flüssigkeiten der Atem-, Verdauungs- und Urogenitaltrakte, Tränenflüssigkeit, Muttermilch, Flüssigkeit aus dem Lymphsystem, Samen, Systemflüssigkeiten in Organen, Aszitesflüssigkeit, Tumorzystenflüssigkeit, Fruchtwasser und Kombinationen davon, sowie Umweltproben, wie zum Beispiel Grundwasser oder Abwasser, Bodenextrakte, Luft und Pestizidrückstände oder Proben in Zusammenhang mit Lebensmitteln.
  • Erfasste Substanzen können zum Beispiel Nukleinsäuren (unter anderem DNA und RNA), Hormone, verschiedene Pathogene (unter anderem ein biologisches Mittel, welches Krankheit oder Unwohlsein in seinem Wirt verursacht, wie zum Beispiel ein Virus (zum Beispiel H7N9 oder HIV), ein Protozoon (zum Beispiel Malaria verursachendes Plasmodium), oder ein Bakterium (zum Beispiel E. coli oder Mycobacterium tuberculosis)), Proteine, Antikörper, verschiedene Arzneimittel oder Therapeutika oder andere chemische oder biologische Substanzen, unter anderem Wasserstoff oder andere Ionen, nichtionische Moleküle oder Verbindungen, Polysaccharide, kleine chemische Verbindungen, wie zum Beispiel Elemente chemischkombinatorischer Bibliotheken und dergleichen enthalten. Erfasste oder bestimmte Parameter können unter anderem, aber ohne auf diese beschränkt zu sein, pH-Veränderungen, Laktoseveränderungen, sich verändernde Konzentration, Teilchen pro Zeiteinheit, wenn ein Fluid für einen bestimmten Zeitraum über eine Vorrichtung fließt, um Teilchen zu entdecken (zum Beispiel in geringer Anzahl vorkommende Teilchen) und andere Parameter umfassen.
  • Wie hierin verwendet umfasst der Begriff „immobilisiert“, wenn er zum Beispiel in Bezug auf ein Einfangreagens verwendet wird, im Wesentlichen das Anheften des Einfangreagens auf einer molekularen Ebene an einer Oberfläche. Zum Beispiel kann ein Einfangreagens an einer Oberfläche des Substratmaterials immobilisiert werden, indem Adsorptionstechniken, wie zum Beispiel nicht-kovalente Wechselwirkungen (zum Beispiel elektrostatische Kräfte, Van der Waals und Dehydration hydrophober Grenzflächen) und kovalente Bindungstechniken, bei welchen funktionelle Gruppen oder Linker das Anheften des Einfangreagens an der Oberfläche erleichtern, verwendet werden. Das Immobilisieren eines Einfangreagens an einer Oberfläche eines Substratmaterials kann auf der Grundlage der Eigenschaften der Substratoberfläche, des Mediums, welches das Einfangreagens trägt und der Eigenschaften des Einfangreagens erfolgen. In einigen Fällen kann eine Substratoberfläche zuerst modifiziert werden, damit sich funktionelle Gruppen an die Oberfläche binden. Dann können die funktionellen Gruppen an Biomoleküle oder biologische oder chemische Substanzen binden, um auf diesen immobilisiert zu werden.
  • Ein Biosensorsystem weist unter anderem einen Erfassungschip und eine Mikronadel auf. Die Mikronadel und der Erfassungschip werden häufig getrennt voneinander hergestellt und später manuell zusammengesetzt, wobei es sich um keine skalierbare Fertigungslösung handelt.
  • Im Einklang mit einigen Ausführungsformen wird eine Packaging-Lösung auf Wafer-Ebene bereitgestellt, um Erfassungschips zusammen mit Kappenstrukturen mit Mikronadeln herzustellen. Die Lösung kann zur Überwachung von Biomarkern und/oder Arzneimittelverabreichung verwendet werden. Da Mikronadeln und Erfassungschips gemeinsam gefertigt werden, ist es nicht nötig, die Mikronadeln und die Erfassungschips manuell zusammenzusetzen. Es handelt sich daher um eine besser skalierbare Fertigungslösung und kann Herstellungskosten senken. Die verstärkte Integration macht es ferner möglich, ein Biomarker-Überwachungs- und Arzneimittelverabreichungs-Rückmeldungssystem zu schaffen. Bei der Bereitstellung von Therapie für einen Patienten kann ein solches Rückmeldungssystem verhindern, dass eine zu hohe Menge an Arzneimitteln verabreicht wird, welche für den Patienten giftig werden könnte. Das Rückmeldungssystem ist ein Rückmeldungssystem mit geschlossener Regelschleife, in welchem die Verabreichung der Arzneimittel von den Biomarker-Werten abhängt. Eine große Anzahl von Biosensoren kann als eine Anordnung für jede der mikrofluidischen Kammern der Kappenstruktur, welche von einer/mehreren Mikronadel(n) bedient werden, benutzt werden. Dies stellt eine bessere statistische Analyse der Erfassungsergebnisse bereit und verringert das Signal-Rausch-Verhältnis (SNR) der Ergebnisse. Im Einklang mit einigen Ausführungsformen kann das Biosensorsystem-Package durch Draht-Bondung mit einem separaten Chip/Die verbunden werden. Im Einklang mit einigen Ausführungsformen kann das Biosensorsystem-Package mittels einer Durchkontaktierungsstruktur durch das Substrat (TSV) mit einem separaten Chip/Die verbunden werden.
  • 1A ist ein Blockdiagramm eines Beispielbiosensorsystems 100 im Einklang mit einigen Ausführungsformen. 1B ist eine schematische Darstellung eines Beispielbiosensors 103, welcher im Biosensorsystem 100 von 1A verwendet wird, im Einklang mit einigen Ausführungsformen. Wie in 1A gezeigt, kann das Beispielbiosensorsystem 100 unter anderem eine Biosensoranordnung 102, eine Steuersensoranordnung 104, Temperatursensoren 106, eine Referenzelektrode 108, eine Sensorschnittstelle 130, einen Verstärker 132, einen Leistungsregler 134, einen Analog-/Digital-Wandler (ADC) 136, ein digitales Steuermodul 138, einen drahtlosen Senderempfänger (TRX) 140, eine Heizvorrichtung 142 und Bondpads 144 aufweisen.
  • Die Biosensoranordnung 102 kann mindestens ein Erfassungselement zum Erfassen eines biologischen oder chemischen Analyten aufweisen. Die Biosensoranordnung 102 kann eine Anordnung von Biosensoren (zum Beispiel einen Biosensor 103, wie in 1B gezeigt) aufweisen, wobei ein oder mehrere der Biosensoren in der Anordnung dafür funktionalisiert sind, einen bestimmten Zielanalyten zu erkennen. Verschiedene der Biosensoren können unter Verwendung unterschiedlicher Einfangreagens zum Erkennen unterschiedlicher Zielanalyten funktionalisiert sein. Die Biosensoren können in einer Mehrzahl von Zeilen und Spalten, welche eine zweidimensionale Anordnung von Biosensoren bilden, angeordnet sein. In einigen Ausführungsformen ist jede der Zeilen von Biosensoren unter Verwendung eines anderen Einfangreagens funktionalisiert. In einigen Ausführungsformen ist jede der Spalten von Biosensoren unter Verwendung eines anderen Einfangreagens funktionalisiert. In einigen Ausführungsformen ist jeweils ein bestimmter Bereich von Zeilen und Spalten von Biosensoren unter Verwendung eines anderen Einfangreagens funktionalisiert. Weitere Details in Bezug auf einen Beispielbiosensor 103 werden nachfolgend unter Bezugnahme auf 1B bereitgestellt.
  • Die Steuersensoranordnung 104 weist ähnliche Strukturen auf, wie die Biosensoranordnung 102. Die Steuersensoranordnung 104 stellt Referenzsignale bereit, welche mit den an der Biosensoranordnung 102 erzeugten Signalen zu vergleichen sind, um Differenzsignale zu erzeugen. Die Sensorschnittstelle 130 stellt Schnittstellen mit der Biosensoranordnung 102 und der Steuersensoranordnung 104 dar. Die entstehenden Differenzsignale werden durch den Verstärker 132 weiter verstärkt. Die Referenzelektrode 108 stellt ein Referenzpotential bereit. Die Referenzelektrode 108 kann aus einem der folgenden Materialien hergestellt sein: Ag/AgCl, Cu/CuSO4, AgCl, Au und P. Für Ag/AgCl kann eine chemische Behandlung an der abgeschiedenen und strukturierten Ag-Schicht erforderlich sein, um das AgCl zu erzeugen. Für Cu/CuSO4 kann eine chemische Behandlung an der abgeschiedenen und strukturierten Cu-Schicht erforderlich sein, um das CuSO4 zu erzeugen. Für Anwendungen, bei welchen das Erfassen bei bestimmten Temperaturen zu erfolgen hat, kann die Heizvorrichtung 142 die Temperatur der Biosensoranordnung 102 und der Steuersensoranordnung 104 basierend auf den durch die Temperatursensoren 106 erfassten Rückmeldungssignalen anpassen. Der ADC 136 kann durch den Verstärker verstärkte analoge Signale in digitale Signale umwandeln. Das digitale Steuermodul 138 kann als eine Steuerung für das Biosensorsystem 100 dienen. Die Bondpads 144 werden dazu verwendet, das Biosensorsystem mit anderen Chips oder gedruckten Schaltungsplatten (PCB) zu verbinden. Alternativ dazu kann der drahtlose Senderempfänger 140 Daten über drahtlose Kommunikation senden und empfangen.
  • Wie in 1B gezeigt, kann der Beispielbiosensor 103 unter anderem ein Fluid-Gate 112, einen Source-Bereich 114, einen Drain-Bereich 116, einen Erfassungsfilm 118 und einen Kanalbereich 120 aufweisen. Ein Fluid 122 ist über dem Erfassungsfilm 118 angeordnet. Das Fluid 122 kann den nicht gezeigten Analyten enthalten. Der Erfassungsfilm 118 kann eine elektrisch und chemisch isolierende Schicht sein, welche das Fluid 122 vom Kanalbereich 120 trennt. Der Erfassungsfilm 118 kann unter anderem eine Schicht eines Einfangreagens aufweisen. Der Einfangreagens ist spezifisch für einen Analyten und in der Lage, den Zielanalyten oder das Zielreagens zu binden. Beim Binden des Analyten treten Änderungen des elektrostatischen Potentials an der Oberfläche des Erfassungsfilms 118 auf, was wiederum einen elektrostatischen Durchlass- oder Gating-Effekt des Biosensors 103 sowie eine messbare Veränderung eines Stroms Ids 126 zwischen der Source- und der Drain-Elektrode nach sich zieht. Eine an das Fluid-Gate 112 angelegte Spannung kann ebenfalls den Ids 126 verändern.
  • 2A ist ein Querschnittsdiagramm, welches ein Biosensorsystem-Package 200a im Einklang mit einigen Ausführungsformen darstellt. 2B ist ein Querschnittsdiagramm, welches ein weiteres Biosensorsystem-Package 200b im Einklang mit einigen Ausführungsformen darstellt. 6A und 6B sind Ablaufdiagramme, welche ein Verfahren zum Herstellen des Biosensorsystem-Packages 200a und 200b (zusammen mit 200 bezeichnet) von 2A beziehungsweise 2B im Einklang mit einigen Ausführungsformen darstellen. 6C ist ein Ablaufdiagramm, welches den Schritt 624 des Verfahrens 600 im Einklang mit einigen Ausführungsformen darstellt. 6D ist ein Ablaufdiagramm, welches den Schritt 636 des Verfahrens 600 im Einklang mit einigen Ausführungsformen darstellt. 6E ist ein weiteres Ablaufdiagramm, welches den Schritt 636 des Verfahrens 600 im Einklang mit einigen Ausführungsformen darstellt. Es ist zu beachten, dass zusätzliche Schritte vor, während und nach dem Verfahren 600 bereitgestellt werden können, und dass einige der nachfolgend beschriebenen Schritte für zusätzliche Ausführungsformen des Verfahrens ersetzt oder weggelassen werden können.
  • Ferner ist anzumerken, dass das Verfahren 600 ein CMOS-kompatibler Prozessablauf ist. Die 7 - 38 sind Querschnittsdiagramme, welche das gemäß einem oder mehreren Schritten des Verfahrens von 6A und 6B konstruierte Biosensorsystem-Package im Einklang mit einigen Ausführungsformen darstellen. Zu beachten ist, dass die 2A - 2B und 7-38 schematische Darstellung sind, welche nicht maßstabsgetreu gezeichnet sind.
  • Wie in den 2A und 2B gezeigt, weist jedes der Biosensorsystem-Packages 200a und 200b (zusammen 200) eine Vorderseite (F) und eine Rückseite (B) auf. Beim Beispiel, welches in 2A und 2B gezeigt ist, weist jedes der Biosensorsystem-Packages 200a und 200b unter anderem eine vergrabene Oxidschicht (BOX-Schicht) 206 und eine Halbleiterschicht 208, eine Transistorstruktur (das heißt, einen FET) 210, einen Temperatursensor 211, eine Mehrebenen-Interconnect-Struktur (MLI-Struktur) 212, ein Trägersubstrat 220, einen separaten Chip/Die (zum Beispiel einen RAM- und Datenverarbeitungschip) 250, einen Graben 222, eine Grenzflächenschicht (zum Beispiel eine Schicht aus einem Material mit hohem k-Wert) 224, eine Referenzelektrode 227 und eine Kappenstruktur 228 auf. Der separate Chip 250 ist durch Draht-Bondung mit dem Biosensorsystem-Package 200a von 2A verbunden, während der separate Chip 250 durch eine Durchkontaktierungsstruktur durch das Substrat (TSV-Struktur) 246 und einen Löthöcker 248 mit dem Biosensorsystem-Package 200b von 2B verbunden ist. Die TSV-Struktur 246 ist an der Vorderseite (F) angeordnet. Die Kappenstruktur 228 ist an der Rückseite (B) angebracht. Die Kappenstruktur 228 weist unter anderem ein Kappenstruktursubstrat 230, (eine) Kammer(n) 244, eine Mikronadel 241, einen Einlass 274 und optional eine Schicht aus dielektrischem Material mit hohem k-Wert 242 auf. Die Kammer 244 kann zu prüfende Flüssigkeitsproben aufnehmen. Einzelheiten der Komponenten des Biosensorsystem-Packages 200 werden im Folgenden unter Bezugnahme auf die 6A - 6E und 7 - 38 beschrieben.
  • 3A ist eine Draufsicht eines integrierten, durchgehenden Biomarkerüberwachungs- und -Behandlungschips 300 im Einklang mit einigen Ausführungsformen. 3B ist ein Querschnittsdiagramm, welches den Querschnitt des integrierten durchgehenden Biomarkerüberwachungs- und Behandlungschips 300 entlang einer Linie A - A' von 3A im Einklang mit einigen Ausführungsformen darstellt. 3C ist ein Querschnittsdiagramm, welches den Querschnitt des integrierten durchgehenden Biomarkerüberwachungs- und Behandlungschips 300 entlang einer Linie B - B' von 3A im Einklang mit einigen Ausführungsformen darstellt. 3D ist ein Querschnittsdiagramm, welches den Querschnitt des integrierten durchgehenden Biomarkerüberwachungs- und Behandlungschips 300 entlang einer Linie C - C' von 3A im Einklang mit einigen Ausführungsformen darstellt. 3E ist ein Diagramm, welches die Verwendung des integrierten durchgehenden Biomarkerüberwachungs und Behandlungschips 300 von 3A im Einklang mit einigen Ausführungsformen darstellt. 3F ist ein Ablaufdiagramm, welches ein Verfahren 390 zum Betreiben des integrierten durchgehenden Biomarkerüberwachungs- und -Behandlungschips 300 von 3A im Einklang mit einigen Ausführungsformen darstellt.
  • Wie in 3A - 3D gezeigt, kann der integrierte durchgehende Biomarkerüberwachungs- und -Behandlungschip 300 unter anderem eine anwendungsspezifische integrierte Schaltung (ASIC) eines komplementären Metalloxidhalbleiters (CMOS) 348, eine Kappenstruktur 362 angebracht an der Rückseite der CMOS-ASIC 348 und eine Gas-Flüssig-Trennmembran 358 aufweisen. Im in den 3A - 3D gezeigten Beispiel ist die Kappenstruktur 362 über Wafer-Bondungs-Strukturen 364 an der CMOS-ASIC 348 angebracht, wobei auch andere Mittel zur Bondung benutzt werden können. Die Beispiel-CMOS-ASIC 348 weist unter anderem eine Biosensoranordnung 302 und eine Steuersensoranordnung 304 an der Rückseite der CMOS-ASIC 348 auf. Die Beispielkappenstruktur 362 weist unter anderem eine Fluidkammer 354 und mehrere Mikronadeln 350 auf. Die Fluidkammer 354 kann Fluid aufnehmen, welches Biomarkermoleküle (zum Beispiel Glukosemoleküle) 360 enthalten kann. Wie oben erklärt, können die Biosensoranordnung 302 und die Steuersensoranordnung 304 das Vorhandensein und die Dichte der Biomarkermoleküle 360 erfassen. Das Fluid gelangt durch die mehreren Mikronadeln 350 in die Fluidkammer 354. Die Anzahl von Mikronadeln 350 kann nach Bedarf variieren. Für jede der Mikronadeln 350 gibt es einen (Silizium-) Mikronadelkanal 352, welcher die Fluidkammer 354 mit der Außenseite verbindet. Die Gas-Flüssig-Trennmembran 358 ist dafür eingerichtet, Luftblasen in der Fluidkammer 354 zu beseitigen, da nur Gas die Gas-Flüssig-Trennmembran 358 passieren kann.
  • Bezugnehmend auf die 3E und 3F wird der integrierte durchgehende Biomarkerüberwachungs- und Behandlungschip 300 für eine fortlaufende Biomarkerüberwachung verwendet, und das Verfahren 390 zum Betreiben des integrierten durchgehenden Biomarkerüberwachungs und -Behandlungschips 300 beginnt mit Schritt 391. Bei Schritt 391 werden die Mikronadeln 350 in eine Haut 368 eingeführt. Insbesondere dringen die Mikronadeln 350 in die Haut 368 eines Körpers (zum Beispiel eines menschlichen Körpers) 366 ein. Biomarkermoleküle (zum Beispiel Glukosemoleküle) 360 können im Körper 366 vorhanden sein (zum Beispiel unter der Haut 368, innerhalb vom und rund um das Blutgefäß 370). Bei Schritt 392 kann Zwischenzellenflüssigkeit durch die Mikronadelkanäle 352 der Mikronadeln 350 auf natürlichem Weg durch Druck in die Fluidkammer 354 fließen. Folglich gelangen auch die Biomarkermoleküle 360 in die Fluidkammer 354. Bei Schritt 393 erfasst die CMOS-ASIC 348 mit der Biosensoranordnung 302 und der Steuersensoranordnung 304 die Biomarkermoleküle 360 und übermittelt Daten. Insbesondere kann die Biosensoranordnung 302 zusammen mit der Steuersensoranordnung 304 das Vorhandensein und die Dichte der Biomarkermoleküle 360 erfassen. Das erfasste Signal wird durch die CMOS-ASIC 348 weiterverarbeitet (zum Beispiel verstärkt, umgewandelt, etc.). Die Ergebnisdaten können entweder über die Bondpads 344, welche in 3A gezeigt sind, oder durch das drahtlose Senderempfängermodul 140, welches in 1A gezeigt ist, übertragen werden. Somit kann der integrierte durchgehende Biomarkerüberwachungs- und Behandlungschip 300 die Biomarkermoleküle 360 fortlaufend erfassen, was wiederum zur Diagnose oder Behandlung bestimmter Krankheiten (zum Beispiel Diabetes) in Zusammenhang mit den Biomarkermolekülen 360 verwendet werden kann.
  • 4A ist eine Draufsicht eines Chips 400 zur simultanen Biomarkerüberwachung und Arzneimittelabgabebehandlung und dessen Anwendung im Einklang mit einigen Ausführungsformen. 4B ist ein Ablaufdiagramm, welches ein Verfahren 490 zum Betreiben des Chips 400 zur simultanen Biomarkerüberwachung und Arzneimittelabgabebehandlung von 4A im Einklang mit einigen Ausführungsformen darstellt.
  • Wie in 4A gezeigt, kann der Chip 400 zur simultanen Biomarkerüberwachung und Arzneimittelabgabebehandlung 400 unter anderem eine CMOS-ASIC 448, eine Kappenstruktur 462 angebracht an der Rückseite der CMOS-ASIC 448 und zwei Gas-Flüssigkeitstrennmembranen 458a und 458b aufweisen. In dem in 4A gezeigten Beispiel ist die Kappenstruktur 462 über nicht gezeigte Wafer-Bondungs-Strukturen an der CMOS-ASIC 448 angebracht, wobei auch andere Mittel zur Bondung benutzt werden können. Die Beispiel-CMOS-ASIC 448 weist unter anderem eine Biosensoranordnung 402 und eine Steuersensoranordnung 404 an der Rückseite des CMOS-ASIC 448 auf. Die Beispielkappenstruktur 462 weist unter anderem eine Fluidkammer 454, einen Arzneimittelkanal 455 und mehrere Mikronadeln 450 auf. Die Fluidkammer 454 kann Fluid aufnehmen, welches nicht gezeigte Biomarkermoleküle (zum Beispiel Glukosemoleküle) enthalten kann. Wie oben erklärt, können die Biosensoranordnung 402 und die Steuersensoranordnung 404 das Vorhandensein und die Dichte der Biomarkermoleküle erfassen. Das Fluid gelangt durch die mehreren Mikronadeln 450 in die Fluidkammer 454. Die Anzahl von Mikronadeln 450 kann nach Bedarf variieren. Andererseits kann der Arzneimittelkanal die Arzneimittellösung 474, welche ursprünglich außerhalb des Chips 400 zur simultanen Biomarkerüberwachung und Arzneimittelabgabebehandlung angeordnet ist, aufnehmen. Die Arzneimittellösung 474 außerhalb des Chips 400 zur simultanen Biomarkerüberwachung und Arzneimittelabgabebehandlung ist durch ein Fluidikventil 476 und eine Pumpe 472 mit dem Arzneimittelkanal 455 verbunden. Das Fluidikventil 476 kann basierend auf Steuersignalen ein- und ausgeschaltet werden. Wenn das Fluidikventil 476 eingeschaltet ist, kann die Arzneimittellösung 474 zur Verabreichung über die Mikronadeln 450 in den Arzneimittelkanal 455 gepumpt werden. Die Gas-Flüssigkeitstrennmembranen 458a und 458b sind dafür eingerichtet, Luftblasen in der Fluidkammer 454 beziehungsweise dem Arzneimittelkanal 455 zu beseitigen.
  • Bezugnehmend auf 4B und 4A wird der Chip 400 zur simultanen Biomarkerüberwachung und Arzneimittelabgabebehandlung für die simultane Biomarkerüberwachung und Arzneimittelabgabebehandlung verwendet, und das Verfahren 490 zum Betreiben des Chips für simultane Biomarkerüberwachung und Arzneimittelabgabebehandlung beginnt mit Schritt 491. Bei Schritt 491 werden die Mikronadeln 450 in eine nicht gezeigte Haut eingeführt. Insbesondere dringen die Mikronadeln 450 in die nicht gezeigte Haut eines Körpers (zum Beispiel eines menschlichen Körpers) ein. Nicht gezeigte Biomarkermoleküle (zum Beispiel Glukosemoleküle) können im Körper vorhanden sein. Nicht gezeigte Zwischenzellenflüssigkeit kann auf natürliche Weise durch Druck über die Mikronadeln 450 in die Fluidkammer 454 fließen. Folglich können auch die nicht gezeigten Biomarkermoleküle in die Fluidkammer 454 gelangen. Bei Schritt 492 wird das Fluidikventil 476 ausgeschaltet. Somit kann die Arzneimittellösung 474 nicht in den Arzneimittelkanal 455 fließen. Bei Schritt 493 erfasst die CMOS-ASIC 448 mit der Biosensoranordnung 402 und der Steuersensoranordnung 404 die Biomarkermoleküle und übermittelt Daten. Insbesondere kann die Biosensoranordnung 402 zusammen mit der Steuersensoranordnung 404 das Vorhandensein und die Dichte der Biomarkermoleküle erfassen. Das erfasste Signal wird durch die CMOS-ASIC 448 weiterverarbeitet (zum Beispiel verstärkt, umgewandelt, etc.). Bei Schritt 494 ermittelt die CMOS-ASIC 448, dass die Biomarkerkonzentration einen anormalen Wert (zum Beispiel über einer Schwellenkonzentration) erreicht. Bei Schritt 495 wird das Fluidikventil 476 dann eingeschaltet. Folglich fließt bei Schritt 496 die Arzneimittellösung 474 in den Arzneimittelkanal 455 (zum Beispiel gepumpt durch die Pumpe 472) und fließt in der Folge durch die Mikronadeln 450 in die Haut/den Körper. Somit wird die Arzneimittellösung 474 verabreicht und die Arzneimittelabgabebehandlung beginnt. Andererseits erfasst die CMOS-ASIC 448 nach wie vor fortlaufend die Biomarkermoleküle und übermittelt Daten wie bei Schritt 493. Aufgrund der Arzneimittelabgabebehandlung wird die Biomarkerkonzentration mit der Zeit niedriger. Bei Schritt 497 ermittelt die CMOS-ASIC 448, dass die Biomarkerkonzentration wieder einen normalen Wert (zum Beispiel unter der Schwellenkonzentration) erreicht hat. Folglich wird das Fluidikventil 476 erneut ausgeschaltet, sodass die Arzneimittellösung 474 nicht in den Arzneimittelkanal 455 fließen kann. Demgemäß kann das Verfahren 490 mit einem integrierten Chip simultan eine Biomarkerüberwachung und eine Arzneimittelabgabebehandlung erzielen. Mit anderen Worten wird die Biomarkerkonzentration ständig überwacht, und die Arzneimittelabgabebehandlung wird basierend auf der Echtzeitbiomarkerkonzentration ausgelöst.
  • 5A ist eine Draufsicht eines weiteren integrierten durchgehenden Biomarkerüberwachungs- und Behandlungschips 500 im Einklang mit einigen Ausführungsformen. 5B ist ein Querschnittsdiagramm, welches den Querschnitt des integrierten Chips 500 zur fortlaufenden Biomarkerüberwachung und Behandlung entlang einer Linie A - A' von 5A im Einklang mit einigen Ausführungsformen darstellt. 5C ist ein Querschnittsdiagramm, welches den Querschnitt des integrierten Chips 500 zur fortlaufenden Biomarkerüberwachung und Behandlung entlang einer Linie B - B' von 5A im Einklang mit einigen Ausführungsformen darstellt. 5D ist ein Querschnittsdiagramm, welches den Querschnitt des integrierten Chips 500 zur fortlaufenden Biomarkerüberwachung und Behandlung entlang einer Linie C - C' von 5A im Einklang mit einigen Ausführungsformen darstellt. 5E ist ein Diagramm, welches die Verwendung des integrierten Chips 500 zur fortlaufenden Biomarkerüberwachung und Behandlung von 5A im Einklang mit einigen Ausführungsformen darstellt. 5F ist ein Ablaufdiagramm, welches ein Verfahren 580 zur fortlaufenden Biomarkerüberwachung im Einklang mit einigen Ausführungsformen darstellt. 5G ist ein Diagramm, welches die Verwendung des integrierten Chips 500 zur fortlaufenden Biomarkerüberwachung und Behandlung von 5A im Einklang mit einigen Ausführungsformen darstellt. 5H ist ein Ablaufdiagramm, welches ein Verfahren 590 zur fortlaufenden Biomarkerüberwachung mit Medikamentenabgabebehandlung im geschlossenen Kreislauf im Einklang mit einigen Ausführungsformen darstellt.
  • Wie in den 5A - 5D gezeigt, kann der Chip 500 zur fortlaufenden Biomarkerüberwachung und Arzneimittelabgabebehandlung unter anderem eine CMOS-ASIC 548, eine Kappenstruktur 562 angebracht an der Rückseite der CMOS-ASIC 548, einen Einlass 578 und eine Gas-Flüssigkeitstrennmembran 558 aufweisen. In dem in den 5A - 5D gezeigten Beispiel ist die Kappenstruktur 562 über Wafer-Bondungs-Strukturen 564 an der CMOS-ASIC 548 angebracht, wobei auch andere Mittel zur Bondung benutzt werden können. Die Beispiel-CMOS-ASIC 548 weist unter anderem eine Biosensoranordnung 502 und eine Steuersensoranordnung 504 an der Rückseite des CMOS-ASIC 548 auf. Die Beispielkappenstruktur 562 weist unter anderem eine Fluidkammer 554 und mehrere Mikronadeln 550 auf. Die Fluidkammer 554 kann Fluid aufnehmen, welches Biomarkermoleküle (zum Beispiel Glukosemoleküle) 560 enthalten kann. Wie oben erklärt, können die Biosensoranordnung 502 und die Steuersensoranordnung 504 das Vorhandensein und die Dichte der Biomarkermoleküle 560 erfassen. Das Fluid kann durch den Einlass 578 und/oder die mehreren Mikronadeln 550 in die Fluidkammer 554 gelangen. Die Anzahl von Mikronadeln 550 kann nach Bedarf variieren. Für jede der Mikronadeln 550 gibt es einen (Silizium-) Mikronadelkanal 552, welcher die Fluidkammer 554 mit der Außenseite verbindet. Die Gas-Flüssig-Trennmembran 558 ist dafür eingerichtet, Luftblasen in der Fluidkammer 554 zu beseitigen, da nur Gas die Gas-Flüssig-Trennmembran 558 passieren kann.
  • Bezugnehmend auf die 5E und 5F wird der integrierte durchgehende Biomarkerüberwachungs- und Behandlungschip 500 zur fortlaufenden Biomarkerüberwachung verwendet. Wie in 5E gezeigt, ist die Fluidkammer 554 durch ein Fluidikventil 576 und eine Pumpe 572 mit der Pufferlösung 575 verbunden. Eine Pufferlösung ist eine wässrige Lösung bestehend aus einem Gemisch einer schwachen Säure und deren konjugierter Base, oder umgekehrt. In einem Beispiel ist die Pufferlösung 575 1XPBS (physiologische Kochsalzlösung 1X) oder PBS mit niedrigeren Konzentrationen, wie zum Beispiel 0.1XPBS oder 0.01XPBS. In einem anderen Beispiel ist die Pufferlösung 575 HEPES [(4-(2-Hydroxyethyl)-i-Piperazinethanesulfonsäure)]. In noch einem weiteren Beispiel ist die Pufferlösung 575 TRIS [Tris(hydroxymethyl)aminomethan]. Bei Schritt 581 wird das Fluidikventil 576 eingeschaltet, und die Pufferlösung 575 wird in die Fluidkammer 554 gefüllt. Bei Schritt 582 werden die Mikronadeln 550 in eine Haut 568 eingeführt. Insbesondere dringen die Mikronadeln 550 in die Haut 568 eines Körpers (zum Beispiel eines menschlichen Körpers) 566 ein. Biomarkermoleküle (zum Beispiel Glukosemoleküle) 560 können im Körper 566 vorhanden sein (zum Beispiel unter der Haut 568, innerhalb vom und rund um das Blutgefäß 570). Zwischenzellenflüssigkeit kann durch die Mikronadelkanäle 552 der Mikronadeln 550 auf natürlichem Weg durch Druck in die Fluidkammer 554 fließen. Folglich gelangen auch die Biomarkermoleküle 560 in die Fluidkammer 554. Bei Schritt 583 wird das Fluidikventil 576 ausgeschaltet. Bei Schritt 584 erfasst die CMOS-ASIC 548 mit der Biosensoranordnung 502 und der Steuersensoranordnung 504 laufend die Biomarkermoleküle 560 und übermittelt Daten. Insbesondere kann die Biosensoranordnung 502 zusammen mit der Steuersensoranordnung 504 das Vorhandensein und die Dichte der Biomarkermoleküle 560 erfassen. Das erfasste Signal wird durch die CMOS-ASIC 548 weiterverarbeitet (zum Beispiel verstärkt, umgewandelt, etc.). Die Ergebnisdaten können entweder über die Bondpads 544, welche in 5A gezeigt sind, oder alternativ dazu durch das drahtlose Senderempfängermodul 140, welches in 1A gezeigt ist, übertragen werden. Somit kann der integrierte durchgehende Biomarkerüberwachungs- und Behandlungschip 500 die Biomarkermoleküle 560 fortlaufend erfassen, was wiederum zur Diagnose oder Behandlung bestimmter Krankheiten (zum Beispiel Diabetes) in Zusammenhang mit den Biomarkermolekülen 560 verwendet werden kann.
  • Andererseits wird der integrierte durchgehenden Biomarkerüberwachungs- und Behandlungschip 500 bezugnehmend auf die 5G und 5H zur fortlaufenden Biomarkerüberwachung mit Arzneimittelabgabebehandlung im geschlossenen Kreislauf verwendet. Wie in 5G gezeigt, ist die Fluidkammer 554 durch den Einlass 578, welcher ferner durch ein Fluidikventil 576a („V1“) beziehungsweise ein weiteres Fluidikventil 576b („V2“) sowohl mit der Pufferlösung 575 als auch mit der Arzneimittellösung 574 verbunden ist, mit einer Pumpe 572 verbunden. Bei Schritt 591 wird das Fluidikventil 576a eingeschaltet und das Fluidikventil 576b wird ausgeschaltet. Folglich wird die Pufferlösung 575 in die Fluidkammer 554 gefüllt. Bei Schritt 592 werden die Mikronadeln 550 in eine Haut 568 eingeführt. Insbesondere dringen die Mikronadeln 550 in die Haut 568 eines Körpers (zum Beispiel eines menschlichen Körpers) 566 ein. Biomarkermoleküle (zum Beispiel Glukosemoleküle) 560 können im Körper 566 vorhanden sein (zum Beispiel unter der Haut 568, innerhalb vom und rund um das Blutgefäß 570). Zwischenzellenflüssigkeit kann durch die Mikronadelkanäle 552 der Mikronadeln 550 auf natürlichem Weg durch Druck in die Fluidkammer 554 fließen. Folglich gelangen auch die Biomarkermoleküle 560 in die Fluidkammer 554. Bei Schritt 593 werden sowohl das Fluidikventil 576a als auch das Fluidikventil 576b ausgeschaltet. Bei Schritt 594 erfasst die CMOS-ASIC 548 mit der Biosensoranordnung 502 und der Steuersensoranordnung 504 laufend die Biomarkermoleküle 560 und übermittelt Daten. Insbesondere kann die Biosensoranordnung 502 zusammen mit der Steuersensoranordnung 504 das Vorhandensein und die Dichte der Biomarkermoleküle 560 erfassen. Das erfasste Signal wird durch die CMOS-ASIC 548 weiterverarbeitet (zum Beispiel verstärkt, umgewandelt, etc.). Die Ergebnisdaten können entweder über die Bondpads 544, welche in 5A gezeigt sind, oder alternativ dazu durch das drahtlose Senderempfängermodul 140, welches in 1A gezeigt ist, übertragen werden. Bei Schritt 595 ermittelt die CMOS-ASIC 548, dass die Biomarkerkonzentration einen anormalen Wert (zum Beispiel über einer Schwellenkonzentration) erreicht. Bei Schritt 596 wird dann das Fluidikventil 576b eingeschaltet und das Fluidikventil 576a bleibt für einen bestimmten Zeitraum ausgeschaltet. Folglich fließt bei Schritt 597 die Arzneimittellösung 574 in die Arzneimittelkanalfluidkammer 554 (zum Beispiel gepumpt durch die Pumpe 572) und fließt in der Folge durch die Mikronadeln 550 in die Haut/den Körper. Somit wird die Arzneimittellösung 474 verabreicht und die Arzneimittelabgabebehandlung beginnt. Andererseits erfasst die CMOS-ASIC 448 nach wie vor fortlaufend die Biomarkermoleküle und übermittelt Daten wie bei Schritt 594. Aufgrund der Arzneimittelabgabebehandlung wird die Biomarkerkonzentration mit der Zeit niedriger. Nach dem bestimmten Zeitraum wird bei Schritt 598 das Fluidikventil 576b ausgeschaltet, während das Fluidikventil 576a eingeschaltet wird. Folglich kann die Pufferlösung 575 in die Fluidkammer 554 fließen. Bei Schritt 599 werden dann sowohl das Fluidikventil 576a als auch das Fluidikventil 576b ausgeschaltet. Dann kehrt das Verfahren 590 zurück zu Schritt 594. Demgemäß kann das Verfahren 590 eine Biomarkerüberwachung simultan mit einer Arzneimittelabgabebehandlung im geschlossenen Kreislauf erzielen. Mit anderen Worten wird die Biomarkerkonzentration ständig überwacht, und die Arzneimittelabgabebehandlung wird basierend auf der Echtzeitbiomarkerkonzentration ausgelöst. Jedes Mal, wenn die Arzneimittellösung 574 verabreicht wird, wird der Fluidkammer 554 die Pufferlösung 575 hinzugefügt.
  • Wie oben erwähnt, werden das Biosensorsystem-Package 200a von 2A und das Biosensorsystem-Package 200b von 2B durch das Verfahren 600 der 6A - 6B hergestellt.
  • Das Verfahren 600 beginnt bei Schritt 602, wo ein Substrat bereitgestellt wird. Das Substrat kann ein Halbleitersubstrat (zum Beispiel ein Wafer) sein. Das Halbleitersubstrat kann ein Siliziumsubstrat sein. Alternativ dazu kann das Substrat einen anderen elementaren Halbleiter, wie zum Beispiel Germanium; einen Verbindungshalbleiter aufweisend Siliziumkarbid, Galliumarsenid, Galliumphosphid, Indiumphosphid, Indiumarsenid und/oder Indiumantimonid; einen Legierungshalbleiter aufweisend SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP und/oder GaInAsP; oder Kombinationen davon enthalten. In Ausführungsformen, welche in den 6A - 6E und den 7 - 38 gezeigt sind, ist das Substrat ein Halbleiter-auf-Isolator-Substrat (SOI-Substrat) 202. Das in 7 gezeigte SOI-Substrat 202 weist eine Bulk-Siliziumschicht 204, eine vergrabene Oxidschicht (BOX-Schicht) 206 und eine Halbleiterschicht 208 (das heißt eine aktive Schicht 208) auf. Die vergrabene Oxidschicht 206 kann durch einen Prozess, wie zum Beispiel Trennung durch implantierten Sauerstoff (SIMOX) und/oder andere geeignete Prozesse, gebildet werden. Die Halbleiterschicht 208 kann dotierte Bereiche, wie zum Beispiel p-Wanne und n-Wannen, aufweisen.
  • Das Verfahren setzt sich dann bei Schritt 604 fort, wo eine Transistorstruktur und ein Temperatursensor auf dem Substrat gebildet werden. Die Transistorstruktur (das heißt der FET) kann eine Gate-Struktur, einen Source-Bereich, einen Drain-Bereich und einen Kanalbereich angeordnet zwischen dem Source- und dem Drain-Bereich aufweisen. Es ist zu beachten, dass in einigen Ausführungsformen die Transistorstruktur (das heißt der FET) eine Anordnung von Transistorstrukturen sein kann. Zu Vereinfachung wird als ein Beispiel in der folgenden Beschreibung nur eine Transistorstruktur verwendet. Wie im Beispiel in 7 gezeigt, können der Source-, der Drain- und/oder der Kanalbereich des FET 210 am aktiven Bereich in der Halbleiterschicht 208 gebildet werden. Der FET 210 kann ein n-FET (nFET) oder ein p-FET (pFET) sein. Zum Beispiel können die Source-/Drain-Bereiche abhängig von der FET-Gestaltung n-Dotierstoffe oder p-Dotierstoffe aufweisen. Die Gate-Struktur kann eine dielektrische Gate-Schicht, eine Gate-Elektrodenschicht und/oder andere geeignete Schichten aufweisen. In einer Ausführungsform ist die Gate-Elektrode Polysilizium. Andere Beispiel-Gate-Elektroden umfassen Metall-Gate-Elektroden, welche Materialien, wie zum Beispiel Cu, W, Ti, Ta, Cr, Pt, Ag, Au; geeignete Metallverbindungen, wie zum Beispiel TiN, TaN, NiSi, CoSi; Kombinationen davon; und/oder andere geeignete leitfähige Materialien, enthalten. In einer Ausführungsform ist das Gate-Dielektrikum Siliziumoxid. Andere Beispiel-Gate-Dielektrika umfassen Siliziumnitrid, Siliziumoxynitrid, ein Dielektrikum mit einer hohen Dielektrizitätskonstante (k-Wert) und/oder Kombinationen davon. Beispiele für Materialien mit hohem k-Wert sind Hafniumsilikat, Hafniumoxid, Zirkoniumoxid, Aluminiumoxid, Tantalpentoxid, Hafniumdioxid-Aluminiumoxid-Legierung (HfO2-Al2O3) oder Kombinationen davon. Der FET 210 kann unter Verwendung typischer CMOS-Prozesse gebildet werden, wie zum Beispiel Fotolithografie; Ionenimplantation; Diffusion; Abscheidung, wie zum Beispiel physikalische Aufdampfung (PVD), Metallverdampfung oder Zerstäubung, chemische Dampfabscheidung (CVD), plasmaverstärkte chemische Dampfabscheidung (PECVD), chemische Atmosphärendruckdampfabscheidung (APCVD), Niederdruck-CVD (LPCVD), CVD mit hochdichtem Plasma (HDPCVD), Atomlagenabscheidung (ALD), Aufschleuderbeschichtung; Ätzung, wie zum Beispiel Nassätzung, Trockenätzung und Plasmaätzung; und oder andere geeignete CMOS-Prozesse.
  • Der Temperatursensor kann die Temperatur der Kammer 244 in 2A und 2B erfassen. Wie im Beispiel in 7 gezeigt, wird der Temperatursensor 211 in der Halbleiterschicht 208 gebildet. In einigen Ausführungsformen kann der Temperatursensor 211 ein Wärmekopplungselement (zum Beispiel ein Platinthermoelement) aufweisen.
  • Dann setzt sich das Verfahren 600 bei Schritt 606 fort, wo eine mehrschichtige Interconnect-Struktur (MLI-Struktur) über der Transistorstruktur gebildet wird. Die MLI-Struktur kann Leiterbahnen, leitfähige vertikale Interconnect-Anschlüsse (Durchkontaktierungen) und/oder dielektrische Zwischenschichten (zum Beispiel Schichten von Zwischenschichtdielektrika (ILD)) aufweisen. Die MLI-Struktur kann eine physische und elektrische Verbindung zum Transistor (das heißt dem FET), welcher oben unter Bezugnahme auf Schritt 604 beschrieben worden ist, bereitstellen. Die Leiterbahnen können Kupfer, Aluminium, Wolfram, Tantal, Titan, Nickel, Kobalt, Metallsilizid, Metallnitrid, Polysilizium, Kombinationen davon und/oder andere Materialien, welche möglicherweise eine oder mehrere Schichten oder Auskleidungen aufweisen, enthalten. Die dielektrischen Zwischenschichten (zum Beispiel ILD-Schichten) können Siliziumdioxid, fluoriertes Silikatglas (FGS), SILK (ein Produkt von Dow Chemical, Michigan), BLACK DIAMOND (ein Produkt von Applied Materials, Santa Clara, Kalifornien) und/oder andere geeignete Isoliermaterial enthalten. Die MLI-Struktur kann durch geeignete Prozesse typisch für die CMOS-Herstellung, wie zum Beispiel CVD, PVD, ALD, Plattieren, Rotationsbeschichten und/oder andere Prozesse, gebildet werden.
  • Wie im Beispiel in 7 gezeigt, wird eine MLI-Struktur 212 am Substrat 202 und über dem FET 210 und dem Temperatursensor 211 angeordnet. Die MLI-Struktur 212 weist eine Mehrzahl von Leiterbahnen 214 auf, welche durch leitfähige Durchkontaktierungen oder Steckverbindungen 216 verbunden sind. In einer Ausführungsform enthalten die Leiterbahnen 214 Aluminium und/oder Kupfer. In einer Ausführungsform enthalten die Durchkontaktierungen oder Steckverbindungen 216 Wolfram. In einer weiteren Ausführungsform enthalten die Durchkontaktierungen oder Steckverbindungen 216 Kupfer. In einer Ausführungsform werden die dielektrischen Zwischenschichten 218 am Substrat 202 und auch zwischen den leitenden Merkmalen der MLI-Struktur 212 angeordnet. Die dielektrischen Zwischenschichten 218 können ILD-Schichten sein. In einer weiteren Ausführungsform ist die dielektrische Schicht 218 eine einzelne ILD-Schicht. In einer Ausführungsform enthält jede der dielektrischen Zwischenschichten 218 Siliziumoxid. Die MLI-Struktur 212 kann eine elektrische Verbindung zum Gate und/oder der Source/dem Drain des FETs 210 bereitstellen. Wie im Beispiel in 7 gezeigt, ist die MLI-Struktur 212 an der Vorderseite (F) angeordnet, während das Substrat 202 an der Rückseite (B) angeordnet ist.
  • Darüber hinaus können eine oder mehrere Leiterbahnen in der ersten Metallschicht („M1-Schicht“) als die Heizvorrichtung 142 verwendet werden, wie in 1A gezeigt. Mit anderen Worten können eine oder mehrere Leiterbahnen eine eingebettete (elektrische Widerstands-) Heizvorrichtung sein, welche dazu verwendet wird, Wärme zu erzeugen. In einigen Ausführungsformen kann die Heizvorrichtung mehrere Zonen aufweisen, welche einzeln angesteuert werden können, und/oder ist aus Materialien, wie zum Beispiel Al, Cu, TiAlN, hergestellt, obwohl auch andere Materialien benutzt werden können. Alternativ dazu kann die Heizvorrichtung unter einem Halbleitersubstrat angeordnet und aus Silizium oder Polysilizium hergestellt sein. Durch das Verwenden einer eingebetteten Heizvorrichtung können die Steuerung und Gleichmäßigkeit der Temperatur verbessert werden.
  • Das Verfahren 600 setzt sich dann bei Schritt 608 fort, wo ein Trägersubstrat an der Vorderseite (F) angebracht wird. Mit anderen Worten wird das Trägersubstrat an der MLI-Struktur angebracht. Das Trägersubstrat kann die Vorderseite (F) während nachfolgenden Schritten schützen. In einer Ausführungsform wird das Trägersubstrat an die MLI-Struktur gebondet. In einer weiteren Ausführungsform wird das Trägersubstrat an eine Passivierungsschicht, welche an der MLI-Struktur gebildet worden ist, gebondet. Das Trägersubstrat kann unter Verwendung von Verschmelzung, Diffusion, eutektisch und/oder anderen geeigneten Bondungs-Verfahren angebracht werden. Beispielzusammensetzungen für das Trägersubstrat sind unter anderem Silizium, Glas und Quarz. Es ist zu beachten, dass andere Zusammensetzungen möglich und im Umfang der vorliegenden Offenbarung enthalten sind. Wie im Beispiel in 8 gezeigt, wird ein Trägersubstrat 220 an der MLI-Struktur 212 angebracht. In einigen Ausführungsformen kann das Trägersubstrat 220 Funktionen wie zum Beispiel Interconnect-Merkmale, Waferbondungs-Stellen, definierte Hohlräume und/oder andere geeignete Merkmale aufweisen.
  • Das Verfahren 600 setzt sich dann bei Schritt 610 fort, wo der Wafer umgedreht wird. Wie in 9 gezeigt, ist die Rückseite (B) nun oben angeordnet. Mit anderen Worten ist die Bulk-Siliziumschicht 204 oben angeordnet. Das Verfahren 600 setzt sich dann mit Schritt 612 fort, wo die Bulk-Siliziumschicht 204 entfernt wird. Die Entfernung kann durch mechanische oder chemische Mittel vorgenommen werden. Ein mechanisches Mittel umfasst zum Beispiel Polieren oder Schleifen, wie zum Beispiel chemisch-mechanisches Polieren (CMP). Ein chemisches Mittel umfasst Nassätzen, zum Beispiel mit HF/Salpeter-/Essigsäure (HNA) oder Tetramethylammoniumhydroxid (TMAH), oder Trockenätzen, wie zum Beispiel Plasma- oder Nicht-Plasma-Ätzen. Wie im Beispiel in 10 gezeigt, ist die Bulk-Siliziumschicht 204 in 9 entfernt worden. Nun ist die vergrabene Oxidschicht 206 oben an der Rückseite (B) angeordnet.
  • Das Verfahren 600 setzt sich dann bei Schritt 614 fort, wo die vergrabene Oxidschicht strukturiert wird, um an der Rückseite (B) eine Öffnung zu bilden. Eine Fotolackstruktur wird an der vergrabenen Oxidschicht gebildet. In einigen Ausführungsformen schützt die Fotolackstruktur einen Teil der vergrabenen Oxidschicht vor einer anschließenden Nicht-Plasma-Ätzung zum Freilegen der Rückseite (B) des Biosensorsystem-Packages. Insbesondere schützt die Fotolackstruktur einen Teil der vergrabenen Oxidschicht vor der nachfolgenden Nicht-Plasma-Ätzung zum Freilegen des aktiven Bereichs der bei Schritt 604 gebildeten Transistorstruktur. Die Nicht-Plasma-Ätzung kann eine Nassätzung oder eine Trockenätzung sein, welche kein Plasma einbezieht. In einigen Ausführungsformen kann ein zweistufiger Ätzprozess benutzt werden, um die Öffnung an der Rückseite (B) zu bilden. Der erste Ätzschritt enthält Plasma, und der zweite Ätzschritt ist eine Nicht-Plasma-Ätzung. Wie im Beispiel in 11 gezeigt, bildet die Nicht-Plasma-Ätzung einen Graben 222 aufweisend einen Boden, welcher den Kanalbereich des FETs 210 freilegt. Eine Nicht-Plasma-Ätzung wird verwendet, um plasmainduzierte Schäden (PID) an der freigelegten Fläche des Kanalbereichs 219 zu verhindern. In einem nicht einschränkenden Beispiel kann die Höhe des Grabens 222 in einem Bereich von zwischen 0,3 µm und 1 µm liegen, während die Breite des Grabens 222 in einem Bereich von zwischen 0,5 µm und 200 µm (in einigen extremen Fällen) liegen kann. In einigen Ausführungsformen ist das Seitenwandprofil des Grabens 222 im Wesentlichen gerade. Nach der Nicht-Plasma-Ätzung wird die Fotolackstruktur entfernt. Ein PID-freier Fotolackentfernungsprozess, wie zum Beispiel Abstreifen und Ozonveraschung, kann verwendet werden. Da die freigelegte Fläche des Grabens 222 und die freigelegte Fläche des Kanalbereichs des FETs 210 anfällig für plasmainduzierte Schäden (PID) sind, können manche Plasmaveraschungsprozesse nicht zum Entfernen der Fotolackstruktur verwendet werden.
  • Das Verfahren 600 setzt sich dann bei Schritt 616 fort. Bei Schritt 616 wird eine Grenzflächenschicht abgeschieden. In einer Ausführungsform ist die Grenzflächenschicht eine Schicht aus Material mit hohem k-Wert. Die Grenzflächenschicht ist kompatibel (zum Beispiel gut geeignet) zur Bindung von Biomolekülen oder biologischen Einheiten. Zum Beispiel kann die Grenzflächenschicht eine Einfangreagensschicht aufweisen, welche eine Schicht eines Einfangreagens ist, welcher in der Lage ist, einen Zielanalyten in den Fluidproben zu binden. In einigen Ausführungsformen weist die Grenzflächenschicht eine Mehrzahl von Schichten auf. Zum Beispiel kann die Grenzflächenschicht ein dielektrisches Material (zum Beispiel ein Material mit hohem k-Wert), ein leitfähiges Material und/oder andere geeignete Materialien zum Aufnehmen eines Rezeptors enthalten. Beispiele für Grenzflächenmaterialien sind dielektrische Filme mit hohem k-Wert, Metalle, Metalloxide, Dielektrika und/oder andere geeignete Materialien. Als ein weiteres Beispiel enthalten beispielhafte Grenzflächenmaterialien HfO2, Ta2O5, Pt, Au, W, Ti, Al, Cu, Oxide solcher Metalle, SiO2, Si3N4, Al2O3, TiO2, TiN, ZrO2, SnO, SnO2; und/oder andere geeignete Materialien. Die Grenzflächenschicht kann unter Verwendung von CMOS-Prozessen, wie zum Beispiel physikalische Aufdampfung (PVD) (Zerstäubung), chemische Dampfabscheidung (CVD), plasmaverstärkte chemische Dampfabscheidung (PECVD), chemische Dampfabscheidung (APCVD) bei Atmosphärendruck, Niederdruck-CVD (LPCVD), CVD mit hochdichtem Plasma (HDPCVD) oder Atomlagen-CVD (ALCVD), gebildet werden. Eine Fotolackstruktur wird über der Grenzflächenschicht gebildet, um einen Abschnitt der Grenzflächenschicht zu schützen. Der Abschnitt über dem Kanalbereich des FETs wird geschützt. Ungeschützte Abschnitte der Grenzflächenschicht werden in einem anschließenden Ätzprozess entfernt. Der Ätzprozess kann einen beliebigen bekannten Ätzprozess umfassen, wie zum Beispiel Plasmaätzen, da der gegen PID empfindliche Abschnitt geschützt ist. Die Grenzflächenschicht deckt den Kanalbereich vollständig ab und kann den Source-Bereich und den Drain-Bereich teilweise abdecken. Die teilweise Abdeckung des Source-Bereichs und des Drain-Bereichs kann basierend auf dem FET-Design und Flächenanforderungen für die Grenzflächenschicht angepasst werden. In einigen Ausführungsformen kann die Grenzflächenschicht nicht strukturiert und geätzt werden, und bleibt über den entsprechenden Flächen des FETs bestehen.
  • Wie im Beispiel in 11 gezeigt, wird eine Grenzflächenschicht 224 (zum Beispiel eine Schicht aus Material mit hohem k-Wert) an der freigelegten Fläche des Grabens 222 und der freigelegten Fläche des aktiven Bereichs 219 des FETs 210 gebildet. Darüber hinaus wird die Grenzflächenschicht 224 über der gesamten Fläche der vergrabenen Oxidschicht 206 abgeschieden.
  • Alternativ dazu wird bei Schritt 618 eine Grenzflächenschicht abgeschieden, während einige Bondungsstellen freigelegt sind. Die Bondungsstellen werden zur Bondung einer mikrofluidischen Kanalkappenstruktur an die Rückseite (B) verwendet, welche nachfolgend bei Schritt 626 ausführlich beschrieben wird. Es ist zu beachten, dass es von speziellen Bondungs-Anforderungen abhängt, ob Bondungsstellen erforderlich sind. Ähnlich wie bei Schritt 616, kann die Grenzflächenschicht unter Verwendung von CMOS-Prozessen, wie zum Beispiel PVD (Zerstäubung), CVD, PECVD, APCVD, LPCVD, HDPCVD oder ALCVD, gebildet werden. Eine Fotolackstruktur wird über der Grenzflächenschicht gebildet, um einen Abschnitt der Grenzflächenschicht zu schützen, und die Bondungsstellen werden nicht geschützt. Ungeschützte Abschnitte der Grenzflächenschicht werden in einem anschließenden Ätzprozess entfernt. Der Ätzprozess kann einen beliebigen bekannten Ätzprozess umfassen, wie zum Beispiel Plasmaätzen, da der gegen PID empfindliche Abschnitt geschützt ist. Nach dem Ätzen und optionalen Hinzufügen eines Passivierungs- oder Blockierungsmittels wird der Fotolack in einem PID-freien Fotolackentfernungsprozess entfernt.
  • Wie im Beispiel in 12 gezeigt, wird eine Grenzflächenschicht 224 (zum Beispiel eine Schicht aus Material mit hohem k-Wert) an der freigelegten Fläche des Grabens 222 und der freigelegten Fläche des aktiven Bereichs 219 des FETs 210 gebildet, während zwei Bondungsstellen 226 freigelegt sind. Mit anderen Worten wird die vergrabene Oxidschicht 206 abgesehen von den zwei Bondungsstellen 226 durch die Grenzflächenschicht 224 bedeckt. Es ist zu beachten, dass die Form der Bondungsstellen abhängig von der Form der mikrofluidischen Kanalkappenstruktur variieren kann.
  • Das Verfahren 600 setzt sich dann bei Schritt 620 fort. Bei Schritt 620 werden die vergrabene Oxidschicht, die Halbleiterschicht und die erste dielektrische Zwischenschicht strukturiert und geätzt, um eine oder mehrere Öffnungen an der Rückseite (B) zu bilden, um eine oder mehrere Leiterbahnen an der ersten Metallschicht („M1-Schicht“) freizulegen. Eine Fotolackstruktur wird an der vergrabenen Oxidschicht und der in den Schritten 616 oder 618 abgeschiedenen Grenzflächenschicht gebildet. Ähnlich wie bei Schritt 614 schützt die Fotolackstruktur in einigen Ausführungsformen die Grenzflächenschicht und einen Teil der vergrabenen Oxidschicht vor einer anschließenden Ätzung zum Freilegen der Rückseite (B) des Biosensorsystem-Packages. Wie im Beispiel in 13 gezeigt, werden zwei Öffnungen 225a und 225b (zusammen 225) an der Rückseite (B) gebildet. Die Anzahl von Öffnungen 225 kann nach Bedarf variieren. In dem in 13 gezeigten Beispiel wird die Öffnung 225a dazu verwendet, eine Referenzelektrode aufzubringen, während die Öffnung 225b für anschließende Draht-Bondung verwendet wird. In einem weiteren Beispiel gibt es nur eine Öffnung 225, welche zum Abscheiden einer Referenzelektrode verwendet wird. Mit anderen Worten wird keine Öffnung 225 für Draht-Bondung gebildet. Wie in 13 gezeigt, werden die Öffnungen 225a und 225b in der vergrabenen Oxidschicht 206, der Halbleiterschicht 208 und der ersten dielektrischen Zwischenschicht 218-1 gebildet, und weisen Böden auf, welche die Leiterbahnen 214a beziehungsweise 214b an der M1-Schicht freilegen. In einigen Ausführungsformen ist das Seitenwandprofil des Grabens 222 im Wesentlichen gerade. Nach dem Ätzprozess wird die Fotolackstruktur entfernt.
  • Das Verfahren 600 setzt sich dann bei Schritt 622 fort. Bei Schritt 622 wird eine Referenzelektrode in einer der Öffnungen abgeschieden. Folglich wird die Referenzelektrode mit einer Leiterbahn, welche bei Schritt 620 in der Öffnung freigelegt worden ist, verbunden. Wie oben erwähnt kann die Referenzelektrode aus einem der folgenden Materialien hergestellt werden: Ag/AgCl, Cu/CuSO4, AgCl, Au und P. Für Ag/AgCl kann eine chemische Behandlung an der abgeschiedenen und strukturierten Ag-Schicht erforderlich sein, um das AgCl zu erzeugen. Für Cu/CuSO4 kann eine chemische Behandlung an der abgeschiedenen und strukturierten Cu-Schicht erforderlich sein, um das CuSO4 zu erzeugen. Wie in 14 gezeigt, wird die Referenzelektrode 227 in der bei Schritt 620 gebildeten Öffnung 225a abgeschieden. Die Elektrode 227 wird mit der in der Öffnung 225a freigelegten Leiterbahn 214a verbunden.
  • Das Verfahren 600 setzt sich dann bei Schritt 624 fort. Bei Schritt 624 wird eine Kappenstruktur hergestellt. 6C ist ein Ablaufdiagramm, welches den Schritt 624 des Verfahrens 600 von 6B im Einklang mit einigen Ausführungsformen darstellt. Der Schritt 624 ist ein CMOS-kompatibler Prozessablauf. Bei Schritt 652 wird ein Kappenstruktursubstrat bereitgestellt. Das Kappenstruktursubstrat kann ein Siliziumsubstrat sein, obwohl auch andere geeignete Materialien benutzt werden können. Wie im Beispiel in 15 gezeigt, wird ein Siliziumsubstrat 230 bereitgestellt.
  • Bei Schritt 654 wird das Kappenstruktursubstrat strukturiert und geätzt, um globale Hohlraumbereiche zu festzulegen. Der globale Hohlraumbereich entspricht dem mikrofluidischen Kanal. Eine Fotolackstruktur wird am Kappenstruktursubstrat gebildet. Die Fotolackstruktur schützt einen Teil des Kappenstruktursubstrats vor einer anschließenden Ätzung zum Vordefinieren des globalen Hohlraumbereichs. Nach dem Strukturieren des Kappenstruktursubstrats werden die globalen Hohlraumbereiche durch Ätzen des Kappenstruktursubstrats vordefiniert. Der Ätzprozess kann ein Nassätzen, wie zum Beispiel mit HF/Salpeter-/Essigsäure (HNA) oder Tetramethylammoniumhydroxid (TMAH), oder Trockenätzen, wie zum Beispiel Plasma- oder Nicht-Plasma-Ätzen, sein. Danach wird der Fotolack entfernt. Wie im Beispiel in 16 gezeigt, werden zwei globale Hohlraumbereiche 232 an der oberen Fläche des Kappenstruktursubstrats 230 vordefiniert, und das Kappenstruktursubstrat 230 ist in diesem Beispiel um 0,1 µm bis 0,5 µm geätzt worden.
  • Bei Schritt 656 wird eine Hartmaske an Bondungsflächen des Kappenstruktursubstrats abgeschieden. In einigen Ausführungsformen entsprechen die Bondungsflächen des Kappenstruktursubstrats den Bondungsstellen an der vergrabenen Oxidschicht bei Schritt 618. Insbesondere werden die Bondungsflächen des Kappenstruktursubstrats mit den Bondungsstellen an der vergrabenen Oxidschicht gekoppelt, und die Kappenstruktur wird an die vergrabene Oxidschicht (oder eine beliebige passende Zwischen-Bondungs-Schicht, welche an der vergrabenen Oxidschicht abgeschieden und strukturiert worden ist) gebondet, wie nachfolgend bei Schritt 626 ausführlicher beschrieben wird. Die Hartmaske kann die Bondungsflächen vor anschließenden Ätzprozessen schützen. In einigen Ausführungsformen kann die Hartmaske aus Oxid gebildet werden. In einigen Ausführungsformen kann die Hartmaske aus Polysilizium gebildet werden. Die Hartmaske wird unter Verwendung geeigneter Prozesse, wie zum Beispiel CVD und/oder dergleichen, gebildet. In einem nicht einschränkenden Beispiel liegt die Dicke der Hartmaske in einem Bereich von 0,3 µm bis 1 µm. Wie im Beispiel in 17 gezeigt, werden die Hartmasken 236 (zum Beispiel eine Oxidhartmaske) an den Bondungsflächen 234 des Kappenstruktursubstrats 230 abgeschieden. Die Hartmasken 236 können die Bondungsflächen 234 vor anschließenden Ätzprozessen schützen.
  • Bei Schritt 658 werden bestimmte Bereiche der globalen Hohlraumbereiche strukturiert und geätzt. Eine Fotolackstruktur wird an der Hartmaske und Abschnitten der globalen Hohlraumbereiche gebildet. Die Fotolackstruktur schützt die Hartmaske und Abschnitte des globalen Hohlraumbereichs gegen eine anschließende Ätzung. Anschließend wird das Kappenstruktursubstrat geätzt. Der Ätzprozess kann ein Nassätzen, wie zum Beispiel mit HF/Salpeter-/Essigsäure (HNA) oder Tetramethylammoniumhydroxid (TMAH), oder Trockenätzen, wie zum Beispiel Plasma- oder Nicht-Plasma-Ätzen, sein. Danach wird der Fotolack entfernt. Wie im Beispiel in 18 gezeigt, ist die Fotolackstruktur 238 an der Hartmaske 236 und Abschnitten der globalen Hohlraumbereiche 232 angeordnet. Die freigelegten Abschnitte des globalen Hohlraumbereichs 232 werden geätzt, um tiefe Bereiche 239 zu bilden. Dann wird die Fotolackstruktur 238 entfernt, und die Struktur entspricht dem in 19 gezeigten Beispiel. Die gesamten globalen Hohlraumbereiche 232, einschließlich der tiefen Bereiche 239, sind freigelegt worden, während die Bondungsflächen 234 durch die Hartmasken 236 abgedeckt sind.
  • Bei Schritt 660 werden die gesamten globalen Hohlraumbereiche flächendeckend geätzt. Insbesondere werden die gesamten globalen Hohlraumbereiche einschließlich der tiefen Bereiche gleichmäßig um eine bestimmte Tiefe rückgeätzt, um die Kammern der Kappenstruktur zu bilden. Die Kammern der Kappenstruktur können entweder als Fluidkammern (zum Beispiel die Fluidkammer 454, wie in 4A gezeigt) oder als Arzneimittelkanäle (zum Beispiel der Arzneimittelkanal 455, wie in 4A gezeigt) verwendet werden. Andererseits werden die durch die Hartmasken bedeckten Bondungsflächen während der flächendeckenden Ätzung geschützt. Der flächendeckende Ätzprozess können beliebige geeignete Ätzprozesse, wie zum Beispiel Nassätzung oder Trockenätzung, unter anderem Plasma- und Nicht-Plasma-Ätzung sein. Wie im Beispiel in 20 gezeigt, werden die gesamten globalen Hohlraumbereiche 232 des Kappenstruktursubstrats 230, einschließlich der tiefen Bereiche 239, bis zu einer festgelegten Ätztiefe ED geätzt. Die festgelegte Ätztiefe ED entspricht der gewünschten Höhe der Kammern 244 der Kappenstruktur 228.
  • Optional wird bei Schritt 662 eine Schicht aus dielektrischem Material mit hohem k-Wert an den globalen Hohlraumbereichen und den Hartmasken abgeschieden. Schritt 662 ist abhängig von den jeweiligen Anwendungen optional. Die Schicht aus dielektrischem Material mit hohem k-Wert kann unter Verwendung von CMOS-Prozessen, wie zum Beispiel PVD (Zerstäubung), CVD, PECVD, APCVD, LPCVD, HDPCVD oder ALCVD, gebildet werden. In einem nicht einschränkenden Beispiel weist die Schicht aus dielektrischem Material mit hohem k-Wert eine Dicke von 2 nm bis 3 nm auf. Wie im Beispiel in 21 gezeigt, wird die Schicht aus dielektrischem Material mit hohem k-Wert 242 an den globalen Hohlraumbereichen 232 (und somit den Kammern 244) und den Hartmasken 236 abgeschieden. Die Schicht aus dielektrischem Material mit hohem k-Wert 242 bedeckt den Boden und die Seitenwände der Kammern 244, den Boden und die Seitenwände der tiefen Bereiche 239 und die Hartmaske 236.
  • Optional wird bei Schritt 664 die Grenzflächenschicht an der Oberseite der Hartmaske entfernt. In einer Ausführungsform kann eine Fotolacksprühbeschichtung durch einen Sprühbeschichtungsprozess aufgesprüht werden, um den globalen Hohlraumbereich zu bedecken. Die Fotolacksprühbeschichtung schützt die Schicht aus dielektrischem Material mit hohem k-Wert, wenn die Schicht aus dielektrischem Material mit hohem k-Wert an der Hartmaske entfernt wird. Die Grenzflächenschicht an der Oberseite der Hartmaske wird durch geeignete Prozesse, wie zum Beispiel Plasmaätzung, entfernt. In einem Beispielplasmaätzprozess wird ein Gasgemisch, welches Sauerstoff, ein fluorhaltiges Material und ein Inertgas enthält, bereitgestellt, und ein Hochgeschwindigkeitsstrom einer Glimmentladung (Plasma) des Gasgemischs wird (in Pulsen) auf die Schicht aus dielektrischem Material mit hohem k-Wert geschossen. Der Sprühbeschichtungsprozess wird dazu verwendet, Fotolack auf einem Bereich mit tiefen Merkmalen aufzubringen. Im Sprühbeschichtungsprozess werden feine Tröpfchen von Fotolack auf die Struktur abgeschieden. Der Winkel, in welchem die Fotolacktröpfchen versprüht werden, ermöglicht dem Fotolack, in die tiefen Gräben und zu den Seitenwänden zu gelangen.
  • Bei Schritt 666 wird die Hartmaske entfernt. Die Hartmaske wird unter Verwendung irgendwelcher geeigneten Prozesse entfernt. In einer Ausführungsform wird die Hartmaske durch Nassätzung entfernt. In einigen Ausführungsformen ist die Nassätzung eine fluorhaltige Ätzung, wie zum Beispiel mit verdünnter Fluorwasserstoffsäure (HF). In einigen Ausführungsformen ist die Nassätzung eine Ammoniakhydroxid/Wasserstoffperoxid-Ätzung. Die Nassätzung entfernt die Hartmaske, im Wesentlichen ohne die Schicht aus dielektrischem Material mit hohem k-Wert zu entfernen oder zu beschädigen. Wie im Beispiel in 22 gezeigt, werden die optionale Schicht aus dielektrischem Material mit hohem k-Wert 242 an der Hartmaske 236 und die Hartmaske 236 bei Schritt 664 beziehungsweise Schritt 666 entfernt. Die Bondungsflächen 234 werden freigelegt. Der Boden und die Seitenwände der globalen Hohlraumbereiche 232 und der tiefen Bereiche 239 werden mit der Schicht aus dielektrischem Material mit hohem k-Wert 242 bedeckt. Somit ist die Kappenstruktur 228 hergestellt worden.
  • Nochmals bezugnehmend auf 6B setzt sich das Verfahren 600 mit Schritt 626 fort, in welchem die Kappenstruktur an die Rückseite des Biosensorsystem-Packages gebondet wird. Insbesondere wird die Kappenstruktur an die vergrabene Oxidschicht gebondet. In einigen Ausführungsformen werden die Bondungsstellen der vergrabenen Oxidschicht an die Bondungsflächen des Kappenstruktursubstrats gekoppelt. In anderen Ausführungsformen wird eine Zwischen-Bondungs-Schicht, welche an der vergrabenen Oxidschicht abgeschieden und strukturiert wird, an die Bondungsflächen des Kappenstruktursubstrats gekoppelt. Die Kappenstruktur kann unter Verwendung von Schmelz-Bondung, eutektischer Bondung, anodischer Bondung und/oder anderen geeigneten Bondungs-Verfahren an die Rückseite des Biosensorsystem-Packages gebondet werden. Beim Schmelz-Bonden werden Temperatur und Druck dazu verwendet, Halbleitermaterialien zu verbinden. In einem nicht einschränkenden Beispiel presst bei einem Schmelz-Bondungs-Prozess bei Raumtemperatur eine Bonder-Vorrichtung die Kappenstruktur und die Rückseite des Biosensorsystem-Packages aneinander. Anschließend folgt ein Temperprozess zur Erhöhung der Haftfestigkeit. In einer eutektischen Bondung wird eine Zwischenmetallschicht, welche ein eutektisches System erzeugen kann, benutzt. Die eutektischen Metalle sind Legierungen, welche bei einer speziellen Zusammensetzung und Temperatur direkt vom festen in den flüssigen Zustand, oder umgekehrt vom flüssigen in den festen Zustand, übergehen, ohne ein Zweiphasengleichgewicht zu durchlaufen. Da die eutektische Temperatur viel niedriger sein kann als die Schmelztemperatur der zwei oder mehr reinen Elemente, kann die eutektische Bondung die Vorteile niedriger Verarbeitungstemperaturen, geringer in der endgültigen Baugruppe entstehenden Spannung, hoher Bondungs-Stärke, großer Fertigungsleistung und hoher Zuverlässigkeit aufweisen. In einer anodischen Bondung werden Gläser ohne Einführung einer Zwischenschicht entweder mit Silizium oder Metall verschweißt.
  • Wie im Beispiel in 23 gezeigt, wird die Kappenstruktur 228 an die Rückseite (B) des Biosensorsystem-Packages 200 gebondet. Insbesondere wird die Kappenstruktur 228 an die vergrabene Oxidschicht 206 gebondet. Die Bondungsstellen 226 der vergrabenen Oxidschicht 206 werden an die Bondungsflächen 234 des Kappenstruktursubstrats 230 gekoppelt. Im Beispiel, welches in 23 gezeigt ist, kann die Leiterbahn 214b, wie oben unter Bezugnahme auf 14 erwähnt, später für eine Draht-Bondung verwendet werden.
  • Alternativ dazu, wie im Beispiel in 24 gezeigt, wird die Kappenstruktur 228 an die Rückseite (B) des Biosensorsystem-Packages 200 gebondet. Anders als beim Beispiel, welches in 23 gezeigt ist, wird eine Durchkontaktierungsstruktur durch das Substrat (TSV-Struktur) anstelle einer Draht-Bondung zum späteren Verbinden des Biosensorsystem-Packages 200 mit einem separaten Chip verwendet. Die TSV-Struktur wird nachfolgend ausführlich beschrieben.
  • Für Ausführungsformen mit den oben erwähnten TSV-Strukturen setzt sich das Verfahren 600 dann optional mit Schritt 628 fort, bei welchem der Wafer umgedreht wird. Danach ist das Trägersubstrat, welches an der Vorderseite (F) des Biosensorsystem-Packages angeordnet ist, an der Oberseite angeordnet. Dann setzt sich das Verfahren 600 optional mit Schritt 630 fort, in welchem das Trägersubstrat verdünnt wird. In einem Beispiel wird das Trägersubstrat durch Schleifen verdünnt. Der Schleifprozess kann das Drehen einer Scheibe, welche das Biosensorsystem-Package hält, gegen ein geeignetes Schleifmaterial umfassen. Es ist zu beachten, dass auch andere Prozesse, wie zum Beispiel CMP, benutzt werden können. Wie in 25 gezeigt, ist das Trägersubstrat 220 verdünnt worden. Die Dicke des Trägersubstrats wird im Einklang mit Schritt 632 gewählt, welcher nachfolgend erörtert wird.
  • Das Verfahren 600 setzt sich dann optional bei Schritt 632 fort, in welchem eine Durchkontaktierungsstruktur durch das Substrat (TSV-Struktur) durch das Trägersubstrat geschaffen und mit der MLI-Struktur verbunden wird. Die TSV wird dazu verwendet, elektrische Verbindungen bereitzustellen, sowie zur Wärmeableitung für das Biosensorsystem-Package 200. Wie im Beispiel in 26 gezeigt, wird eine TSV-Struktur 246 durch das Trägersubstrat 220 geschaffen und mit der MLI-Struktur 212 verbunden. Obwohl im Beispiel in 26 nur eine TSV-Struktur 246 gezeigt ist, kann mehr als eine TSV-Struktur zur Durchdringung des Trägersubstrats 220 gebildet werden. Die TSV-Struktur 246 weist eine Auskleidung 246a, eine Diffusionssperrschicht 246b und ein leitfähiges Material 246c auf. In einer Ausführungsform wird die TSV-Struktur 246 durch die folgenden Vorgänge gebildet. Zunächst wird durch einen oder mehrere Ätzprozesse eine TSV-Öffnung gebildet, welche sich bis zu einer Leiterbahn 214 der MLI-Struktur 212 erstreckt. Nachdem die TSV-Öffnung gebildet worden ist, wird die Auskleidung 246a an Seitenwänden der TSV-Öffnung gebildet, um als eine Isolationsschicht zu wirken, sodass das leitfähige Material 246c der TSV-Struktur 246 und das Trägersubstrat 220 nicht in direktem Kontakt miteinander stehen. Danach wird die Diffusionssperrschicht 246b konform an der Auskleidung 246a und am Boden der TSV-Öffnung gebildet. Die Diffusionssperrschicht 246b wird dazu verwendet zu verhindern, dass das leitfähige Material 246c, welches später gebildet werden wird, in unerwünschte Bereiche wandert. Nachdem die Diffusionssperrschicht 246b gebildet worden ist, wird das leitfähige Material 246c dazu verwendet, die TSV-Öffnung zu füllen. Danach werden überschüssige Auskleidung 246a, Diffusionssperrschicht 246b und leitfähiges Material 246c, welche an der Außenseite der TSV-Öffnung angeordnet sind, durch einen Planarisierungsprozess, wie zum Beispiel einen chemisch-mechanischen Polierprozess (CMP-Prozess), entfernt, wobei auch beliebige andere geeignete Entfernungsprozess verwendet werden können.
  • Die Auskleidung 246a ist aus einem Isoliermaterial, wie zum Beispiel Oxiden oder Nitriden, hergestellt. Die Auskleidung 246a kann durch Verwendung eines PECVD-Prozesses oder anderer anwendbarer Prozesse gebildet werden. Die Auskleidung 246a kann/können eine Einzelschicht oder mehrere Schichten sein. In manchen nicht einschränkenden Beispielen weist die Auskleidung 246a eine Dicke in einem Bereich von ungefähr 100 □ bis ungefähr 5000 □ auf. Die Diffusionssperrschicht 246b ist aus Ta, TaN, Ti, TiN oder CoW hergestellt. In einigen Ausführungsformen wird die Diffusionssperrschicht 246b durch einen PVD-Prozess gebildet. In einigen Ausführungsformen wird die Diffusionssperrschicht 246b durch Plattieren gebildet. In einigen Ausführungsformen wird das leitfähige Material 246c aus Kupfer, Kupferlegierung, Aluminium, Aluminiumlegierung oder Kombinationen davon hergestellt. Alternativ dazu können auch andere anwendbare Materialien verwendet werden. Die Breite, Tiefe und das Seitenverhältnis der TSV-Struktur 246 können gemäß den jeweiligen Umständen gewählt werden. Da das Trägersubstrat 220 bei Schritt 630 verdünnt wird, weist die TSV-Struktur 246 ein relativ kleines Seitenverhältnis auf. Somit sind die Probleme mit Hohlräumen und die Extrusions- oder Diffusionsprobleme, welche sich aus einem hohen Seitenverhältnis der TSV-Struktur ergeben, beseitigt oder stark reduziert worden. Darüber hinaus ist die Gesamt-Package-Höhe des Biosensorsystem-Packages 200 verringert worden, um fortschrittliche Packaging-Anforderungen zu erfüllen. Somit kann das Biosensorsystem-Package 200 einen kleineren Formfaktor erzielen.
  • Dann setzt sich das Verfahren 600 mit dem optionalen Schritt 634 fort, in welchem der Wafer in den Fällen, in welchen eine TSV-Struktur geschaffen worden ist, umgedreht wird. Danach ist die Kappenstruktur oben angeordnet, während die TSV-Struktur unten angeordnet ist. Dann setzt sich das Verfahren 600 bei Schritt 636 fort, in welchem eine oder mehrere Mikronadeln an der Rückseite (B) des Biosensorsystem-Packages geschaffen werden. 6D ist ein Ablaufdiagramm, welches den Schritt 636 des Verfahrens 600 von 6B im Einklang mit einigen Ausführungsformen darstellt. 6E ist ein weiteres Ablaufdiagramm, welches den Schritt 636 des Verfahrens 600 von 6B im Einklang mit einigen Ausführungsformen darstellt. Der Schritt 636 ist ein CMOS-kompatibler Prozessablauf.
  • Bezugnehmend auf 6D beginnt das Verfahren 636 optional mit Schritt 672, in welchem die Kappenstruktur verdünnt wird. Schritt 672 ist optional und hängt von der/den Mikronadelhöhe(n) ab. Das Kappenstruktursubstrat wird durch einen beliebigen geeigneten Prozess, wie zum Beispiel Schleifen und CMP, verdünnt. In dem in 27 gezeigten Beispiel wird das Kappenstruktursubstrat 230 durch Schleifen des oberen Teils der Kappenstruktur 228 verdünnt.
  • Das Verfahren 636 setzt sich dann bei Schritt 674 fort. Bei Schritt 674 werden eine oder mehrere Hartmasken an der/den Mikronadelposition(en) abgeschieden. Zur Vereinfachung ist unten nur die Situation einer Mikronadel beschrieben. Die Hartmaske an der Mikronadelposition kann die Mikronadelposition vor anschließenden Ätzprozessen schützen. In einigen Ausführungsformen kann die Hartmaske aus Oxid gebildet werden. In einigen Ausführungsformen kann die Hartmaske aus Polysilizium gebildet werden. Die Hartmaske wird unter Verwendung geeigneter Prozesse, wie zum Beispiel CVD und/oder dergleichen, gebildet. Wie im Beispiel in 28 gezeigt, werden die Hartmasken 237 (zum Beispiel eine Oxidhartmaske) am Kappenstruktursubstrat 230 an der Mikronadelposition abgeschieden. Die Hartmasken 237 können die Mikronadelposition vor anschließenden Ätzprozessen schützen.
  • In einer Ausführungsform setzt sich das Verfahren 636 dann bei Schritt 676 und Schritt 678 fort. Bei Schritt 676 wird das Kappenstruktursubstrat unter abwechselnder Verwendung isotroper Ätzung und anisotroper Ätzung (das heißt, Multiplexing) geätzt. Mit anderen Worten wechselt der Ätzprozess zwischen isotropem Ätzen und anisotropem Ätzen hin und her. Isotropes Ätzen ist ein Ätzprozess, welcher ein Material in mehreren Richtungen entfernt, wodurch beliebige horizontale Komponenten der Ätzrichtung zu einem Unterschneiden der strukturierten Bereiche führen können. Anisotropes Ätzen ist hingegen ein Ätzprozess, welcher darauf abzielt, vorzugsweise ein Material in bestimmten Richtungen zu entfernen, um komplizierte und häufig flache Formen zu erlangen. In einer Ausführungsform ist das hier verwendete anisotrope Ätzen ein anisotropes tiefes reaktives Ionenätzen (DRIE), während das hier verwendete isotrope Ätzen Schwefelhexafluorid-Plasmaätzen (SF6-Plasmaätzen) ist. Insbesondere wird der Bosch-Prozess (das heißt, gepulstes oder zeitgemultiplextes Ätzen) verwendet. In einigen Ausführungsformen wird nach dem Ätzprozess die Spitze der Mikronadel durch eine abschließende Nassoxidation gefolgt von einem zusammenhängenden Oxidstreifen geschärft. Die Oxidation erfolgt, während die Hartmaske nach wie vor an der Mikronadel angeordnet ist, wodurch eine scharfe Spitze entstehen kann. Im Beispiel, welches in 29 gezeigt ist, sind nach Schritt 676 die tiefen Bereiche 239 geöffnet, wodurch die Kammern 244 an der Außenseite angeschlossen werden können. An der Mikronadelposition ist eine Mikronadel 241 gebildet worden.
  • Das Verfahren 636 setzt sich dann bei Schritt 678 fort, in welchem die Hartmaske(n) entfernt werden. Die Hartmaske wird unter Verwendung beliebiger geeigneter Prozesse entfernt. In einer Ausführungsform wird die Hartmaske durch Nassätzung entfernt. In einigen Ausführungsformen ist die Nassätzung eine fluorhaltige Ätzung, wie zum Beispiel mit verdünnter Fluorwasserstoffsäure (HF). In einigen Ausführungsformen ist die Nassätzung eine Ammoniakhydroxid/Wasserstoffperoxid-Ätzung. Wie im Beispiel in 30 gezeigt, wird die in 29 gezeigte Hartmaske 237 bei Schritt 678 entfernt. Daher wird die Spitze der Mikronadel 241 freigelegt. Somit ist die Mikronadel 241 hergestellt worden.
  • Alternativ dazu kann sich das Verfahren 636 in einer weiteren Ausführungsform mit den Schritten 680, 682 und 684 fortsetzen. Bei Schritt 680 wird das Kappenstruktursubstrat unter Verwendung anisotroper Ätzung bis zu einer vorher festgelegten Tiefe geätzt. Die vorher festgelegte Tiefe entspricht ungefähr einer Höhe einer Mikronadel. In einer Ausführungsform ist das hier verwendete anisotrope Ätzen anisotrope tiefe reaktive Ionenätzung (DRIE). Bei Schritt 682 wird die Hartmaske entfernt. Die Hartmaske wird unter Verwendung beliebiger geeigneter Prozesse entfernt. In einer Ausführungsform wird die Hartmaske durch Nassätzung entfernt. In einigen Ausführungsformen ist die Nassätzung eine fluorhaltige Ätzung, wie zum Beispiel mit verdünnter Fluorwasserstoffsäure (HF). In einigen Ausführungsformen ist die Nassätzung eine Ammoniakhydroxid/Wasserstoffperoxid-Ätzung. Dann wird bei Schritt 684 das Kappenstruktursubstrat unter Verwendung isotroper Ätzung zum Bilden von (einer) Spitze(n) der Mikronadel(n) geätzt. In einigen Ausführungsformen ist die hier verwendete isotrope Ätzung eine Schwefelhexafluorid-Plasmaätzung (SF6-Plasmaätzung). Die horizontale Entfernung des Kappenstruktursubstrats 230 hilft dabei, die Spitzen der Mikronadeln zu bilden.
  • Im Beispiel, welches in 31 gezeigt ist, sind nach Schritt 680 die tiefen Bereiche 239 mit Ausnahme des tiefen Bereichs 239, welcher der Mikronadelposition entspricht, geöffnet, wodurch die Kammern 244 an der Außenseite angeschlossen werden können. Im Beispiel, welches in 32 gezeigt ist, wird nach Schritt 682 und Schritt 684 die in 31 gezeigte Hartmaske 237 bei Schritt 682 entfernt. Somit wird die Oberseite der Mikronadel 241 freigelegt. Die Oberseite der Mikronadel 241 wird ferner geschärft, um nach Schritt 684 die Spitze zu bilden. Somit ist die Mikronadel 241 hergestellt worden.
  • Bezugnehmend auf 6E gilt das Verfahren 636, welches in 6E gezeigt ist, für relativ lange Mikronadeln. Relativ lange Mikronadeln können in bestimmten Anwendungen wünschenswert sein. Wie in 6E gezeigt, beginnt das Verfahren 636 bei Schritt 691, in welchem das Kappenstruktursubstrat verdünnt wird, um die tiefen Bereiche zu öffnen. Das Kappenstruktursubstrat wird durch einen beliebigen geeigneten Prozess, wie zum Beispiel Schleifen und CMP, verdünnt. In dem in 33 gezeigten Beispiel wird das Kappenstruktursubstrat 230 durch Schleifen des oberen Teils der Kappenstruktur 228 verdünnt. Nach dem Schritt 691 sind die tiefen Bereiche 239 geöffnet, wodurch die Kammern 244 an der Außenseite angeschlossen werden können.
  • Das Verfahren 636 setzt sich dann mit Schritt 692 fort, in welchem eine zweite Kappenstruktur hergestellt und an die Kappenstruktur gebondet wird. Im Beispiel, welches in 34 gezeigt ist, wird eine zweite Kappenstruktur 228' hergestellt. Der Fertigungsprozess der zweiten Kappenstruktur 228' ist ähnlich dem Verfahren 624, welches in 6C gezeigt ist, und wird daher nicht ausführlich beschrieben. Die zweite Kappenstruktur 228' weist einen tiefen Bereich 239' gebildet in einem Kappenstruktursubstrat 230' auf. Ein dielektrisches Material mit hohem k-Wert 242' deckt die obere Fläche und die Seitenwände des tiefen Bereichs 239' ab. Im Beispiel, welches in 35 gezeigt ist, wird die zweite Kappenstruktur 228' an die Kappenstruktur 228 gebondet. Wie oben erwähnt, kann die zweite Kappenstruktur 228' unter Verwendung einer Schmelz-Bondung, eutektischer Bondung, anodischer Bondung und /oder anderer geeigneter Bondungs-Verfahren an die Kappenstruktur 228 gebondet werden. Während des Bondungs-Prozesses können zur Ausrichtung Ausrichtungsmarkierungen benutzt werden. Wie in 35 gezeigt, werden der tiefe Bereich 239 und der tiefe Bereich 239' aufeinander ausgerichtet und bilden eine relativ lange Nadel.
  • Das Verfahren 636 setzt sich dann bei Schritt 693 fort, in welchem eine oder mehrere Hartmasken an der/den Mikronadelposition(en) abgeschieden wird/werden. In einer Ausführungsform setzt sich das Verfahren 636 mit Schritt 694 und Schritt 695 fort. Alternativ dazu kann sich das Verfahren 636 in einer weiteren Ausführungsform mit den Schritten 696, 697 und 698 fortsetzen. Die Schritte 693 - 698 sind jeweils ähnlich den Schritten 674 - 684 von 6D, weswegen sie nicht erneut ausführlich beschrieben werden. Nach dem Umsetzen des Verfahrens 636 ist eine relativ lange Mikronadel hergestellt worden.
  • Nochmals bezugnehmend auf 6B setzt sich das Verfahren 600 bei Schritt 638 fort, nachdem bei Schritt 636 eine oder mehrere Mikronadeln an der Rückseite des Biosensorsystem-Packages geschaffen worden sind. Bei Schritt 638 wird das Biosensorsystem-Package 200 vereinzelt. In dem Beispiel, welches in 36 gezeigt ist, wird das Biosensorsystem-Package 200 durch ein Vereinzelungswerkzeug oder eine Säge an den gestrichelten Linien, welche in 36 gezeigt sind, vereinzelt, um von anderen benachbarten Komponenten getrennt zu sein. Im Vereinzelungsprozess können Ausrichtungsmarkierungen benutzt werden.
  • Das Verfahren 600 setzt sich dann bei Schritt 640 fort, in welchem ein separater Chip entweder durch Draht-Bondung oder durch die TSV-Struktur mit dem Biosensorsystem-Package verbunden wird. Der separate Chip kann ein beliebiger Chip sein, welcher als ein Abschnitt des Biosensorsystem dient. In einer Ausführungsform ist der separate Chip ein RAM-Chip. In einer Ausführungsform ist der separate Chip ein Datenverarbeitungschip. In einer Ausführungsform ist der separate Chip ein RAM- und ein Datenverarbeitungschip.
  • Wie in 37 gezeigt, wird das Biosensorsystem-Package 200 durch Draht-Bondung mit einem separaten Chip 250 verbunden. Draht-Bondung ist ein Verfahren zum Herstellen von Zwischenverbindungen und ist sowohl kostengünstig als auch flexibel. In diesem Beispiel verbindet ein Metalldraht (zum Beispiel ein Al-, Cu-, Ag- oder Au-Draht) 251 den separaten Chip 250 und die Leiterbahn 214b. Somit ist das Biosensorsystem-Package 200 unter Verwendung des Verfahrens 600 hergestellt worden.
  • Wie in 38 gezeigt, wird das Biosensorsystem-Package 200 alternativ dazu durch die beim obigen Schritt 632 gebildete TSV-Struktur mit dem separaten Chip 250 verbunden. Der separate Chip kann durch beliebige geeignete Prozesse an die TSV-Struktur gebondet werden. Verglichen mit der oben erwähnten Draht-Bondung ist die Verbindung durch die TSV-Struktur eine kompaktere Lösung und weist weniger Widerstand, Kapazität und Induktivität auf, wodurch schnellere Datenübertragung von Chip zu Chip mit weniger Rauschen, Verzerrung und Stromverbrauch erzielt werden kann. In einer Ausführungsform wird der separate Chip durch Lothöcker-Bondung an die TSV-Struktur gebondet. Lothöcker sind die kleinen Kugeln aus Lot (Lotkügelchen), welche an Kontaktflächen oder Pads von Halbleitervorrichtungen gebondet werden. In einem Beispiel umfasst die Lothöcker-Bondung die folgenden Vorgänge: Anordnen von Lothöcker(n) an den TSV-Strukturen; Umdrehen des Wafers; Ausrichten des/der Lothöcker auf dem/den Kontakt-Pad(s) des separaten Chips; und Aufschmelzen des/der Lothöcker in einem Ofen, um die Bondung zwischen der TSV-Struktur und dem separaten Chip herzustellen. In anderen Ausführungsformen kann der separate Chip durch Draht-Bondung an die TSV-Struktur gebondet werden. Wie im Beispiel in 38 gezeigt, wird der separate Chip 250 durch Lothöcker-Bondung (unter Verwendung eines Lothöckers 248) an die TSV-Struktur 246 gebondet. Somit ist das Biosensorsystem-Package 200 unter Verwendung des Verfahrens 600 hergestellt worden.
  • Ausführungsformen im Einklang mit der Offenbarung umfassen ein Biosensorsystem-Package. Das Biosensorsystem-Package weist auf: eine Transistorstruktur in einer Halbleiterschicht aufweisend eine Vorderseite und eine Rückseite, wobei die Transistorstruktur einen Kanalbereich aufweist; eine vergrabene Oxidschicht (BOX-Schicht) an der Rückseite der Halbleiterschicht, wobei die vergrabene Oxidschicht eine Öffnung an der Rückseite des Kanalbereichs aufweist und eine Grenzflächenschicht die Rückseite über dem Kanalbereich bedeckt; eine mehrschichtige Interconnect-Struktur (MLI-Struktur) an der Vorderseite der Halbleiterschicht, wobei die Transistorstruktur mit der MLI-Struktur elektrisch verbunden ist; und eine Kappenstruktur angebracht an der vergrabenen Oxidschicht, wobei die Kappenstruktur eine Mikronadel aufweist.
  • Weitere Ausführungsformen umfassen ein Biosensorsystem-Package. Das Biosensorsystem-Package weist auf: eine Biosensorstruktur in einer Halbleiterschicht aufweisend eine Vorderseite und eine Rückseite, wobei die Biosensorstruktur einen Kanalbereich und eine Grenzflächenschicht, welche die Rückseite über dem Kanalbereich bedeckt, aufweist; eine vergrabene Oxidschicht (BOX-Schicht) an der Rückseite der Halbleiterschicht, wobei die vergrabene Oxidschicht eine Öffnung an der Rückseite des Kanalbereichs aufweist und die Grenzflächenschicht in der Öffnung freigelegt ist; eine mehrschichtige Interconnect-Struktur (MLI-Struktur) an der Vorderseite der Halbleiterschicht, wobei die Biosensorstruktur mit der MLI-Struktur elektrisch verbunden ist; eine Referenzelektrode, welche mit der MLI-Struktur verbunden ist und welche dafür ausgerichtet ist, ein Referenzpotential bereitzustellen; und eine Kappenstruktur angebracht an der vergrabenen Oxidschicht, wobei die Kappenstruktur eine Mikronadel aufweist.
  • Weitere Ausführungsformen umfassen ein Verfahren zum Herstellen eines Biosensorsystem-Packages. Das Verfahren umfasst: Bereitstellen eines Substrats, das Substrat aufweisend eine Halbleiterschicht, welche eine Vorderseite und eine Rückseite sowie eine vergrabene Oxidschicht (BOX-Schicht) an der Rückseite und eine Bulk-Siliziumschicht an der Rückseite aufweist; Bilden einer Transistorstruktur am Substrat, wobei ein Kanalbereich der Transistorstruktur in der Halbleiterschicht angeordnet ist; Bilden einer mehrschichtigen Interconnect-Struktur (MLI-Struktur) an der Vorderseite der Halbleiterschicht, wobei die MLI- Struktur mit der Transistorstruktur elektrisch verbunden ist; Anbringen eines Trägersubstrats an der MLI-Struktur; Entfernen der Bulk-Siliziumschicht; Ätzen der vergrabenen Oxidschicht zum Bilden einer Öffnung an der Rückseite über dem Kanalbereich; Abscheiden einer Grenzflächenschicht an der Rückseite über dem Kanalbereich; Herstellen einer Kappenstruktur unter Verwendung eines Prozessablaufs kompatibel mit einem Komplementärmetalloxidhalbleiter (CMOS); Bonden der Kappenstruktur an die BOX-Schicht; und Schaffen einer Mikronadel an der Kappenstruktur.
  • Das Vorstehende legt Merkmale verschiedener Ausführungsformen dar, sodass Fachleute die Aspekte der vorliegenden Offenbarung besser verstehen können. Fachleute sollten erkennen, dass sie die vorliegende Offenbarung problemlos als eine Grundlage zum Designen oder Modifizieren anderer Prozesse und Strukturen zum Ausführen derselben Zwecke und/oder Erlangen derselben Vorteile der hierin vorgestellten Ausführungsformen verwenden können. Fachleute sollten ferner erkennen, dass derartige äquivalente Konstruktionen nicht vom Geist und Umfang der vorliegenden Offenbarung abweichen, und dass sie zahlreiche Änderungen, Ersetzungen und Neugestaltungen vornehmen können, ohne vom Geist und Umfang der vorliegenden Offenbarung abzuweichen.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 62967850 [0001]

Claims (20)

  1. Biosensorsystem-Package aufweisend: eine Transistorstruktur in einer Halbleiterschicht mit einer Vorderseite und einer Rückseite, wobei die Transistorstruktur einen Kanalbereich aufweist; eine vergrabene Oxidschicht, BOX-Schicht, auf der Rückseite der Halbleiterschicht, wobei die vergrabene Oxidschicht eine Öffnung an der Rückseite des Kanalbereichs aufweist, und wobei eine Grenzflächenschicht die Rückseite des Kanalbereichs bedeckt; eine mehrschichtige Interconnect-Struktur, MLI-Struktur, auf der Vorderseite der Halbleiterschicht, wobei die Transistorstruktur mit der MLI-Struktur elektrisch verbunden ist; und eine Kappenstruktur, die an der vergrabenen Oxidschicht angebracht ist, wobei die Kappenstruktur eine Mikronadel aufweist.
  2. Biosensorsystem-Package nach Anspruch 1, wobei die Kappenstruktur ferner aufweist: ein Kappenstruktursubstrat, das eine Kammer aufweist, welche eingerichtet ist, Fluidproben zur Prüfung aufzunehmen, und wobei die Mikronadel für Zufuhr und Abfluss der Fluidproben mit der Kammer verbunden ist.
  3. Biosensorsystem-Package nach Anspruch 2, wobei die Kappenstruktur ferner aufweist: eine high-k-dielektrische Materialschicht, die eine Unterseite und Seitenwände der Kammer bedeckt.
  4. Biosensorsystem-Package nach Anspruch 2, wobei das Kappenstruktursubstrat Bondungsflächen aufweist, die mit Bondungsstellen der vergrabenen Oxidschicht gekoppelt sind.
  5. Biosensorsystem-Package nach einem der vorstehenden Ansprüche, ferner aufweisend: einen Temperatursensor, der in der Halbleiterschicht gebildet ist.
  6. Biosensorsystem-Package nach einem der vorstehenden Ansprüche, ferner aufweisend: eine Referenzelektrode, die mit der MLI-Struktur verbunden ist und eingerichtet ist, ein Referenzpotential bereitzustellen.
  7. Biosensorsystem-Package nach einem der vorstehenden Ansprüche, wobei die MLI-Struktur aufweist: mehrere dielektrische Zwischenschichten; mehrere leitfähige Leitungen, wobei jede der leitfähigen Leitungen in einer der mehreren dielektrischen Zwischenschichten angeordnet ist; und mehrere leitfähige vertikale Interconnect-Anschlussstrukturen, VIA-Strukturen, die die mehreren leitfähigen Leitungen verbinden.
  8. Biosensorsystem-Package nach Anspruch 7, ferner aufweisend: ein Trägersubstrat auf der MLI-Struktur; und eine Substrat-Durchkontaktierungsstruktur, TSV-Struktur, die sich durch das Trägersubstrat erstreckt und eingerichtet ist, eine elektrische Verbindung zwischen der MLI-Struktur und einem ersten separaten Die bereitzustellen.
  9. Biosensorsystem-Package nach Anspruch 8, wobei die TSV-Struktur aufweist: ein leitfähiges Material; eine Auskleidung, die das leitfähige Material von dem Trägersubstrat isoliert; und eine Diffusionssperrschicht zwischen dem leitfähigen Material und der Auskleidung.
  10. Biosensorsystem-Package nach Anspruch 8 oder 9, ferner aufweisend: den ersten separaten Die, wobei der erste separate Die mit der TSV-Struktur elektrisch verbunden ist und eingerichtet ist, durch die Transistorstruktur gesammelte Daten zu verarbeiten.
  11. Biosensorsystem-Package nach einem der vorstehenden Ansprüche 7 bis 10, ferner aufweisend: eine Drahtbondungsöffnung durch die vergrabene Oxidschicht, die Halbleiterschicht und die MLI-Struktur, und wobei eine erste leitfähige Leitung in einer ersten Metallschicht, Mi-Schicht, der MLI-Struktur in der Drahtbondungsöffnung freigelegt ist.
  12. Biosensorsystem-Package nach Anspruch 11, wobei die erste leitfähige Leitung durch Drahtbondung mit einem zweiten separaten Die elektrisch verbunden ist.
  13. Biosensorsystem-Package nach einem der vorstehenden Ansprüche, wobei die Grenzflächenschicht eine high-k-Materialschicht ist.
  14. Biosensorsystem-Package nach einem der Ansprüche 2 bis 13, wobei die Grenzflächenschicht eine Schicht eines Einfangreagens aufweist, der in der Lage ist, einen Zielanalyten in den Fluidproben zu binden.
  15. Biosensorsystem-Package nach einem der vorstehenden Ansprüche 7 bis 14, ferner aufweisend: eine elektrische Widerstandsheizvorrichtung, die eine zweite leitfähige Leitung in einer M1-Schicht der MLI-Struktur aufweist.
  16. Biosensorsystem-Package aufweisend: eine Biosensorstruktur in einer Halbleiterschicht mit einer Vorderseite und einer Rückseite, wobei die Biosensorstruktur einen Kanalbereich und eine Grenzflächenschicht aufweist, die die Rückseite über dem Kanalbereich bedeckt; eine vergrabene Oxidschicht, BOX-Schicht, auf der Rückseite der Halbleiterschicht, wobei die vergrabene Oxidschicht eine Öffnung an der Rückseite des Kanalbereichs aufweist, und wobei die Grenzflächenschicht in der Öffnung freigelegt ist; eine mehrschichtige Interconnect-Struktur, MLI-Struktur, auf der Vorderseite der Halbleiterschicht, wobei die Biosensorstruktur mit der MLI-Struktur elektrisch verbunden ist; eine Referenzelektrode, die mit der MLI-Struktur verbunden ist und eingerichtet ist, ein Referenzpotential bereitzustellen; und eine Kappenstruktur, die an der vergrabenen Oxidschicht angebracht ist, wobei die Kappenstruktur eine Mikronadel aufweist.
  17. Biosensorsystem-Package nach Anspruch 16, ferner aufweisend: einen Temperatursensor, der in der Halbleiterschicht gebildet ist; und eine elektrische Widerstandsheizvorrichtung, die eine leitfähige Leitung in einer ersten Metallschicht, M1-Schicht, der MLI-Struktur aufweist.
  18. Verfahren zur Herstellung eines Biosensorsystem-Packages, umfassend: Bereitstellen eines Substrats, wobei das Substrat eine Halbleiterschicht mit einer Vorderseite und einer Rückseite und eine vergrabene Oxidschicht (BOX-Schicht) auf der Rückseite und eine Bulk-Siliziumschicht auf der Rückseite aufweist; Bilden einer Transistorstruktur auf dem Substrat, wobei ein Kanalbereich der Transistorstruktur in der Halbleiterschicht angeordnet wird; Bilden einer mehrschichtigen Interconnect-Struktur, MLI-Struktur, auf der Vorderseite der Halbleiterschicht, wobei die MLI-Struktur mit der Transistorstruktur elektrisch verbunden wird; Anbringen eines Trägersubstrats an der MLI-Struktur; Entfernen der Bulk-Siliziumschicht; Ätzen der vergrabenen Oxidschicht, um eine Öffnung an der Rückseite über dem Kanalbereich zu bilden; Abscheiden einer Grenzflächenschicht auf der Rückseite über dem Kanalbereich; Herstellen einer Kappenstruktur unter Verwendung eines Prozessablaufs, der kompatibel mit einem CMOS ist; Bonden der Kappenstruktur an die BOX-Schicht; und Erzeugen einer Mikronadel an der Kappenstruktur.
  19. Verfahren nach Anspruch 18, wobei das Erzeugen der Mikronadel an der Kappenstruktur umfasst: Multiplexen eines isotropen Ätzprozesses und eines anisotropen Ätzprozesses.
  20. Verfahren nach Anspruch 18 oder 19, wobei das Erzeugen der Mikronadel an der Kappenstruktur umfasst: Abscheiden einer Hartmaske; Ätzen der Kappenstruktur unter Verwendung eines anisotropen Ätzprozesses mit einer vorbestimmten Tiefe; Entfernen der Hartmaske; und Ätzen der Kappenstruktur unter Verwendung eines isotropen Ätzprozesses.
DE102020132616.5A 2020-01-30 2020-12-08 Biosensorsystem mit integrierter mikronadel Pending DE102020132616A1 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202062967850P 2020-01-30 2020-01-30
US62/967,850 2020-01-30
US17/104,059 US20210239688A1 (en) 2020-01-30 2020-11-25 Biosensor System with Integrated Microneedle
US17/104,059 2020-11-25

Publications (1)

Publication Number Publication Date
DE102020132616A1 true DE102020132616A1 (de) 2021-08-05

Family

ID=76853635

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102020132616.5A Pending DE102020132616A1 (de) 2020-01-30 2020-12-08 Biosensorsystem mit integrierter mikronadel

Country Status (5)

Country Link
US (2) US20210239688A1 (de)
KR (1) KR20210098340A (de)
CN (1) CN113270391A (de)
DE (1) DE102020132616A1 (de)
TW (1) TWI787726B (de)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3812042A1 (de) * 2019-10-25 2021-04-28 Sharp Life Science (EU) Limited Ewod-vorrichtung mit erfassungsvorrichtung
CN114143688B (zh) * 2021-11-08 2024-01-26 歌尔微电子股份有限公司 微机电系统磁传感器的制造方法、磁传感器和电子设备

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2008008845A2 (en) * 2006-07-11 2008-01-17 Microchips, Inc. Multi-reservoir pump device for dialysis, biosensing, or delivery of substances
US8506529B1 (en) * 2008-07-08 2013-08-13 MCube Inc. Method and structure of monolithetically integrated microneedle biochip
US20170067890A1 (en) * 2011-10-31 2017-03-09 Taiwan Semiconductor Manufacturing Company, Ltd. On-Chip Disease Diagnostic Platform for Dual-Gate Ion Sensitive Field Effect Transistor
US9389199B2 (en) * 2013-03-14 2016-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Backside sensing bioFET with enhanced performance
US10509008B2 (en) * 2015-04-29 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Biological device and biosensing method thereof
US10161901B2 (en) * 2015-12-07 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Dual gate biologically sensitive field effect transistor
US10050024B2 (en) * 2016-06-17 2018-08-14 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and manufacturing method of the same
US10852271B2 (en) * 2016-12-14 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. On-chip heater
US10101295B2 (en) * 2016-12-15 2018-10-16 Taiwan Semiconductor Manufacturing Co., Ltd. On-chip reference electrode for biologically sensitive field effect transistor

Also Published As

Publication number Publication date
US20210239688A1 (en) 2021-08-05
US20240044889A1 (en) 2024-02-08
TW202144276A (zh) 2021-12-01
TWI787726B (zh) 2022-12-21
KR20210098340A (ko) 2021-08-10
CN113270391A (zh) 2021-08-17

Similar Documents

Publication Publication Date Title
US10184912B2 (en) Backside sensing BioFET with enhanced performance
US10823696B2 (en) Method of fabricating a biological field-effect transistor (BioFET) with increased sensing area
US10094801B2 (en) Amplified dual-gate bio field effect transistor
DE102017124110A1 (de) Bio-mosfets mit gemeinsamer sensorikmulde
US20240044889A1 (en) Biosensor system with integrated microneedle
US20100052080A1 (en) Biosensor chip and a method of manufacturing the same
DE102020130573A1 (de) Wafer-Level-Packaging von Festkörper-Biosensoren, Mikrofluidik und Silizium-Durchkontaktierung
DE102013106596B4 (de) Verfahren für die Ausbildung von Biochips mit nicht-organischen Kontaktauflagen für einen verbesserten Wärmehaushalt
DE102016125295A1 (de) On-chip-erhitzer
EP2573554A1 (de) Vorrichtung und Verfahren zur Kügelchenerkennung
CN112683755A (zh) 半导体器件及其制造方法
CN104049021B (zh) 具有增大的感测面积的biofet
DE112018002960B4 (de) Flüssigkeitsausgabeeinheit mit hydrophober oberfläche
CN104051512A (zh) 性能增强的背面感测生物场效应晶体管
DE112019002492B4 (de) Elektrisch funktionelle polymer-mikronadel-anordnung
DE10351201B3 (de) Sensorvorrichtung mit Waferbondverbindungsaufbau und Herstellungsverfahren derselben
US11686704B2 (en) Biosensor
DE102020117583B4 (de) Eine halbleitervorrichtung aufweisend verschiedene artenmikroelektromechanischer systemvorrichtungen
DE102014115980B4 (de) Gerät zum Analysieren der Ionenkinetik in Dielektrika
KR101006371B1 (ko) 유전율 변화 전계효과 트랜지스터를 이용하는 바이오센서를갖는 약물 전달 장치

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication