DE102020119159A1 - CONDITIONING STRUCTURE AND PROCEDURES FOR EDUCATING THE SAME - Google Patents

CONDITIONING STRUCTURE AND PROCEDURES FOR EDUCATING THE SAME Download PDF

Info

Publication number
DE102020119159A1
DE102020119159A1 DE102020119159.6A DE102020119159A DE102020119159A1 DE 102020119159 A1 DE102020119159 A1 DE 102020119159A1 DE 102020119159 A DE102020119159 A DE 102020119159A DE 102020119159 A1 DE102020119159 A1 DE 102020119159A1
Authority
DE
Germany
Prior art keywords
layer
bond pad
conductive
dielectric layer
pads
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102020119159.6A
Other languages
German (de)
Inventor
Ming-Fa Chen
Sung-Feng Yeh
Hsien-Wei Chen
Jie Chen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/929,708 external-priority patent/US11264343B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102020119159A1 publication Critical patent/DE102020119159A1/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/568Temporary substrate used as encapsulation process aid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • H01L23/3128Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation the substrate having spherical bumps for external connection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/544Marks applied to semiconductor devices or parts, e.g. registration marks, alignment structures, wafer maps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/19Manufacturing methods of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/73Means for bonding being of different types provided for in two or more of groups H01L24/10, H01L24/18, H01L24/26, H01L24/34, H01L24/42, H01L24/50, H01L24/63, H01L24/71
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68309Auxiliary support including alignment aids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68327Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/6834Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used to protect an active side of a device or wafer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/544Marks applied to semiconductor devices or parts
    • H01L2223/54426Marks applied to semiconductor devices or parts for alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/544Marks applied to semiconductor devices or parts
    • H01L2223/54473Marks applied to semiconductor devices or parts for use after dicing
    • H01L2223/54486Located on package parts, e.g. encapsulation, leads, package substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04105Bonding areas formed on an encapsulation of the semiconductor or solid-state body, e.g. bonding areas on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05155Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05166Titanium [Ti] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05171Chromium [Cr] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05184Tungsten [W] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/05186Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/0557Disposition the external layer being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05617Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/05624Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05639Silver [Ag] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05644Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05647Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05655Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05664Palladium [Pd] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05666Titanium [Ti] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05684Tungsten [W] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/061Disposition
    • H01L2224/0618Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/06181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08151Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/08221Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/08225Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/12105Bump connectors formed on an encapsulation of the semiconductor or solid-state body, e.g. bumps on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L2224/20Structure, shape, material or disposition of high density interconnect preforms
    • H01L2224/21Structure, shape, material or disposition of high density interconnect preforms of an individual HDI interconnect
    • H01L2224/214Connecting portions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32135Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/32145Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/44Structure, shape, material or disposition of the wire connectors prior to the connecting process
    • H01L2224/45Structure, shape, material or disposition of the wire connectors prior to the connecting process of an individual wire connector
    • H01L2224/45001Core members of the connector
    • H01L2224/45099Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/481Disposition
    • H01L2224/48151Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/48221Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/48225Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/48227Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation connecting the wire to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73265Layer and wire connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73267Layer and HDI connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/922Connecting different surfaces of the semiconductor or solid-state body with connectors of different types
    • H01L2224/9222Sequential connecting processes
    • H01L2224/92242Sequential connecting processes the first connecting process involving a layer connector
    • H01L2224/92244Sequential connecting processes the first connecting process involving a layer connector the second connecting process involving a build-up interconnect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/0651Wire or wire-like electrical connections from device to substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06555Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking
    • H01L2225/06568Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking the devices decreasing in size, e.g. pyramidical stack
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/10All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
    • H01L2225/1005All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/1011All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
    • H01L2225/1017All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement the lowermost container comprising a device support
    • H01L2225/1035All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement the lowermost container comprising a device support the device being entirely enclosed by the support, e.g. high-density interconnect [HDI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/10All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
    • H01L2225/1005All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/1011All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
    • H01L2225/1047Details of electrical connections between containers
    • H01L2225/1058Bump or bump-like electrical connections, e.g. balls, pillars, posts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L24/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/42Wire connectors; Manufacturing methods related thereto
    • H01L24/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L24/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L24/80 - H01L24/90
    • H01L24/92Specific sequence of method steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/10Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers
    • H01L25/105Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers the devices being of a type provided for in group H01L27/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA

Abstract

Ein Package umfasst ein erstes Die, das eine erste Metallisierungsschicht, eine oder mehrere erste Bondpaddurchkontaktierungen auf der ersten Metallisierungsschicht, wobei sich eine erste Barriereschicht über die erste Metallisierungsschicht zwischen jeder ersten Bondpaddurchkontaktierung und der ersten Metallisierungsschicht erstreckt, und ein oder mehrere erste Bondpads auf der einen oder den mehreren ersten Bondpaddurchkontaktierungen, wobei sich eine zweite Barriereschicht über jede erste Bondpaddurchkontaktierung zwischen einem ersten Bondpad und der ersten Bondpaddurchkontaktierung erstreckt, umfasst, und ein zweites Die, das ein oder mehrere zweite Bondpads umfasst, wobei ein zweites Bondpad mit einem ersten Bondpad des ersten Dies verbunden ist.A package comprises a first die having a first metallization layer, one or more first bond pad vias on the first metallization layer, wherein a first barrier layer extends over the first metallization layer between each first bond pad via and the first metallization layer, and one or more first bond pads on the one or the plurality of first bond pad vias, wherein a second barrier layer extends over each first bond pad vias between a first bond pad and the first bond pad via, and a second die comprising one or more second bond pads, wherein a second bond pad with a first bond pad of the first This is related.

Description

PRIORITÄTSANSPRUCH UND QUERVERWEISEPRIORITY CLAIM AND CROSS-REFERENCES

Diese Anmeldung beansprucht die Priorität der vorläufigen US-Patentanmeldung Nr. 62/893,971 , eingereicht am 30. August 2019, die durch Bezugnahme vollumfänglich in die vorliegende Anmeldung aufgenommen ist.This application claims priority from U.S. Provisional Patent Application No. 62 / 893.971 , filed on August 30, 2019, which is incorporated in this application in its entirety by reference.

HINTERGRUNDBACKGROUND

In der Wafer-Wafer-Bondingtechnologie wurden verschiedene Verfahren entwickelt, um zwei Package-Komponenten (wie etwa Wafers) miteinander zu verbinden. Einige Waferbondungsverfahren umfassen Fusionsbondung, eutektische Bondung, Direktmetallbondung, Hybridbondung und dergleichen. Bei der Fusionsbondung wird eine Oxidfläche eines Wafers an eine Oxidfläche oder eine Siliziumfläche eines anderen Wafers gebunden. Bei der eutektischen Bondung werden zwei eutektische Materialien zusammen platziert und ein hoher Druck und eine hohe Temperatur werden aufgebracht. Die eutektischen Materialien werden daher geschmolzen. Wenn sich die geschmolzenen eutektischen Materialien erhärten, verbinden sich die Wafer. Bei der direkten Metall-Metall-Bondung werden zwei Metallpads bei erhöhter Temperatur aneinandergepresst und die Zwischendiffusion der Metallpads verursacht die Bondung der Metallpads. Bei der Hybridbondung werden die Metallpads von zwei Wafern miteinander durch direkte Metall-Metall-Bondung verbunden, und eine Oxidfläche eines der beiden Wafer wird mit einer Oxidfläche oder einer Siliziumfläche des anderen Wafers verbunden.In wafer-wafer bonding technology, various processes have been developed to connect two package components (such as wafers) to one another. Some wafer bonding methods include fusion bonding, eutectic bonding, direct metal bonding, hybrid bonding, and the like. In fusion bonding, an oxide surface of one wafer is bonded to an oxide surface or a silicon surface of another wafer. In eutectic bonding, two eutectic materials are placed together and high pressure and temperature are applied. The eutectic materials are therefore melted. When the molten eutectic materials solidify, the wafers bond. With direct metal-to-metal bonding, two metal pads are pressed against one another at an elevated temperature and the diffusion between the metal pads causes the metal pads to bond. In hybrid bonding, the metal pads of two wafers are connected to one another by direct metal-to-metal bonding, and an oxide surface of one of the two wafers is connected to an oxide surface or a silicon surface of the other wafer.

KURZE BESCHREIBUNG DER ZEICHNUNGENBRIEF DESCRIPTION OF THE DRAWINGS

Aspekte der vorliegenden Offenbarung lassen sich am besten anhand der folgenden detaillierten Beschreibung in Verbindung mit den beiliegenden Zeichnungen verstehen. Es ist zu beachten, dass gemäß der branchenüblichen Praxis verschiedene Merkmale nicht maßstabsgetreu dargestellt sind. Tatsächlich können die Abmessungen der verschiedenen Merkmale zugunsten einer klaren Erläuterung willkürlich vergrößert oder verkleinert sein.Aspects of the present disclosure can be best understood from the following detailed description in conjunction with the accompanying drawings. It should be noted that, in accordance with industry practice, various features are not shown to scale. Indeed, the various features may be arbitrarily enlarged or reduced in size for clarity of explanation.

1 bis 9 illustrieren Querschnittsansichten von Zwischenstufen in einem Prozess des Bildens einer Vorrichtungsstruktur gemäß einigen Ausführungsformen. 1 to 9 10 illustrate cross-sectional views of intermediate stages in a process of forming a device structure in accordance with some embodiments.

10 bis 17 illustrieren Querschnittsansichten von Zwischenstufen in einem Prozess des Bildens einer Diestruktur gemäß einigen Ausführungsformen. 10 to 17th 10 illustrate cross-sectional views of intermediate stages in a process of forming a die structure in accordance with some embodiments.

18A, 18B, 19A, 19B, 20A, 20B und 21 illustrieren Querschnittsansichten von Packages, die Diestrukturen aufweisen, gemäß einigen Ausführungsformen. 18A , 18B , 19A , 19B , 20A , 20B and 21 illustrate cross-sectional views of packages having the structures in accordance with some embodiments.

22 bis 26 illustrieren Querschnittsansichten von Zwischenstufen in einem Prozess des Bildens einer Packagestruktur gemäß einigen Ausführungsformen. 22nd to 26th illustrate cross-sectional views of intermediate stages in a process of forming a package structure in accordance with some embodiments.

AUSFÜHRLICHE BESCHREIBUNGDETAILED DESCRIPTION

Die folgende Offenbarung stellt viele verschiedene Ausführungsformen oder Beispiele für die Umsetzung verschiedener Merkmale der Erfindung bereit. Spezifische Beispiele von Bauteilen und Anordnungen sind nachfolgend beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind natürlich nur Beispiele, die nicht als einschränkend zu verstehen sind. Beispielsweise kann das Bilden eines ersten Merkmals oder eines zweiten Merkmals in der folgenden Beschreibung Ausführungsformen umfassen, bei denen das erste und zweite Merkmal in direktem Kontakt gebildet sind, und es kann außerdem Ausführungsformen umfassen, in denen weitere Merkmale zwischen dem ersten und zweiten Merkmal gebildet werden können, sodass das erste und zweite Merkmal nicht in direktem Kontakt stehen müssen. Weiterhin kann diese Offenbarung Referenzziffern und/oder -buchstaben der verschiedenen Beispiele wiederholen. Diese Wiederholung dient der Einfachheit und Klarheit und diktiert nicht für sich eine Beziehung zwischen den verschiedenen erklärten Ausführungsformen und/oder Konfigurationen.The following disclosure provides many different embodiments or examples of implementing various features of the invention. Specific examples of components and arrangements are described below to simplify the present disclosure. These are of course only examples that are not to be understood as restrictive. For example, forming a first feature or a second feature in the following description may include embodiments in which the first and second features are formed in direct contact, and it may also include embodiments in which further features are formed between the first and second features so that the first and second features do not have to be in direct contact. Furthermore, this disclosure may repeat reference numbers and / or letters of the various examples. This repetition is for simplicity and clarity and does not by itself dictate any relationship between the various embodiments and / or configurations illustrated.

Ferner können räumlich relative Begriffe wie „unter“, „darunter“, „unterer“, „über“, „oberer“ und dergleichen hierin für eine einfachere Beschreibung verwendet werden, um die Beziehung eines Elements oder Merkmals zu einem oder mehreren anderen Element(en) oder Merkmal(en) wie in den Figuren illustriert zu beschreiben. Die räumlich relativen Begriffe sollen zusätzlich zu der Ausrichtung, die in den Figuren dargestellt ist, verschiedene Ausrichtungen der Vorrichtung in der Verwendung oder im Betrieb umfassen. Die Vorrichtung kann anderweitig ausgerichtet sein (um 90 Grad gedreht oder in einer anderen Ausrichtung) und die räumlich relativen Bezeichnungen, die hierin verwendet werden, können ebenfalls entsprechend ausgelegt werden.Furthermore, spatially relative terms such as “below”, “below”, “lower”, “above”, “upper” and the like may be used herein for ease of description to describe the relationship of an element or feature to one or more other element (s ) or feature (s) as illustrated in the figures. The spatially relative terms are intended to encompass various orientations of the device in use or in operation in addition to the orientation illustrated in the figures. The device may be otherwise oriented (rotated 90 degrees or in a different orientation) and the spatially relative terms used herein may be construed accordingly.

Eine Bondungsstruktur und ein Verfahren sind nach einigen Ausführungsformen bereitgestellt. Bondpaddurchkontaktierungen (BPVs) sind über Metallpads in einer Reihe von Verarbeitungsschritten gebildet, und dann sind Bondpads über den BPVs in einer nachfolgenden Reihe von Verarbeitungsschritten gebildet. Durch getrenntes Bilden der BPVs und der Bondpads können die Metallpads, mit denen die BPVs verbunden sind, enger zusammen gebildet sein und/oder einen kleineren Bereich aufweisen. Weiterhin können die BPVs oder die Bondpads auch enger zusammen und/oder mit einem kleineren Bereich gebildet werden. Dies kann die Größe eines Dies oder eines Packages verringern. Die entsprechenden Bondpads von zwei verbundenen Dies kann mit verschiedenen Bereichen gebildet sein, wie etwa so, dass eine Fehlausrichtung zwischen den beiden Dies eine geringe oder keine Wirkung auf den Kontaktwiderstand an der Verbindung zwischen den entsprechenden Bondpads aufweist.A bonding structure and method are provided in accordance with some embodiments. Bond pad vias (BPVs) are formed over metal pads in a series of processing steps and then bond pads are formed over the BPVs in a subsequent series of processing steps. By forming the BPVs and the bond pads separately, the metal pads with which the BPVs are connected, formed closer together, and / or have a smaller area. Furthermore, the BPVs or the bond pads can also be formed closer together and / or with a smaller area. This can reduce the size of a die or package. The respective bond pads of two connected dies may be formed with different areas, such as such that misalignment between the two dies has little or no effect on contact resistance at the junction between the respective bond pads.

1 bis 9 illustrieren die Querschnittsansichten der Zwischenstufen in der Bildung einer Vorrichtungsstruktur 100 (siehe 9) gemäß einigen Ausführungsformen. 1 illustriert ein Substrat 102 nach einigen Ausführungsformen. In 1 bis 9 sind nach einigen Ausführungsformen mehrere Vorrichtungsstrukturen 100 auf einem einzigen Substrat 102 gebildet und werden dann vereinzelt, um einzelne Vorrichtungsstrukturen 100 zu bilden. Die in 1 bis 8 mit „100“ beschrifteten Regionen zeigen Regionen an, in denen die Vorrichtungsstrukturen 100 aus 9 gebildet sind, und die Region, die mit „104“ beschriftet ist, zeigt eine Ritzlinienregion 104 zwischen aneinandergrenzenden Vorrichtungsstrukturen 100. 1 to 9 illustrate the cross-sectional views of the intermediate stages in the formation of a device structure 100 (please refer 9 ) according to some embodiments. 1 illustrates a substrate 102 according to some embodiments. In 1 to 9 are multiple device structures in some embodiments 100 on a single substrate 102 formed and then singulated to individual device structures 100 to build. In the 1 to 8th With " 100 “Labeled regions indicate regions in which the device structures 100 out 9 are formed, and the region labeled “104” shows a scribe line region 104 between adjacent device structures 100 .

Das Substrat 102 kann ein Halbleitersubstrat sein, wie etwa ein Bulkhalbleiter, ein Isolator-Substrat (SOI-Substrat), ein Halbleiterwafer oder dergleichen, das dotiert (z. B. mit einem p- oder n-Dotiermittel) oder undotiert sein kann. Allgemein umfasst ein SOI-Substrat eine Schicht eines Halbleitermaterials, das auf einer Isolierschicht gebildet ist. Die Isolierungsschicht kann beispielsweise eine Buried-Oxid-Schicht (BOX-Schicht), eine Siliziumoxidschicht oder dergleichen sein. Die Isolierschicht wird an einem Substrat bereitgestellt, üblicherweise an einem Siliziumsubstrat oder an einem Glassubstrat. Andere Substrate, wie etwa ein mehrlagiges oder Gefällesubstrat, können ebenfalls verwendet werden. In einigen Ausführungsformen kann das Halbleitermaterial des Substrats Silizium; Germanium; einen Verbindungshalbleiter, der Siliziumkarbid, Galliumarsen, Galliumphosphid, Indiumphosphid, Indiumarsenid und/oder Indiumantimonid umfasst; einen Legierungshalbleiter, der SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP und/oder GaInAsP umfasst; oder Kombinationen daraus umfassen.The substrate 102 may be a semiconductor substrate, such as a bulk semiconductor, an insulator substrate (SOI substrate), a semiconductor wafer, or the like, which may be doped (e.g. with a p- or n-dopant) or undoped. In general, an SOI substrate includes a layer of semiconductor material formed on an insulating layer. The insulation layer can be, for example, a buried oxide layer (BOX layer), a silicon oxide layer or the like. The insulating layer is provided on a substrate, usually a silicon substrate or a glass substrate. Other substrates, such as a multilayer or sloping substrate, can also be used. In some embodiments, the semiconductor material of the substrate may be silicon; Germanium; a compound semiconductor comprising silicon carbide, gallium arsenic, gallium phosphide, indium phosphide, indium arsenide and / or indium antimonide; an alloy semiconductor comprising SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP and / or GaInAsP; or combinations thereof.

In einigen Ausführungsformen werden das Substrat 102 und darauf gebildete Merkmale verwendet, um ein Vorrichtungsdie, ein integriertes Schaltungsdie oder dergleichen zu bilden. In solchen Ausführungsformen können integrierte Schaltungsvorrichtungen auf der oberen Fläche des Substrats 102 gebildet sein. Beispielhafte integrierte Schaltungsvorrichtungen können komplementäre Metalloxidhalbleitertransistoren (CMOS-Transistoren), Fin-Field-Effect-Transistoren (FinFETs), Widerstände, Kondensatoren, Dioden, dergleichen oder eine Kombination daraus umfassen. Die Details der integrierten Schaltungsvorrichtungen sind hierin nicht illustriert. In anderen Ausführungsformen wird das Substrat 102 verwendet, um eine Interposerstruktur zu bilden. In solchen Ausführungsformen werden keine aktiven Vorrichtungen wie Transistoren auf dem Substrat 102 gebildet. Passive Vorrichtungen wie Kondensatoren, Widerstände, Induktoren oder dergleichen können in dem Substrat 102 gebildet sein. Das Substrat 102 kann in einigen Ausführungsformen auch ein Dielektrikumsubstrat sein, in dem das Substrat 102 Teil einer Interposerstruktur ist. In einigen Ausführungsformen können Durchkontaktierungen (nicht dargestellt) gebildet werden, die sich durch das Substrat 102 erstrecken, um Komponenten an den gegenüberliegenden Seiten des Substrats 102 zu verbinden.In some embodiments, the substrate 102 and utilizing features formed thereon to form a device die, integrated circuit die, or the like. In such embodiments, integrated circuit devices can be on the top surface of the substrate 102 be educated. Exemplary integrated circuit devices may include complementary metal oxide semiconductor (CMOS) transistors, fin field effect transistors (FinFETs), resistors, capacitors, diodes, the like, or a combination thereof. The details of the integrated circuit devices are not illustrated herein. In other embodiments, the substrate 102 used to form an interposer structure. In such embodiments, there are no active devices such as transistors on the substrate 102 educated. Passive devices such as capacitors, resistors, inductors or the like can be in the substrate 102 be educated. The substrate 102 may in some embodiments also be a dielectric substrate in which the substrate 102 Is part of an interposer structure. In some embodiments, vias (not shown) may be formed that extend through the substrate 102 extend to components on opposite sides of the substrate 102 connect to.

In 2 ist eine Interconnectstruktur 108 über dem Substrat 102 gebildet. Die Interconnectstruktur 108 stellt Routing und elektrische Verbindungen zwischen Vorrichtungen bereit, die in dem Substrat 102 gebildet sind, und können z. B. eine Umverteilungsstruktur oder dergleichen sein. Die Interconnectstruktur 108 kann mehrere Isolierungsschichten 110 umfassen, die ZwischenmetallDielektrikumsschichten (IMD-Schichten) sein können, die nachfolgend genauer beschrieben sind. Jede der Isolierungsschichten 110 umfasst eines oder mehrere leitfähige Merkmale 113, die Metallleitungen und/oder Durchkontaktierungen sein können, die darin in einer Metallisierungsschicht gebildet sind. In anderen Ausführungsformen können die Metallleitungen beispielsweise Umverteilungsschichten sein. Die leitfähigen Merkmale 113 können durch die Kontakte (in den Figuren nicht dargestellt) elektrisch mit den aktiven und/oder passiven Vorrichtungen des Substrats 102 gekoppelt sein.In 2 is an interconnect structure 108 above the substrate 102 educated. The interconnect structure 108 provides routing and electrical connections between devices that are in the substrate 102 are formed, and can e.g. B. be a redistribution structure or the like. The interconnect structure 108 can have multiple layers of insulation 110 which may be inter-metal dielectric layers (IMD layers), which are described in more detail below. Each of the insulation layers 110 includes one or more conductive features 113 , which may be metal lines and / or vias formed therein in a metallization layer. In other embodiments, the metal lines can be redistribution layers, for example. The conductive features 113 can be electrically connected to the active and / or passive devices of the substrate through the contacts (not shown in the figures) 102 be coupled.

Einige Abschnitte der leitfähigen Merkmale 113, die in der obersten Isolierungsschicht 110 der Interconnectstruktur 108 gebildet sind, können mit einem relativ größeren Bereich gebildet sein als die anderen leitfähigen Merkmale 113 innerhalb der Interconnectstruktur 108. Die leitfähigen Merkmale der Interconnectstruktur 108, die in der obersten Isolierungsschicht 110 gebildet sind, sind in 2 separat als Metallpads 112 bezeichnet. Die Metallpads 112 können wie für die Verbindung nachfolgend gebildeter leitfähiger Merkmale (z. B. leitfähiger Pads 118, Bondpaddurchkontaktierungen (BPVs) 128 oder dergleichen) mit der Interconnectstruktur 108 verwendet werden. In einigen Ausführungsformen können die leitfähigen Merkmale der obersten Isolierungsschicht 110 auch Metallleitungen oder Durchkontaktierungen umfassen, die in 2 nicht getrennt dargestellt sind. Die Metallpads 112 können mit einer Breite W1 gebildet sein, die zwischen ca. 2 µm und ca. 10 µm breit ist oder einen Bereich aufweist, der zwischen ca. 4 µm2 und ca. 100 µm2 groß ist. Aneinander angrenzende Metallpads 112 können um eine Distanz D1 getrennt sein, die zwischen ca. 2 µm und ca. 20 µm groß ist. Andere Abmessungen oder Distanzen sind möglich. In einigen Fällen können die hierin beschriebenen Techniken erlauben, dass Metallpads 112 eine kleinere Breite W1 oder einen kleineren Bereich aufweisen. In einigen Fällen können die hierin beschriebenen Techniken das Bilden von Metallpads 112 erlauben, die durch eine kleinere Distanz D1 getrennt sind. Durch Bilden eines kleineren oder engeren Metallpads 112 können die Abmessungen (z. B. die „Grundfläche“) der Vorrichtungsstruktur 100 verringert werden. Weiterhin kann die Routingdistanz zwischen Merkmalen verringert werden, was eine höhere Geschwindigkeitsoperation der Vorrichtungsstruktur 100 verbessern kann.Some sections of the conductive features 113 that are in the top layer of insulation 110 the interconnect structure 108 may be formed with a relatively larger area than the other conductive features 113 within the interconnect structure 108 . The conductive characteristics of the interconnect structure 108 that are in the top layer of insulation 110 are formed are in 2 separately as metal pads 112 designated. The metal pads 112 can be used as for the connection of the following conductive features (e.g. conductive pads 118 , Bond pad vias (BPVs) 128 or the like) with the interconnect structure 108 be used. In some embodiments, the conductive features can be the topmost insulation layer 110 also include metal lines or vias that are in 2 are not shown separately. The metal pads 112 can with a width W1 be formed between about 2 microns and about 10 microns is wide or has an area between approximately 4 μm 2 and approximately 100 μm 2 . Adjacent metal pads 112 can move a distance D1 be separated, which is between about 2 µm and about 20 µm in size. Other dimensions or distances are possible. In some cases, the techniques described herein can allow metal pads 112 a smaller width W1 or have a smaller area. In some cases, the techniques described herein can include forming metal pads 112 allow that by a smaller distance D1 are separated. By making a smaller or tighter metal pad 112 the dimensions (e.g. the "footprint") of the device structure 100 be reduced. Furthermore, the routing distance between features can be reduced, resulting in a higher speed operation of the device structure 100 can improve.

In einigen Ausführungsformen können die Isolierungsschichten 110 aus einem Material mit niedrigem k-Wert gebildet sein, das einen k-Wert von weniger als ca. 3,0 aufweist. Die Isolierungsschichten 110 können aus einem Dielektrikum mit extra-niedrigem-k-Wert (ELK) gebildet sein, das einem k-Wert von weniger als 2,5 aufweist. In einigen Ausführungsformen können die Isolierungsschichten 110 aus einem sauerstoffhaltigen und/oder kohlenstoffhaltigen Dielektrikum mit niedrigem k-Wert, Hydrogen-Silses-Quioxan (HSQ), Methyl-Silses-Quioxan (MSQ), dergleichen oder einer Kombination daraus gebildet sein. In einigen Ausführungsformen sind einige oder alle der Isolierungsschichten 110 aus Dielektrika ohne niedrigen k-Wert gebildet, wie etwa Siliziumoxid, Siliziumcarbid (SiC), Siliziumkarbonitrid (SiCN), Siliziumoxykarbonitrid (SiOCN) oder dergleichen. In einigen Ausführungsformen werden Ätzstoppschichten (nicht dargestellt), die aus Siliziumcarbid, Siliziumnitrid oder dergleichen gebildet sein können, zwischen Isolierungsschichten 110 gebildet. In einigen Ausführungsformen sind die Isolierungsschichten 110 aus einem porösen Material wie SiOCN, SiCN, SiOC, SiOCH oder dergleichen gebildet und können durch Spin-On-Coating oder einen Abscheidungsprozess wie plasmaverstärkter Gasphasenabscheidung (PECVD), CVD, PVD oder dergleichen gebildet sein. In einigen Ausführungsformen kann die Interconnectstruktur 108 eine oder mehrere Arten von Schichten umfassen, wie etwa Diffusionsbarriereschichten (nicht dargestellt).In some embodiments, the insulation layers 110 be formed from a low-k material that has a k-value less than about 3.0. The insulation layers 110 may be formed from an extra-low-k dielectric (ELK) that has a k value less than 2.5. In some embodiments, the insulation layers 110 be formed from an oxygen-containing and / or carbon-containing dielectric with a low k value, hydrogen silses quioxane (HSQ), methyl silses quioxane (MSQ), the like or a combination thereof. In some embodiments, some or all of the insulation layers are 110 formed from low-k dielectrics such as silicon oxide, silicon carbide (SiC), silicon carbonitride (SiCN), silicon oxycarbonitride (SiOCN), or the like. In some embodiments, etch stop layers (not shown), which may be formed from silicon carbide, silicon nitride, or the like, are placed between isolation layers 110 educated. In some embodiments, the insulation layers are 110 formed from a porous material such as SiOCN, SiCN, SiOC, SiOCH or the like and can be formed by spin-on coating or a deposition process such as plasma enhanced vapor deposition (PECVD), CVD, PVD or the like. In some embodiments, the interconnect structure 108 comprise one or more types of layers, such as diffusion barrier layers (not shown).

In einigen Ausführungsformen kann die Interconnectstruktur 108 unter Verwendung eines einzelnen und/oder eines dualen Damaszenprozesses, eines Durchkontaktierung-zuerst-Prozesses oder eines Metall-zuerst-Prozesses gebildet sein. In einer Ausführungsform ist eine Isolierungsschicht 110 gebildet und Öffnungen (nicht dargestellt) sind darin unter Verwendung akzeptabler Photolithographie- und Ätztechniken gebildet. Diffusionsbarriereschichten (nicht dargestellt) können in den Öffnungen gebildet sein und können ein Material wie TaN, Ta, TiN, Ti, CoW oder dergleichen umfassen, und können in den Öffnungen unter Verwendung eines Abscheidungsprozesses wie CVD, Atomlagenabscheidung (ALD) oder dergleichen gebildet sein. Ein leitfähiges Material kann in den Öffnungen aus Kupfer, Aluminium, Nickel, Wolfram, Kobalt, Silber, Kombinationen daraus oder dergleichen gebildet sein und kann über den Diffusionsbarriereschichten in den Öffnungen unter Verwendung eines elektrochemischen Plattierungsprozesses, CVD, ALD, PVD, dergleichen oder einer Kombination daraus gebildet sein. Nach dem Bilden des leitfähigen Materials kann überschüssiges leitfähiges Material unter Verwendung von beispielsweise einem Planarisierungsprozess wie CMP entfernt werden, sodass leitfähige Merkmale 113 in den Öffnungen der jeweiligen Isolierungsschicht 110 zurückbleiben. Der Prozess kann dann wiederholt werden, um weitere Isolierungsschichten 110 und leitfähige Merkmale 113 darin zu bilden. In einigen Ausführungsformen können die oberste Isolierungsschicht 110 und die darin gebildeten Metallpads 112 mit einer Dicke von mehr als einer Dicke der anderen Isolierungsschichten 110 der Interconnectstruktur 108 gebildet werden. In einigen Ausführungsformen sind eines oder mehrere der obersten leitfähigen Merkmale Dummymetallleitungen oder Dummymetallpads 112, die elektrisch von dem Substrat 102 isoliert sind.In some embodiments, the interconnect structure 108 be formed using a single and / or a dual damascene process, a via-first process, or a metal-first process. In one embodiment is an insulation layer 110 and openings (not shown) are formed therein using acceptable photolithography and etching techniques. Diffusion barrier layers (not shown) can be formed in the openings and can comprise a material such as TaN, Ta, TiN, Ti, CoW, or the like, and can be formed in the openings using a deposition process such as CVD, atomic layer deposition (ALD), or the like. A conductive material can be formed in the openings from copper, aluminum, nickel, tungsten, cobalt, silver, combinations thereof, or the like and can be formed over the diffusion barrier layers in the openings using an electrochemical plating process, CVD, ALD, PVD, the like, or a combination be formed from it. After the conductive material is formed, excess conductive material can be removed using, for example, a planarization process such as CMP, so that conductive features 113 in the openings of the respective insulation layer 110 lag behind. The process can then be repeated to add additional layers of insulation 110 and conductive features 113 to form in it. In some embodiments, the top insulation layer 110 and the metal pads formed therein 112 with a thickness greater than a thickness of the other insulation layers 110 the interconnect structure 108 are formed. In some embodiments, one or more of the top conductive features are dummy metal lines or dummy metal pads 112 electrically from the substrate 102 are isolated.

In 3 ist eine Passivierungsschicht 114 über der Interconnectstruktur 108 gebildet und eine oder mehrere Öffnungen sind in der Passivierungsschicht 114 gebildet. Die Passivierungsschicht 114 kann eine oder mehrere Schichten aus einem oder mehreren Materialien umfassen. Beispielsweise kann die Passivierungsschicht 114 eine oder mehrere Schichten aus Siliziumnitrid, Siliziumoxid, Siliziumoxynitrid, dergleichen, oder eine Kombination umfassen. Die Passivierungsschicht 114 kann durch einen geeigneten Prozess gebildet werden, wie etwa durch CVD, PECVD, PVD, ALD, dergleichen oder eine Kombination daraus. In einigen Ausführungsformen kann die Passivierungsschicht 114 mit einer Dicke von mehr als einer Dicke der obersten Isolierungsschicht 110 gebildet werden. Die Öffnungen in der Passivierungsschicht 114 können unter Verwendung eines geeigneten photolithographischen und Ätzprozesses gebildet sein. Beispielsweise kann ein Photoresist über der Passivierungsschicht 114 gebildet und strukturiert sein, und dann der strukturierte Photoresist als eine Ätzmaske verwendet werden. Die Passivierungsschicht 114 kann unter Verwendung eines Nassätzprozesses und/oder eines Trockenätzprozesses geätzt werden. Die Öffnungen werden gebildet, um Abschnitte der Metallpads 112 für elektrische Verbindung offenzulegen.In 3rd is a passivation layer 114 above the interconnect structure 108 and one or more openings are in the passivation layer 114 educated. The passivation layer 114 may comprise one or more layers of one or more materials. For example, the passivation layer 114 one or more layers of silicon nitride, silicon oxide, silicon oxynitride, the like, or a combination. The passivation layer 114 can be formed by any suitable process such as CVD, PECVD, PVD, ALD, the like, or a combination thereof. In some embodiments, the passivation layer 114 with a thickness greater than a thickness of the topmost insulation layer 110 are formed. The openings in the passivation layer 114 can be formed using a suitable photolithographic and etching process. For example, a photoresist can be applied over the passivation layer 114 be formed and patterned, and then the patterned photoresist used as an etch mask. The passivation layer 114 can be etched using a wet etch process and / or a dry etch process. The openings are formed to accommodate sections of the metal pads 112 for electrical connection to be disclosed.

In 4 sind nach einigen Ausführungsformen leitfähige Pads 118 über der Passivierungsschicht 114 gebildet. Ein oder mehrere leitfähigen Pads 118 können gebildet werden, sodass sie sich durch die Öffnungen in der Passivierungsschicht 114 erstrecken, um eine elektrische Verbindung mit einem oder mehreren der Metallpads 112 der Interconnectstruktur 108 herzustellen. In einigen Ausführungsformen können die leitfähigen Pads 118 gebildet werden, indem zuerst eine Deckschicht eines leitfähigen Materials wie Aluminium abgeschieden wird. Beispielsweise können CVD, PVD oder dergleichen verwendet werden, eine Schicht Aluminium über der Passivierungsschicht 114, den Öffnungen und den Metallpads 112 abzuscheiden. Eine Photoresistschicht (nicht getrennt illustriert) kann dann über der Aluminiumschicht gebildet werden und die Aluminiumschicht kann geätzt werden, um die leitfähigen Pads 118 zu bilden. Leitfähige Pads 118, die in dieser Weise aus Aluminium gebildet sind, können als „Aluminiumpads“ bezeichnet werden.In 4th are conductive pads in some embodiments 118 above the Passivation layer 114 educated. One or more conductive pads 118 can be formed so that they pass through the openings in the passivation layer 114 extend to make electrical connection with one or more of the metal pads 112 the interconnect structure 108 to manufacture. In some embodiments, the conductive pads 118 can be formed by first depositing a cover layer of a conductive material such as aluminum. For example, CVD, PVD or the like can be used, a layer of aluminum over the passivation layer 114 , the openings and the metal pads 112 to be deposited. A layer of photoresist (not separately illustrated) can then be formed over the aluminum layer and the aluminum layer can be etched to form the conductive pads 118 to build. Conductive pads 118 formed from aluminum in this way can be referred to as "aluminum pads".

In anderen Ausführungsformen werden die leitfähigen Pads 118 gebildet, indem zuerst eine Seed-Schicht über der Passivierungsschicht 114 und den Öffnungen gebildet wird. In einigen Ausführungsformen ist die Seed-Schicht eine Metallschicht, die eine oder mehrere Schichten umfasst, die aus verschiedenen Materialien gebildet sein können. Die Seed-Schicht kann beispielsweise unter Verwendung von PVD oder dergleichen gebildet sein. Ein Photoresist wird auf der Seed-Schicht gebildet und strukturiert, und leitfähiges Material wird in den Öffnungen des Photoresist und an den belichteten Abschnitten der Seed-Schicht gebildet. In einigen Ausführungsformen kann das leitfähige Material durch einen Plattierungsprozess, wie etwa unter Verwendung eines Elektroplattierungs- oder elektrolosen Plattierungsprozesses oder dergleichen gebildet werden. Das leitfähige Material kann ein oder mehrere Materialien umfassen, wie etwa Kupfer, Titan, Wolfram, Gold, Kobalt, dergleichen oder eine Kombination daraus. Der Photoresist und Abschnitte der Seed-Schicht, auf der das leitfähige Material nicht gebildet ist, werden dann beispielsweise unter Verwendung eines geeigneten Asche- oder Stripping-Prozesses entfernt, wie etwa durch Verwendung eines Sauerstoffplasmas oder dergleichen. Wenn der Photoresist entfernt wird, können verbleibende offenliegende Abschnitt der Seed-Schicht entfernt werden, wie etwa durch Verwendung eines annehmbaren Ätzprozesses, wie etwa durch Nassätzprozess oder einen Trockenätzprozess. Die verbleibenden Abschnitte der Seed-Schicht und des leitfähigen Materials bilden die leitfähigen Pads 118. Die leitfähigen Pads 118 können in anderen Ausführungsformen unter Verwendung anderer Techniken gebildet sein, und alle diese Techniken werden als in den Umfang dieser Offenbarung fallend betrachtet.In other embodiments, the conductive pads 118 formed by first placing a seed layer over the passivation layer 114 and the openings is formed. In some embodiments, the seed layer is a metal layer that includes one or more layers that can be formed from various materials. The seed layer can be formed using PVD or the like, for example. A photoresist is formed and patterned on the seed layer, and conductive material is formed in the openings of the photoresist and on the exposed portions of the seed layer. In some embodiments, the conductive material can be formed by a plating process, such as using an electroplating or electroless plating process, or the like. The conductive material can include one or more materials, such as copper, titanium, tungsten, gold, cobalt, the like, or a combination thereof. The photoresist and portions of the seed layer on which the conductive material is not formed are then removed using, for example, a suitable ash or stripping process, such as the use of an oxygen plasma or the like. When the photoresist is removed, remaining exposed portions of the seed layer can be removed, such as by using an acceptable etch process, such as a wet etch process or a dry etch process. The remaining portions of the seed layer and conductive material form the conductive pads 118 . The conductive pads 118 may be formed using other techniques in other embodiments, and all such techniques are considered to fall within the scope of this disclosure.

In einigen Ausführungsformen können die leitfähigen Pads 118, die elektrisch mit der Interconnectstruktur 108 verbunden sind, als Testpads verwendet werden, bevor weitere Verarbeitungsschritte durchgeführt werden. Beispielsweise können die leitfähigen Pads 118 als Teil eines Waferannahmetests, eines Schaltungstests, eines Known-Good-Die-Tests (KGD-Test) oder dergleichen sondiert werden. Die Sondierung kann erfolgen, um die Funktion der aktiven oder passiven Vorrichtungen des 102 oder der jeweiligen elektrischen Verbindungen innerhalb des Substrats 102 oder der Interconnectstruktur 108 (z. B. der leitfähigen Merkmale 113) zu verifizieren. Die Sondierung kann durch Kontaktierung einer Sondennadel 119 an die leitfähigen Pads 118 erfolgen. Die Sondennadel 119 kann ein Teil einer Sondenkarte sein, die mehrere Sondennadeln 119 umfasst, die beispielsweise mit Testausrüstung verbunden sein können.In some embodiments, the conductive pads 118 that are electrically connected to the interconnect structure 108 used as test pads before further processing. For example, the conductive pads 118 probed as part of a wafer acceptance test, circuit test, known good die test (KGD test), or the like. The probing can be done to determine the function of the active or passive devices of the 102 or the respective electrical connections within the substrate 102 or the interconnect structure 108 (e.g. the conductive features 113 ) to verify. The probing can be done by contacting a probe needle 119 to the conductive pads 118 respectively. The probe needle 119 can be part of a probe card containing multiple probe needles 119 which can be connected to test equipment, for example.

In einigen Ausführungsformen kann das leitfähige Material der leitfähigen Pads 118 sich von dem leitfähigen Material der Metallpads 112 unterscheiden.In some embodiments, the conductive material of the conductive pads can be 118 differs from the conductive material of the metal pads 112 distinguish.

Beispielsweise können die leitfähigen Pads 118 Aluminium sein und die Metallpads 112 können Kupfer sein, wobei jedoch auch andere leitfähige Materialien verwendet werden können. In einigen Ausführungsformen können die leitfähigen Pads 118 eine Breite W2 zwischen ca. 2 µm und ca. 30 µm oder eine Länge (z. B. rechtwinklig zur Breite) zwischen ca. 20 µm und ca. 100 µm aufweisen. In einigen Ausführungsformen können die leitfähigen Pads 118 von einem angrenzenden Metallpad 112 durch eine Distanz D2 getrennt werden, die zwischen ca. 2 µm und ca. 30 µm liegt. Die in dieser Offenbarung beschriebenen Ausführungsformen können eine kleinere Trennungsdistanz zwischen leitfähigen Pads 118 und angrenzenden Metallpads 112 erlauben, ohne die Möglichkeit zu erhöhen, Verarbeitungsmängel wie Kurzschlüsse zu verursachen. In dieser Weise können die Abmessungen der Vorrichtungsstruktur 100 verringert werden, ohne den Ertrag zu verringern.For example, the conductive pads 118 Be aluminum and the metal pads 112 can be copper, but other conductive materials can also be used. In some embodiments, the conductive pads 118 have a width W2 between approximately 2 μm and approximately 30 μm or a length (for example at right angles to the width) between approximately 20 μm and approximately 100 μm. In some embodiments, the conductive pads 118 from an adjacent metal pad 112 through a distance D2 are separated, which is between approx. 2 µm and approx. 30 µm. The embodiments described in this disclosure can have a smaller separation distance between conductive pads 118 and adjacent metal pads 112 without increasing the possibility of causing processing defects such as short circuits. In this way, the dimensions of the device structure 100 can be reduced without reducing the yield.

Mit Blick auf 5 ist eine Dielektrikumsschicht 122 über der Passivierungsschicht 114 und den leitfähigen Pads 118 gebildet. Die Dielektrikumsschicht 122 kann aus einer oder mehreren Schichten eines oder mehrerer Dielektrika gebildet sein, wie etwa aus Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid, Siliziumkarbonitrid, SiOC, SiOCH, SiCH, dergleichen oder einer Kombination daraus. In einigen Ausführungsformen kann die Dielektrikumsschicht 122 aus Phosphosilikatglas (PSG), Borsilikatglas (BSG), bordotiertem Phosphosilikatglas (BPSG), fluordotiertem Silikatglas (FSG), Tetraethylorthosilikat (TEOS), dergleichen oder einer Kombination daraus gebildet sein. Die Dielektrikumsschicht 122 kann durch einen Abscheidungsprozess gebildet werden, wie etwa durch CVD, PECVD, PVD, ALD, dergleichen oder eine Kombination daraus. Die Dielektrikumsschicht 122 kann mit einer größeren Dicke gebildet sein als die leitfähigen Pads 118, sodass das Material der Dielektrikumsschicht 122 lateral die leitfähigen Pads 118 umgibt und sodass die Dielektrikumsschicht 122 planarisiert werden kann (siehe unten), ohne die leitfähigen Pads 118 offenzulegen.With a view to 5 is a dielectric layer 122 over the passivation layer 114 and the conductive pads 118 educated. The dielectric layer 122 may be formed from one or more layers of one or more dielectrics, such as silicon oxide, silicon nitride, silicon oxynitride, silicon carbonitride, SiOC, SiOCH, SiCH, the like, or a combination thereof. In some embodiments, the dielectric layer can 122 be formed from phosphosilicate glass (PSG), borosilicate glass (BSG), boron-doped phosphosilicate glass (BPSG), fluorine-doped silicate glass (FSG), tetraethylorthosilicate (TEOS), the like or a combination thereof. The dielectric layer 122 can be formed by a deposition process such as CVD, PECVD, PVD, ALD, the like, or a combination thereof. The dielectric layer 122 may be formed with a greater thickness than the conductive pads 118 so that the material of the dielectric layer 122 laterally the conductive pads 118 surrounds and so the dielectric layer 122 can be planarized (see below) without the conductive pads 118 to disclose.

In 6 sind nach einigen Ausführungsformen Öffnungen 124 in der Dielektrikumsschicht 122 gebildet. Die Öffnungen 124 legen die Metallpads 112 offen, um zu erlauben, dass nachfolgend gebildete Bondpaddurchkontaktierungen (BPVs) 128 eine elektrische Verbindung mit der Interconnectstruktur 108 durch die Metallpads 112 herstellen. In einigen Ausführungsformen legen die Öffnungen 124 die leitfähigen Pads 118 offen und die BPVs 128 stellen eine elektrische Verbindung mit der Interconnectstruktur 108 durch die leitfähigen Pads 118 her (siehe z. B. 20A bis 21). Die Öffnungen 124 können unter Verwendung akzeptabler Photolithographie und Ätztechniken gebildet werden. Beispielsweise kann der Photolithographieprozess das Bilden eines Photoresist (nicht dargestellt) über der Dielektrikumsschicht 122, Strukturieren des Photoresist mit Öffnungen, die den Öffnungen 124 entsprechen, Erweitern der Öffnungen 124 durch die Dielektrikumsschicht 122 und die Passivierungsschicht 114, um die Metallpads 112 offenzulegen, und dann Entfernen des Photoresist umfassen.In 6th are openings in some embodiments 124 in the dielectric layer 122 educated. The openings 124 put the metal pads 112 open to allow subsequently formed bond pad vias (BPVs) 128 an electrical connection with the interconnect structure 108 through the metal pads 112 produce. In some embodiments, the openings lay 124 the conductive pads 118 open and the FOPI 128 make an electrical connection with the interconnect structure 108 through the conductive pads 118 her (see e.g. 20A to 21 ). The openings 124 can be formed using acceptable photolithography and etching techniques. For example, the photolithography process can include forming photoresist (not shown) over the dielectric layer 122 Pattern the photoresist with openings that fill the openings 124 correspond, widening the openings 124 through the dielectric layer 122 and the passivation layer 114 to the metal pads 112 and then remove the photoresist.

Mit Blick auf 7 sind nach einigen Ausführungsformen Bondpaddurchkontaktierungen (BPVs) 128 in den Öffnungen 124 gebildet. Die BPVs 128 können ähnliche Abmessungen wie die Öffnungen 124 aufweisen, in denen sie gebildet sind, und können eine ähnliche Form aufweisen (z. B. ein sich verjüngendes Profil aufweisen). In einigen Ausführungsformen umfasst das Bilden der BPVs 128 zuerst das Bilden einer ersten Barriereschicht 127 innerhalb der Öffnungen 124. Die erste Barriereschicht 127 kann beispielsweise eine Auskleidung, eine Diffusionsbarriereschicht, eine Haftschicht oder dergleichen sein. Die erste Barriereschicht 127 kann eine oder mehrere Schichten umfassen, die Titan, Titannitrid, Tantal, Tantalnitrid, dergleichen, oder Kombinationen daraus umfassen. Die erste Barriereschicht 127 kann als eine Deckschicht über der Dielektrikumsschicht 122 und innerhalb der Öffnungen 124 abgeschieden werden. Die erste Barriereschicht 127 kann durch einen Abscheidungsprozess gebildet werden, wie etwa durch PECVD, PVD, dergleichen oder Kombinationen daraus gebildet sein.With a view to 7th are, according to some embodiments, bond pad vias (BPVs) 128 in the openings 124 educated. The FOPI 128 can have similar dimensions as the openings 124 in which they are formed and may be of a similar shape (e.g., tapered profile). In some embodiments, this includes forming the BPVs 128 first the formation of a first barrier layer 127 inside the openings 124 . The first barrier layer 127 can for example be a lining, a diffusion barrier layer, an adhesive layer or the like. The first barrier layer 127 may comprise one or more layers comprising titanium, titanium nitride, tantalum, tantalum nitride, the like, or combinations thereof. The first barrier layer 127 can be used as a cover layer over the dielectric layer 122 and inside the openings 124 to be deposited. The first barrier layer 127 may be formed by a deposition process such as PECVD, PVD, the like, or combinations thereof.

Die Bildung der BPVs 128 kann das Abscheiden eines leitfähigen Materials über der ersten Barriereschicht 127 umfassen. Das leitfähige Material kann Kobalt, Kupfer, eine Kupferlegierung, Titan, Silber, Gold, Wolfram, Aluminium, Nickel, dergleichen oder Kombinationen davon umfassen. Das leitfähige Material der BPVs 128 kann durch einen Abscheidungsprozess gebildet werden, wie etwa durch PECVD, PVD, dergleichen oder Kombinationen daraus gebildet sein. In einigen Ausführungsformen ist das leitfähige Material der BPVs 128 durch Abscheiden einer Seed-Schicht (nicht dargestellt) über der ersten Barriereschicht 127 gebildet, die Kupfer, eine Kupferlegierung, Titan oder dergleichen umfassen kann, und dann durch Füllen der verbleibenden Öffnungen 124 beispielsweise unter Verwendung eines elektrolosen Plattierungsprozesses oder dergleichen.The formation of the FOPI 128 may include depositing a conductive material over the first barrier layer 127 include. The conductive material can include cobalt, copper, a copper alloy, titanium, silver, gold, tungsten, aluminum, nickel, the like, or combinations thereof. The conductive material of the BPVs 128 may be formed by a deposition process such as PECVD, PVD, the like, or combinations thereof. In some embodiments, the conductive material is the BPVs 128 by depositing a seed layer (not shown) over the first barrier layer 127 which may include copper, copper alloy, titanium, or the like, and then filling the remaining openings 124 for example, using an electroless plating process or the like.

Nach dem bilden des leitfähigen Materials kann ein Planarisierungsprozess, wie etwa ein Schleifprozess, ein chemisch-mechanischer Politurprozess (CMP-Prozess) oder dergleichen ausgeführt werden, um überschüssiges Material von einer Fläche der Dielektrikumsschicht 122 zu entfernen. Die verbleibende erste Barriereschicht 127 und das leitfähige Material bilden so die BPVs 128. In dieser Weise können die BPVs 128 unter Verwendung eines Einzeldamaszenprozesses gebildet sein. In einigen Ausführungsformen können einige „Dummy“-BPVs 128 (nicht dargestellt) gebildet sein, ohne eine elektrische Verbindung zu den Metallpads 112 aufzuweisen. In einigen Fällen können Dummy-BPVs 128 ungleiche Belastung verringern und die Oberflächenplanarität nach dem Planarisierungsschritt, der überschüssiges Material entfernt, verbessern.After the conductive material is formed, a planarization process, such as a grinding process, a chemical mechanical polishing (CMP) process, or the like, may be performed to remove excess material from a surface of the dielectric layer 122 to remove. The remaining first barrier layer 127 and the conductive material thus form the BPVs 128 . In this way the FOPI can 128 be formed using a single damascene process. In some embodiments, some “dummy” BPVs 128 (not shown) may be formed without electrical connection to the metal pads 112 to have. In some cases, dummy BPVs can be used 128 Reduce uneven loading and improve surface planarity after the planarization step that removes excess material.

Die BPVs 128 können eine Breite W3 zwischen ca. 1 µm und ca. 5 µm aufweisen, wobei jedoch auch andere Breiten möglich sind. In einigen Ausführungsformen können die BPVs 128 ein sich verjüngendes Profil aufweisen, wie etwa eine obere Breite W3A, die zwischen ca. 1 µm und ca. 5 µm liegt, und eine untere Breite W3B, die zwischen ca. 0,5 und ca. 4 µm liegt. Die Breite W3 einer BPV 128 kann zwischen ca. 50 % und ca. 95 % der Breite W1 ihres assoziierten Metallpads 112 liegen (siehe 2). Die BPVs 128 können so gebildet sein, dass die laterale Distanz D3 zwischen einer Seitenwand einer BPV 128 und der angrenzenden Seitenwand ihres assoziierten Metallpads 112 zwischen ca. 1 µm und ca. 5 µm, wobei jedoch auch andere Distanzen möglich sind. In einigen Fällen können durch Bilden der BPVs 128 getrennt von den Bondpads 316 (siehe 17 unten) die Metallpads 112 mit einer kleineren Breite W1 gebildet sein, die in der Größe näher an der Breite W3 der BPVs 128 liegt. Dies kann die Verringerung der lateralen Trennung zwischen Merkmalen wie leitfähigen Pads 118, Metallpads 112, BPVs 128 und/oder Bondpads 316 erlauben (siehe 17). Weiterhin kann die Distanz D3 verringert werden, sodass die BPVs 128 näher an den Kanten der Metallpads 112 gebildet werden können.The FOPI 128 can have a width W 3 between approximately 1 μm and approximately 5 μm, although other widths are also possible. In some embodiments, the BPVs 128 have a tapered profile, such as an upper width W 3 A that is between about 1 µm and about 5 µm and a lower width W 3 B that is between about 0.5 and about 4 µm. The width W 3 of a BPV 128 can be between about 50% and about 95% of the width W 1 of its associated metal pad 112 lie (see 2 ). The FOPI 128 can be formed so that the lateral distance D 3 between a side wall of a BPV 128 and the adjacent side wall of its associated metal pad 112 between approx. 1 µm and approx. 5 µm, although other distances are also possible. In some cases this can be done by forming the BPVs 128 separated from the bond pads 316 (please refer 17th below) the metal pads 112 be formed with a smaller width W 1 that is closer in size to the width W 3 of the BPVs 128 lies. This can reduce the lateral separation between features such as conductive pads 118 , Metal pads 112 , BPVs 128 and / or bond pads 316 allow (see 17th ). Furthermore, the distance D 3 can be reduced so that the BPVs 128 closer to the edges of the metal pads 112 can be formed.

Mit Blick auf 8 wird eine Verbindungsschicht 126 über der Dielektrikumsschicht 122 gebildet. Die Verbindungsschicht 126 kann aus einer oder mehreren Schichten aus einem oder mehreren Dielektrika gebildet sein und kann ein siliziumhaltiges Material umfassen, wie etwa Siliziumoxid. In einigen Ausführungsformen kann die Verbindungsschicht 126 eine oder mehrere Schichten anderer Materialien umfassen, wie etwa Siliziumnitrid, Siliziumoxynitrid, Siliziumkarbonitrid, SiOC, SiOCH, SiCH, dergleichen oder einer Kombination daraus. Die Verbindungsschicht 126 kann durch einen Abscheidungsprozess gebildet werden, wie etwa durch CVD, PECVD, PVD, ALD, dergleichen oder eine Kombination daraus. In einigen Ausführungsformen umfasst die Verbindungsschicht 126 ein anderes Material als die Dielektrikumsschicht 122.With a view to 8th becomes a tie layer 126 over the dielectric layer 122 educated. The connection layer 126 may be formed from one or more layers of one or more dielectrics and may be a silicon-containing material, such as silicon oxide. In some embodiments, the connection layer 126 comprise one or more layers of other materials such as silicon nitride, silicon oxynitride, silicon carbonitride, SiOC, SiOCH, SiCH, the like, or a combination thereof. The connection layer 126 can be formed by a deposition process such as CVD, PECVD, PVD, ALD, the like, or a combination thereof. In some embodiments, the connection layer comprises 126 a different material than the dielectric layer 122 .

In 9 erfolgt ein Vereinzelungsprozess entlang der Ritzlinienregionen 104 zum Trennen aneinander angrenzender Vorrichtungsstrukturen 100. Der Vereinzelungsprozess kann einen Dicing-Prozess, einen Sägeprozess, einen Laserprozess, dergleichen oder eine Kombination daraus umfassen. In einigen Ausführungsformen werden vereinzelte Vorrichtungsstrukturen 100, die sondiert und als Known Good Die (KGD) befinden wurden, wie oben in 4 beschrieben, in nachfolgenden Prozessschritten verwendet, um Diestrukturen 300 zu bilden (siehe 15).In 9 a separation process takes place along the scribe line regions 104 for separating adjacent device structures 100 . The singulation process can include a dicing process, a sawing process, a laser process, the like, or a combination thereof. In some embodiments, there are singulated device structures 100 , which were probed and found to be Known Good Die (KGD), as described above in 4th described, used in subsequent process steps to make the structures 300 to form (see 15th ).

10 bis 17 illustrieren die Querschnittsansichten der Zwischenstufen in der Bildung einer Diestruktur 300 (siehe 17), die die Vorrichtungsstruktur 100 einbezieht, gemäß einigen Ausführungsformen. In 10 ist die Vorrichtungsstruktur 100 nach einigen Ausführungsformen mit einem Träger 202 verbunden. Der Träger 202 kann ein Siliziumsubstrat (z. B. ein Siliziumwafer), ein Glassubstrat, ein organisches Substrat (z. B. ein Panel) oder dergleichen sein. In einigen Ausführungsformen können eine oder mehrere Schichten, wie etwa Oxidschichten oder Ätzstoppschichten, auf dem Träger 202 gebildet werden, die in den 10 bis 13 als Schichten 204 zu sehen sind. In einigen Ausführungsformen ist eine Dielektrikumsschicht 208 auf dem Träger 202 gebildet, und optional können Ausrichtungsmerkmale 210 innerhalb der Dielektrikumsschicht 208 gebildet sein. In einigen Ausführungsformen kann die Dielektrikumsschicht 208 aus Siliziumoxid, PSG, BSG, BPSG, FSG, Siliziumnitrid, dergleichen oder eine Kombination daraus gebildet werden. Die Dielektrikumsschicht 208 kann durch einen Abscheidungsprozess gebildet werden, wie etwa durch CVD, PECVD, PVD, dergleichen oder eine Kombination daraus. In einigen Ausführungsformen ist die Dielektrikumsschicht 208 aus einem weiteren Material gebildet als die nachfolgend gebildete Verbindungsschicht 212, und kann als eine Pufferschicht für die Aufnahme von Belastungen dienen. Nach einigen Ausführungsformen sind die Ausrichtungsmerkmale 210 Metallmerkmale, die in der Dielektrikumsschicht 208 gebildet sind. Die Ausrichtungsmerkmale 210 können als Ausrichtungsmarkierungen zum Ausrichten der nachfolgenden Platzierung (z. B. unter Verwendung eines Pick-and-Place-Verfahrens) und der Bondung der Vorrichtungsstruktur 100 verwendet werden. Die Ausrichtungsmerkmale 210 können beispielsweise unter Verwendung eines Damaszenprozesses oder eines anderen geeigneten Prozesses gebildet werden. 10 to 17th illustrate the cross-sectional views of the intermediate stages in the formation of a diestructure 300 (please refer 17th ) showing the device structure 100 includes, according to some embodiments. In 10 is the device structure 100 according to some embodiments with a carrier 202 connected. The carrier 202 may be a silicon substrate (e.g. a silicon wafer), a glass substrate, an organic substrate (e.g. a panel), or the like. In some embodiments, one or more layers, such as oxide layers or etch stop layers, may be on the carrier 202 that are formed in the 10 to 13th as layers 204 you can see. In some embodiments, is a dielectric layer 208 on the carrier 202 formed, and optional alignment features 210 within the dielectric layer 208 be educated. In some embodiments, the dielectric layer can 208 from silicon oxide, PSG, BSG, BPSG, FSG, silicon nitride, the like or a combination thereof. The dielectric layer 208 can be formed by a deposition process such as CVD, PECVD, PVD, the like, or a combination thereof. In some embodiments, the dielectric layer is 208 formed from a further material than the subsequently formed connecting layer 212 , and can serve as a buffer layer for absorbing loads. In some embodiments, the are alignment features 210 Metal features that are in the dielectric layer 208 are formed. The alignment features 210 can be used as alignment marks for aligning subsequent placement (e.g., using a pick and place process) and bonding of the device structure 100 be used. The alignment features 210 can be formed using a damascene process or other suitable process, for example.

Eine Verbindungsschicht 212 kann dann über der Dielektrikumsschicht 208 gebildet sein. Die Verbindungsschicht 212 kann aus einer oder mehreren Schichten aus einem oder mehreren Dielektrika wie etwa Siliziumoxid oder dergleichen, gebildet sein. Die Verbindungsschicht 212 kann durch einen Abscheidungsprozess gebildet werden, wie etwa durch CVD, PECVD, PVD, ALD, dergleichen oder eine Kombination daraus. Die Verbindungsschicht 212 kann dasselbe Material umfassen wie die Verbindungsschicht 126, oder ein anderes Material als die Verbindungsschicht 126. Die Kombination des Trägers 202, der Dielektrikumsschicht 208 und der Verbindungsschicht 212 wird hierin als die erste Trägerstruktur 250 bezeichnet.A tie layer 212 can then over the dielectric layer 208 be educated. The connection layer 212 may be formed from one or more layers of one or more dielectrics such as silicon oxide or the like. The connection layer 212 can be formed by a deposition process such as CVD, PECVD, PVD, ALD, the like, or a combination thereof. The connection layer 212 may comprise the same material as the tie layer 126 , or a material other than the tie layer 126 . The combination of the carrier 202 , the dielectric layer 208 and the tie layer 212 is referred to herein as the first support structure 250 designated.

Noch immer mit Verweis auf 10 ist die Vorrichtungsstruktur 100 auf der ersten Trägerstruktur 250 platziert, etwa unter Verwendung eines Pick-and-Place-Prozesses. Die Ausrichtungsmerkmale 210 können während der Platzierung verwendet werden, um die Vorrichtungsstruktur 100 auszurichten. Die Vorrichtungsstruktur 100 ist so platziert, dass die Verbindungsschicht 126 und die Verbindungsschicht 212 in Kontakt stehen. Ein Plasmareinigungsprozess oder ein Nasschemikalienreinigungsprozess kann vor der Platzierung auf die Verbindungsschicht 126 oder die Verbindungsschicht 212 ausgeführt werden, um die Flächen zu aktivieren. Nach der Platzierung wird die Verbindungsschicht 126 der Vorrichtungsstruktur 100 mit der Verbindungsschicht 212 über Direktbondung (z. B. „Fusionsbondung“ oder „Dielektrikum-Dielektrikum-Bondung“) verbunden, was beispielsweise Si-O-Si-Bondungen zwischen der Verbindungsschicht 126 und der Verbindungsschicht 212 bilden kann. In einigen Ausführungsformen können die Verbindungsschicht 126 und die Verbindungsschicht 212 aneinandergepresst werden, um die den Bondungsprozess zu vereinfachen. Der Bondungsprozess kann bei Zimmertemperatur erfolgen (z. B. bei einer Temperatur von ca. 21 °C bis ca. 25 °C), wobei jedoch auch höhere Temperaturen verwendet werden können. In einigen Ausführungsformen erfolgt ein Tempern nach der Bondung, was die Verbindung zwischen der Verbindungsschicht 126 und der Verbindungsschicht 212 stärken kann.Still referring to 10 is the device structure 100 on the first support structure 250 placed, for example using a pick-and-place process. The alignment features 210 can be used during placement to structure the fixture 100 align. The device structure 100 is placed so that the connection layer 126 and the tie layer 212 stay in contact. A plasma cleaning process or a wet chemical cleaning process can be performed prior to placement on the tie layer 126 or the tie layer 212 to activate the surfaces. After the placement is made the connection layer 126 the device structure 100 with the connection layer 212 Connected via direct bonding (e.g. “fusion bonding” or “dielectric-dielectric bonding”), which, for example, is Si-O-Si bonds between the connecting layer 126 and the tie layer 212 can form. In some embodiments, the connection layer 126 and the tie layer 212 be pressed together to simplify the bonding process. The bonding process can take place at room temperature (e.g. at a temperature of approx. 21 ° C to approx. 25 ° C), although higher temperatures can also be used. In some embodiments, annealing occurs after the bond, which is the connection between the connection layer 126 and the tie layer 212 can strengthen.

Mit Blick auf 11 werden Dielektrikumsregionen 214 (anderweitig als „Lückenfüllerdielektrikums“-Regionen bezeichnet) nach einigen Ausführungsformen um die Vorrichtungsstruktur 100 herum gebildet. In einigen Ausführungsformen können die Dielektrikumsregionen 214 aus einer oder mehreren Schichten aus Siliziumoxid, PSG, BSG, BPSG, FSG, Siliziumnitrid, dergleichen oder eine Kombination daraus gebildet werden. Das Dielektrikum der Dielektrikumsregionen 214 kann durch einen Abscheidungsprozess gebildet werden, wie etwa durch PECVD, PVD, dergleichen oder einer Kombination daraus gebildet sein. In einigen Ausführungsformen kann das Dielektrikum durch Ausgeben eines fließfähigen Dielektrikums (z. B. eines fließfähigen Oxids) und dann Härten des fließfähigen Dielektrikums gebildet werden. Das fließfähige Dielektrikum kann unter Verwendung eines Laminierungsprozesses, eines Spin-Coating-Prozesses oder dergleichen abgegeben werden. Nach dem Bilden des Dielektrikums kann ein Planarisierungsprozess (z. B. ein CMP- oder Schleifprozess) ausgeführt werden, um überschüssiges Dielektrikum von über dem Substrat 102 der Vorrichtungsstruktur 100 zu entfernen und Dielektrikumsregionen 214 zu bilden. Nach dem Durchführen des Planarisierungsprozesses können die Dielektrikumsregionen 214 und das Substrat 102 ebene (z. B. koplanare) Flächen aufweisen. In einigen Ausführungsformen dünnt der Planarisierungsprozess auch das Substrat 102 aus.With a view to 11 become dielectric regions 214 (otherwise referred to as "gap filler dielectric" regions) around the device structure, in some embodiments 100 made around. In some embodiments, the dielectric regions 214 from one or more layers of silicon oxide, PSG, BSG, BPSG, FSG, silicon nitride, the like or a combination thereof. The dielectric of the dielectric regions 214 may be formed by a deposition process such as PECVD, PVD, the like, or a combination thereof. In some embodiments, the dielectric may be formed by dispensing a flowable dielectric (e.g., a flowable oxide) and then curing the flowable dielectric. The flowable dielectric can be dispensed using a lamination process, a spin coating process, or the like. After the dielectric is formed, a planarization process (e.g., a CMP or grinding process) can be performed to remove excess dielectric from over the substrate 102 the device structure 100 remove and dielectric regions 214 to build. After performing the planarization process, the dielectric regions 214 and the substrate 102 have flat (e.g. coplanar) surfaces. In some embodiments, the planarization process also thins the substrate 102 out.

Mit Blick auf 12 wird eine Dielektrikumsschicht 220 auf den Dielektrikumsregionen 214 und dem Substrat 102 gebildet. In einigen Ausführungsformen werden optionale Ausrichtungsmerkmale 222 innerhalb der Dielektrikumsschicht 220 gebildet. In einigen Ausführungsformen kann die Dielektrikumsschicht 220 aus Siliziumoxid, PSG, BSG, BPSG, FSG, Siliziumnitrid, dergleichen oder eine Kombination daraus gebildet werden. Die Dielektrikumsschicht 220 kann durch einen Abscheidungsprozess gebildet werden, wie etwa durch CVD, PECVD, PVD, dergleichen oder eine Kombination daraus. In einigen Ausführungsformen ist die Dielektrikumsschicht 220 aus einem weiteren Material gebildet als die nachfolgend gebildete Verbindungsschicht 224, und kann als eine Pufferschicht für die Aufnahme von Belastungen dienen. Nach einigen Ausführungsformen sind die Ausrichtungsmerkmale 222 Metallmerkmale, die in der Dielektrikumsschicht 220 gebildet sind. Die Ausrichtungsmerkmale 222 können beispielsweise unter Verwendung eines Damaszenprozesses oder eines anderen geeigneten Prozesses gebildet werden.With a view to 12th becomes a dielectric layer 220 on the dielectric regions 214 and the substrate 102 educated. In some embodiments, there are optional alignment features 222 within the dielectric layer 220 educated. In some embodiments, the dielectric layer can 220 from silicon oxide, PSG, BSG, BPSG, FSG, silicon nitride, the like or a combination thereof. The dielectric layer 220 can be formed by a deposition process such as CVD, PECVD, PVD, the like, or a combination thereof. In some embodiments, the dielectric layer is 220 formed from a further material than the subsequently formed connecting layer 224 , and can serve as a buffer layer for absorbing loads. In some embodiments, the are alignment features 222 Metal features that are in the dielectric layer 220 are formed. The alignment features 222 can be formed using a damascene process or other suitable process, for example.

Eine Verbindungsschicht 224 kann dann über der Dielektrikumsschicht 220 gebildet sein. Die Verbindungsschicht 224 kann aus einer oder mehreren Schichten aus einem oder mehreren Dielektrika wie etwa Siliziumoxid oder dergleichen, gebildet sein. Die Verbindungsschicht 224 kann aus ähnlichen Materialien oder unter Verwendung ähnlicher Techniken gebildet werden, wie oben für die Verbindungsschicht 126 beschrieben.A tie layer 224 can then over the dielectric layer 220 be educated. The connection layer 224 may be formed from one or more layers of one or more dielectrics such as silicon oxide or the like. The connection layer 224 may be formed from similar materials or using similar techniques as for the tie layer above 126 described.

Mit Blick auf 13 wird die Struktur nach einigen Ausführungsformen umgedreht und mit einer zweiten Trägerstruktur 350 verbunden, und dann wird die erste Trägerstruktur 250 entfernt. Die zweite Trägerstruktur 350 kann beispielsweise eine Verbindungsschicht 306 umfassen, die über einem Träger 302 gebildet ist. Der Träger 302 kann ein Siliziumsubstrat (z. B. ein Siliziumwafer), ein Glassubstrat, ein organisches Substrat (z. B. ein Panel) oder dergleichen sein. Die Verbindungsschicht 306 kann aus einer oder mehreren Schichten eines oder mehrerer Dielektrika gebildet sein, und kann ein siliziumhaltiges Material umfassen, wie etwa Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid, Siliziumkarbonitrid, SiOC, SiOCH, SiCH, dergleichen oder einer Kombination daraus. Die Verbindungsschicht 306 kann durch einen Abscheidungsprozess gebildet werden, wie etwa durch CVD, PECVD, PVD, ALD, dergleichen oder eine Kombination daraus. Die Verbindungsschicht 306 kann dasselbe Material umfassen wie die Verbindungsschicht 224, oder ein anderes Material als die Verbindungsschicht 224.With a view to 13th According to some embodiments, the structure is flipped and with a second support structure 350 connected, and then the first support structure 250 away. The second support structure 350 can for example be a tie layer 306 include that over a beam 302 is formed. The carrier 302 may be a silicon substrate (e.g. a silicon wafer), a glass substrate, an organic substrate (e.g. a panel), or the like. The connection layer 306 may be formed from one or more layers of one or more dielectrics, and may include a silicon-containing material, such as silicon oxide, silicon nitride, silicon oxynitride, silicon carbonitride, SiOC, SiOCH, SiCH, the like, or a combination thereof. The connection layer 306 can be formed by a deposition process such as CVD, PECVD, PVD, ALD, the like, or a combination thereof. The connection layer 306 may comprise the same material as the tie layer 224 , or a material other than the tie layer 224 .

Noch immer mit Verweis auf 13 wird die Struktur umgedreht, und die Verbindungsschicht 224 wird nach einigen Ausführungsformen auf der Verbindungsschicht 306 der zweiten Trägerstruktur 350 platziert. Ein Plasmareinigungsprozess oder ein Nasschemikalienreinigungsprozess kann vor der Platzierung auf die Verbindungsschicht 224 oder die Verbindungsschicht 306 ausgeführt werden, um die Flächen zu aktivieren. Nach der Platzierung wird die Verbindungsschicht 224 mit der Verbindungsschicht 306 über Direktbondung (z. B. „Fusionsbondung“ oder „Dielektrikum-Dielektrikum-Bondung“) verbunden, was beispielsweise Si-O-Si-Bondungen zwischen der Verbindungsschicht 224 und der Verbindungsschicht 306 bilden kann. In einigen Ausführungsformen können die Verbindungsschicht 224 und die Verbindungsschicht 306 aneinandergepresst werden, um die den Bondungsprozess zu vereinfachen. Der Bondungsprozess kann bei Zimmertemperatur erfolgen (z. B. bei einer Temperatur von ca. 21 °C bis ca. 25 °C), wobei jedoch auch höhere Temperaturen verwendet werden können. In einigen Ausführungsformen erfolgt ein Tempern nach der Bondung, was die Verbindung zwischen der Verbindungsschicht 224 und der Verbindungsschicht 306 stärken kann.Still referring to 13th the structure is turned over, and the connecting layer 224 is, according to some embodiments, on the connection layer 306 the second support structure 350 placed. A plasma cleaning process or a wet chemical cleaning process can be performed prior to placement on the tie layer 224 or the tie layer 306 to activate the surfaces. After the placement is made the connection layer 224 with the connection layer 306 Connected via direct bonding (e.g. “fusion bonding” or “dielectric-dielectric bonding”), which for example is Si-O-Si bonds between the connecting layer 224 and the tie layer 306 can form. In some embodiments, the connection layer 224 and the tie layer 306 be pressed together to simplify the bonding process. The bonding process can take place at room temperature (e.g. at a temperature of approx. 21 ° C to approx. 25 ° C), although higher temperatures can also be used. In some embodiments, annealing occurs after the bond, which is the connection between the connection layer 224 and the tie layer 306 can strengthen.

In 14 werden nach einigen Ausführungsformen die erste Trägerstruktur 250 und die Verbindungsschicht 126 entfernt. In einigen Ausführungsformen kann ein Planarisierungsprozess (z. B. ein CMP- oder Schleifprozess) ausgeführt werden, um den Träger 202, die Dielektrikumsschicht 208, die Verbindungsschicht 212 und die Verbindungsschicht 126 zu entfernen. Wie in 14 gezeigt ist, kann der Planarisierungsprozess die BPVs 128 und die Dielektrikumsschicht 122 offenlegen. Abschnitte der Dielektrikumsschichten 214 werden ebenfalls durch den Planarisierungsprozess entfernt, sodass die Flächen der verbleibenden Dielektrikumsregionen 214 eben mit der Dielektrikumsschicht 122 und den BPVs 128 sind. In einigen Ausführungsformen können ein oder mehrere Ätzprozesse (z. B. Trockenätzprozesse oder Nassätzprozesse) verwendet werden, um Abschnitte der ersten Trägerstruktur 250 vor dem Ausführen des Planarisierungsprozesses zu entfernen. In einigen Ausführungsformen kann ein Ätzprozess durch eine Schicht wie etwa die Verbindungsschicht 212, die Dielektrikumsschicht 208 oder eine Ätzstoppschicht innerhalb des Trägers 202 (wenn vorhanden) gestoppt werden.In 14th become the first support structure according to some embodiments 250 and the tie layer 126 away. In some embodiments, a planarization process (e.g., a CMP or grinding process) can be performed around the carrier 202 , the dielectric layer 208 , the connection layer 212 and the tie layer 126 to remove. As in 14th As shown, the planarization process can affect the BPVs 128 and the dielectric layer 122 disclose. Sections of the Dielectric layers 214 are also removed by the planarization process, leaving the areas of the remaining dielectric regions 214 just with the dielectric layer 122 and the FOPI 128 are. In some embodiments, one or more etching processes (e.g., dry etching processes or wet etching processes) can be used to create portions of the first support structure 250 remove before running the planarization process. In some embodiments, an etch process may be through a layer such as the interconnect layer 212 , the dielectric layer 208 or an etch stop layer within the carrier 202 (if present) must be stopped.

Mit Blick auf 15 wird eine Bondungsschicht 312 über den Dielektrikumsregionen 214, der Dielektrikumsschicht 122 und den BPVs 128 gebildet. Die Bondungsschicht 312 kann aus einer oder mehreren Schichten aus einem oder mehreren Dielektrika wie etwa Siliziumoxid oder dergleichen, gebildet sein. Die Bondungsschicht 312 kann aus ähnlichen Materialien oder unter Verwendung ähnlicher Techniken gebildet werden, wie oben für die Verbindungsschicht 126 oder der Verbindungsschicht 224 beschrieben. In einigen Ausführungsformen wird die Bondungsschicht 312 mit einer Dicke zwischen ca. 0,2 nm und ca. 1 nm gebildet. Die Dicke der Bondungsschicht 312 kann die Dicke der nachfolgend gebildeten Bondpads 316 bestimmen (siehe 17).With a view to 15th becomes a bonding layer 312 over the dielectric regions 214 , the dielectric layer 122 and the FOPI 128 educated. The bonding layer 312 may be formed from one or more layers of one or more dielectrics such as silicon oxide or the like. The bonding layer 312 may be formed from similar materials or using similar techniques as for the tie layer above 126 or the tie layer 224 described. In some embodiments, the bonding layer is 312 formed with a thickness between about 0.2 nm and about 1 nm. The thickness of the bonding layer 312 can be the thickness of the subsequently formed bond pads 316 determine (see 17th ).

In 16 sind nach einigen Ausführungsformen Öffnungen 314 in der Bondungsschicht 312 gebildet. Die Öffnungen 314 legen die BPVs 128 offen, um zu erlauben, dass nachfolgend gebildete Bondpads 316 eine elektrische Verbindung mit der Interconnectstruktur 108 durch die BPVs 128 herstellen. Die Öffnungen 314 können unter Verwendung akzeptabler Photolithographie und Ätztechniken gebildet werden. Beispielsweise kann der Photolithographieprozess das Bilden eines Photoresist (nicht dargestellt) über der Verbindungsschicht 312, Strukturieren des Photoresist mit Öffnungen, die den Öffnungen 314 entsprechen, Erweitern der Öffnungen 314 durch die Verbindungsschicht 312, um die BPVs 128 offenzulegen, und dann Entfernen des Photoresist umfassen.In 16 are openings in some embodiments 314 in the bonding layer 312 educated. The openings 314 lay the FOPI 128 open to allow subsequently formed bond pads 316 an electrical connection with the interconnect structure 108 by the FOPI 128 produce. The openings 314 can be formed using acceptable photolithography and etching techniques. For example, the photolithography process can include forming photoresist (not shown) over the interconnect layer 312 Pattern the photoresist with openings that fill the openings 314 correspond, widening the openings 314 through the connection layer 312 to the BPVs 128 and then remove the photoresist.

Mit Blick auf 17 werden nach einigen Ausführungsformen Bondpads 316 in den Öffnungen 314 gebildet, um eine Diestruktur 300 zu bilden. In einigen Ausführungsformen umfasst das Bilden der Bondpads 316 zuerst das Bilden einer zweiten Barriereschicht 315 innerhalb der Öffnungen 314. Die zweite Barriereschicht 315 kann beispielsweise eine Auskleidung, eine Diffusionsbarriereschicht, eine Haftschicht oder dergleichen sein. Die zweite Barriereschicht 315 kann eine oder mehrere Schichten umfassen, die Titan, Titannitrid, Tantal, Tantalnitrid, dergleichen, oder Kombinationen daraus umfassen. Die zweite Barriereschicht 315 kann als eine Deckschicht über der Verbindungsschicht 312 und innerhalb der Öffnungen 314 abgeschieden werden. Die zweite Barriereschicht 315 kann durch einen Abscheidungsprozess gebildet werden, wie etwa durch PECVD, PVD, dergleichen oder Kombinationen daraus gebildet sein. Die zweite Barriereschicht 315 kann in einigen Ausführungsformen aus einem ähnlichen Material wie dem der ersten Barriereschicht 127 gebildet sein. Wie in 17 gezeigt ist, erstreckt sich, weil die BPVs 128 in einem separaten Prozessschritt vor der Bildung der Bondpads 316 gebildet sind, jede zweite Barriereschicht 315 über die Oberseite einer BPV 218, was umfassen kann, dass sie sich über die oberen Flächen der ersten Barriereschicht 127 erstreckt. Auf diese Weise werden die erste Barriereschicht 127 einer BPV 128 und die zweite Barriereschicht 315 eines Bondpads 316 separat gebildet, statt dass die BPVs 128 und die Bondpads 316 eine einzige fortlaufende Barriereschicht teilen, die in einem einzigen Schritt gebildet ist.With a view to 17th become bond pads in accordance with some embodiments 316 in the openings 314 formed to a thistructure 300 to build. In some embodiments, includes forming the bond pads 316 first the formation of a second barrier layer 315 inside the openings 314 . The second barrier layer 315 can for example be a lining, a diffusion barrier layer, an adhesive layer or the like. The second barrier layer 315 may comprise one or more layers comprising titanium, titanium nitride, tantalum, tantalum nitride, the like, or combinations thereof. The second barrier layer 315 can be used as a top layer over the tie layer 312 and inside the openings 314 to be deposited. The second barrier layer 315 may be formed by a deposition process such as PECVD, PVD, the like, or combinations thereof. The second barrier layer 315 may, in some embodiments, be made of a material similar to that of the first barrier layer 127 be educated. As in 17th shown extends because the BPVs 128 in a separate process step prior to the formation of the bond pads 316 are formed every other barrier layer 315 over the top of a BPV 218 which may include extending over the top surfaces of the first barrier layer 127 extends. This will become the first barrier layer 127 a BPV 128 and the second barrier layer 315 a bond pad 316 formed separately instead of the FOPI 128 and the bond pads 316 share a single continuous barrier layer formed in a single step.

Die Bildung der Bondpads 316 kann das Abscheiden eines leitfähigen Materials über der zweiten Barriereschicht 315 umfassen. Das leitfähige Material kann beispielsweise Kupfer oder eine Kupferlegierung umfassen. Das leitfähige Material kann andere Materialien umfassen, wie etwa Titan, Silber, Gold, Wolfram, Aluminium, Nickel, Kobalt dergleichen oder Kombinationen davon umfassen. Das leitfähige Material der Bondpads 316 kann durch einen Abscheidungsprozess gebildet werden, wie etwa durch PECVD, PVD, dergleichen oder Kombinationen daraus gebildet sein. In einigen Ausführungsformen ist das leitfähige Material der Bondpads 316 durch Abscheiden einer Seed-Schicht (nicht dargestellt) über der zweiten Barriereschicht 315 gebildet, die Kupfer, eine Kupferlegierung, Titan oder dergleichen umfassen kann, und dann durch Füllen der verbleibenden Öffnungen 314 beispielsweise unter Verwendung eines elektrolosen Plattierungsprozesses oder dergleichen. Die Bondpads 316 kann in einigen Ausführungsformen aus einem ähnlichen Material wie dem der BPVs 128 gebildet sein.The formation of the bond pads 316 may include depositing a conductive material over the second barrier layer 315 include. The conductive material can comprise copper or a copper alloy, for example. The conductive material can include other materials such as titanium, silver, gold, tungsten, aluminum, nickel, cobalt, the like, or combinations thereof. The conductive material of the bond pads 316 may be formed by a deposition process such as PECVD, PVD, the like, or combinations thereof. In some embodiments, the conductive material is the bond pads 316 by depositing a seed layer (not shown) over the second barrier layer 315 which may include copper, copper alloy, titanium, or the like, and then filling the remaining openings 314 for example, using an electroless plating process or the like. The bond pads 316 may, in some embodiments, be made of a material similar to that of the BPVs 128 be educated.

Nach dem bilden des leitfähigen Materials kann ein Planarisierungsprozess, wie etwa ein Schleifprozess, ein chemisch-mechanischer Politurprozess (CMP-Prozess) oder dergleichen ausgeführt werden, um überschüssiges Material von einer Fläche der Bondungsschicht 312 zu entfernen. Die verbleibende zweite Barriereschicht 315 und das leitfähige Material bilden so die Bondpads 316. In dieser Weise können die Bondpads 316 unter Verwendung eines Einzeldamaszenprozesses gebildet sein. In einigen Ausführungsformen können einige „Dummy“-Bondpads 316 (nicht dargestellt) gebildet sein, ohne eine elektrische Verbindung zu den BPVs 128 und/oder den Metallpads 112 aufzuweisen. In einigen Fällen können Dummy-Bondpads 316 ungleiche Belastung verringern und die Oberflächenplanarität nach dem Planarisierungsschritt, der überschüssiges Material entfernt, verbessern.After the conductive material is formed, a planarization process, such as a grinding process, a chemical mechanical polishing (CMP) process, or the like, may be performed to remove excess material from a surface of the bonding layer 312 to remove. The remaining second barrier layer 315 and the conductive material thus forms the bond pads 316 . In this way the bondpads 316 be formed using a single damascene process. In some embodiments, some “dummy” bondpads 316 (not shown) be formed without an electrical connection to the BPVs 128 and / or the metal pads 112 to have. In some cases, you can use dummy bond pads 316 unequal Reduce stress and improve surface planarity after the planarization step that removes excess material.

In einigen Ausführungsformen können die Bondpads 316 eine Breite W4 zwischen ca. 0,2 µm und ca. 5 µm aufweisen, wobei jedoch auch andere Breiten möglich sind. In einigen Ausführungsformen kann die Breite W4 eines Bondpads 316 zwischen ca. 120% und ca. 200% der Breite W3 ihrer assoziierten BPV 128 liegen (siehe 7). In einigen Ausführungsformen können die Bondpads 316 so gebildet sein, dass die laterale Distanz D4 zwischen einer Seitenwand eines Bondpads 316 und der angrenzenden Seitenwand ihrer assoziierten BPV 128 zwischen ca. 0,5 µm und ca. 2 um, wobei jedoch auch andere Distanzen möglich sind. In einigen Ausführungsformen kann die laterale Trennung zwischen aneinander angrenzenden Bondpads 316 eine Distanz D5 aufweisen, die zwischen ca. 2 µm und ca. 7 µm liegt. In einigen Fällen können die Bondpads 316 durch Bilden der BPVs 128 getrennt von den Bondpads 316 wie hierin beschrieben mit einer kleineren Trennungsdistanz D5 gebildet sein. In einigen Fällen können durch das Bilden der BPVs 128 in einem separaten Prozessschritt von den Bondpads 316 die laterale Trennung (z. B. der Abstand) zwischen Merkmalen wie etwa leitfähigen Pads 118, Metallpads 112, BPVs 128 und/oder Bondpads 316 und die Größen solcher Merkmale verringert werden, ohne elektrische Kurzschlüsse oder andere Prozessmängel zu riskieren.In some embodiments, the bond pads 316 have a width W 4 between approximately 0.2 μm and approximately 5 μm, although other widths are also possible. In some embodiments, the width W 4 of a bond pad 316 between approx. 120% and approx. 200% of the width W 3 of your associated BPV 128 lie (see 7th ). In some embodiments, the bond pads 316 be formed so that the lateral distance D 4 between a side wall of a bond pad 316 and the adjacent sidewall of their associated BPV 128 between approx. 0.5 µm and approx. 2 µm, although other distances are also possible. In some embodiments, the lateral separation can be between adjacent bond pads 316 have a distance D 5 which is between approximately 2 μm and approximately 7 μm. In some cases, the bond pads 316 by forming the BPVs 128 separated from the bond pads 316 be formed with a smaller separation distance D 5 as described herein. In some cases this can be done by making up the BPVs 128 in a separate process step from the bond pads 316 the lateral separation (e.g., the distance) between features such as conductive pads 118 , Metal pads 112 , BPVs 128 and / or bond pads 316 and reducing the sizes of such features without risking electrical shorts or other process flaws.

Mit Blick auf die 18A bis B ist nach einigen Ausführungsformen ein Package 500 dargestellt, das die erste Diestruktur 300 und eine zweite Diestruktur 400 umfasst, die miteinander verbunden sind. 18B zeigt ein Package 500 ähnlich wie das aus 18A, wobei jedoch zu illustrativen Zwecken einige Merkmale in 18B mit einem stärkeren Ausrichtungsabstand gezeigt sind als in 18A. Die erste Diestruktur 300 des Package 500 kann ähnlich wie die Diestruktur 300 sein, die für 17 beschrieben wurde. In einigen Ausführungsformen umfasst die zweite Diestruktur 400 Dielektrikumsregionen 402 (anderweitig bekannt als „Lückenfüllerdielektrikums“-Regionen), eine Vorrichtungsstruktur 440, eine Bondungsschicht 412 und ein oder mehrere Bondpads 410. Die zweite Diestruktur 400 aus 18A bis B ist ein Beispiel und die zweite Diestruktur 400 oder Komponenten davon (z. B. die Vorrichtungsstruktur 440) kann andere Strukturen oder andere Arten von Strukturen aufweisen als dargestellt, ohne vom Umfang dieser Offenbarung abzuweichen.With view on 18A through B is a package according to some embodiments 500 shown that the first thistructure 300 and a second thistructure 400 includes that are connected to each other. 18B shows a package 500 similar to that out 18A , however, for illustrative purposes, some features are included in 18B are shown with a larger alignment distance than in 18A . The first structure 300 of the package 500 may be similar to the thestructure 300 be that for 17th has been described. In some embodiments, the second includes the structure 400 Dielectric regions 402 (otherwise known as "gap filler dielectric" regions), a device structure 440 , a bonding layer 412 and one or more bond pads 410 . The second structure 400 out 18A through B is an example and the second is the structure 400 or components thereof (e.g. the device structure 440 ) may have different structures or different types of structures than shown without departing from the scope of this disclosure.

Die Vorrichtungsstruktur 440 können ähnlich sein wie die zuvor für beschriebene Vorrichtungsstruktur 100. Beispielsweise kann die Vorrichtungsstruktur 440 ein Substrat 442 umfassen, das ähnlich ist wie das Substrat 102, das integrierte Schaltungsvorrichtungen umfassen kann, die darauf gebildet sind. In einigen Ausführungsformen können sich Substratdurchkontaktierungen (TSVs) 446 durch das Substrat 442 erstrecken. Die TSVs 446 können beispielsweise durch Bilden von Öffnungen gebildet werden, die sich mit einem geeigneten Photolithographie- und Ätzprozess durch das Substrat 442 erstrecken. Die Öffnungen können dann mit einem leitfähigen Material gefüllt werden, wie etwa mit Kupfer oder dergleichen, das unter Verwendung eines geeigneten Prozesses, wie etwa eines Plattierungsprozesses, gebildet werden kann. In einigen Ausführungsformen umfasst die Vorrichtungsstruktur 440 Metallpads 444 oder leitfähige Pads 450, die ähnlich wie die Metallpads 112 bzw. die leitfähigen Pads 118 der Vorrichtungsstruktur 100 sein können.The device structure 440 may be similar to the device structure previously described for 100 . For example, the device structure 440 a substrate 442 that is similar to the substrate 102 , which may include integrated circuit devices formed thereon. In some embodiments, substrate vias (TSVs) can be 446 through the substrate 442 extend. The TSVs 446 can be formed, for example, by forming openings that extend through the substrate using a suitable photolithography and etching process 442 extend. The openings can then be filled with a conductive material, such as copper or the like, which can be formed using a suitable process such as a plating process. In some embodiments, the device structure comprises 440 Metal pads 444 or conductive pads 450 that are similar to the metal pads 112 or the conductive pads 118 the device structure 100 could be.

Die Dielektrikumsregionen 402 können ähnlich wie die zuvor beschriebenen Dielektrikumsregionen 214 sein, wie etwa indem sie aus Siliziumoxid oder dergleichen gebildet sind. Die Bondungsschicht 412 kann aus einer oder mehreren Schichten aus einem oder mehreren Dielektrika wie etwa Siliziumoxid oder dergleichen, gebildet sein. Die Bondungsschicht 412 kann aus ähnlichen Materialien oder unter Verwendung ähnlicher Techniken gebildet werden, wie oben für die Verbindungsschicht 126, Verbindungsschicht 224 oder der Bondungsschicht 312 beschrieben. Die Bondpads 410 können aus einem leitfähigen Material wie etwa Kupfer, einer Kupferlegierung oder dergleichen gebildet sein. Die Bondpads 410 können auch eine Barriereschicht umfassen (nicht dargestellt). Die Bondpads 410 können in einigen Ausführungsformen aus einem oder mehreren ähnlichen Materialien oder unter Verwendung ähnlicher Techniken gebildet werden, wie oben für die Bondpads 316 beschrieben.The dielectric regions 402 can be similar to the previously described dielectric regions 214 such as by being formed of silicon oxide or the like. The bonding layer 412 may be formed from one or more layers of one or more dielectrics such as silicon oxide or the like. The bonding layer 412 may be formed from similar materials or using similar techniques as for the tie layer above 126 , Connection layer 224 or the bonding layer 312 described. The bond pads 410 may be formed from a conductive material such as copper, a copper alloy, or the like. The bond pads 410 can also comprise a barrier layer (not shown). The bond pads 410 may, in some embodiments, be formed from one or more similar materials, or using similar techniques, as above for the bond pads 316 described.

In einigen Ausführungsformen können die Bondpads 410 eine Breite W5 zwischen ca. 1 µm und ca. 5 µm aufweisen, wobei jedoch auch andere Breiten möglich sind. In einigen Ausführungsformen kann die Breite W5 eines Bondpads 410 zwischen ca. 95% und ca. 150% der Breite W4 ihrem assoziierten Bondpad 316 liegen. Auf diese Weise kann die Breite W5 der Bondpads 410 größer sein als die Breite W4 der Bondpads 316. Nach der Bondung der Bondpads 410 an die Bondpads 316 können sich die Bondpads 410 lateral über die Bondpads 316 wie in 18 gezeigt hinaus erstrecken. 18A zeigt Bondpads 410 etwa auf Bondpads 316 zentriert, aber in anderen Fällen kann eine gewisse laterale Verschiebung zwischen einem Bondpad 410 und einem Bondpad 316 vorliegen. Eine Verschiebung kann beispielsweise an einer Pick-and-Place-Überlagerungsverschiebung während der Platzierung der zweiten Diestruktur 400 auf der ersten Diestruktur 300 liegen. Beispielsweise wird in 18B ein Bondpad 410 als um eine Distanz S1 gegen ein Bondpad 316 verschoben dargestellt. Die Distanz S1 entspricht dem lateralen Abstand zwischen der Mitte des Bondpads 410 (z. B. bei der Hälfte der Breite W5) und der Mitte des Bondpads 316 (z. B. bei der Hälfte der Breite W4). In einigen Fällen kann das Bilden von Bondpads 410, die breiter sind als Bondpads 316 die Möglichkeit erhöhen, dass die Gesamtheit der oberen Flächen der Bondpads 316 mit den Bondpads 410 verbunden sind, wenn eine Verschiebung zwischen der ersten Diestruktur 300 und der zweiten Diestruktur 400 vorliegt. In einigen Fällen bleibt die maximale Verschiebungsdistanz (z. B. S1), für die die gesamte Fläche eines Bondpads 316 verbunden bleibt, durch die Differenz zwischen der Breite W5 des Bondpads 410 und der Breite W4 des Bondpads 316 angegeben. Verbinden der gesamten oberen Flächen der Bondpads 316 kann den Kontaktwiderstand zwischen den Bondpads 316 und den Bondpads 410 verringern und damit die elektrische Leistung des Package 500 verbessern. Auf diese Weise können unerwünschte Wirkungen aufgrund der Verschiebung durch Bilden von Bondpads 410 mit einer größeren Breite als der der Bondpads 316 verringert werden.In some embodiments, the bond pads 410 have a width W 5 between approximately 1 μm and approximately 5 μm, although other widths are also possible. In some embodiments, the width W 5 of a bond pad 410 between approx. 95% and approx. 150% of the width W 4 of its associated bond pad 316 lie. In this way, the width W 5 of the bond pads 410 be greater than the width W 4 of the bond pads 316 . After bonding the bond pads 410 to the bond pads 316 the bondpads 410 laterally over the bond pads 316 as in 18th shown extend beyond. 18A shows bond pads 410 for example on bond pads 316 centered, but in other cases there may be some lateral displacement between a bond pad 410 and a bond pad 316 are present. A shift can be, for example, a pick-and-place overlay shift during the placement of the second diaphragm structure 400 on the first thistructure 300 lie. For example, in 18B a bond pad 410 than by a distance S1 against a bond pad 316 shown shifted. The distance S1 corresponds to the lateral distance between the center of the bond pad 410 (e.g. B. at half the width W 5 ) and the middle of the bond pad 316 (e.g. at half the width W 4 ). In some cases the formation of bond pads 410 that are wider than bond pads 316 increase the possibility of having the entirety of the upper surfaces of the bond pads 316 with the bond pads 410 connected when there is a shift between the first thestructure 300 and the second structure 400 is present. In some cases, the maximum displacement distance (e.g. S1) remains for the entire area of a bond pad 316 remains connected by the difference between the width W 5 of the bond pad 410 and the width W 4 of the bond pad 316 specified. Connect the entire upper surfaces of the bond pads 316 can reduce the contact resistance between the bond pads 316 and the bond pads 410 and thus the electrical output of the package 500 improve. In this way, undesirable effects due to the displacement by forming bond pads can be avoided 410 with a larger width than that of the bond pads 316 be reduced.

Andere Verschiebungen sind möglich. Beispielsweise zeigt 18A Bondpads 316 etwa auf BPVs 128 zentriert, aber in anderen Fällen kann eine gewisse laterale Verschiebung zwischen einem Bondpad 316 und einer BPV 128 vorliegen. Beispielsweise wird in 18B ein Bondpad 316 als um eine Distanz S2 gegen eine BPV 128 verschoben dargestellt. Die Distanz S2 entspricht dem lateralen Abstand zwischen der Mitte des Bondpads 316 (z. B. bei der Hälfte der Breite W4) und der Mitte der BPV 128 (z. B. bei der Hälfte der Breite W3). In einigen Fällen kann das Bilden von Bondpads 316, die breiter sind als BPVs 128 die Möglichkeit erhöhen, dass die Gesamtheit der oberen Flächen der BPVs 128 durch die darüberliegenden Bondpads 316 bedeckt sind, wenn eine Verschiebung zwischen den Bondpads 316 und den BPVs 128 vorliegt. In einigen Fällen bleibt die maximale Verschiebungsdistanz (z. B. S2), für die die gesamte obere Fläche einer BPV 128 durch ein darüberliegendes Bondpad 316 bedeckt bleibt, durch die Differenz zwischen der Breite W4 des Bondpads 316 und der Breite W3 der BPV 128 angegeben. Abdecken der gesamten oberen Flächen der BPVs 128 kann den Kontaktwiderstand zwischen den Bondpads 316 und den BPVs 128 verringern und damit die elektrische Leistung des Package 500 verbessern. Auf diese Weise können unerwünschte Wirkungen aufgrund der Verschiebung durch Bilden von Bondpads 316 mit einer größeren Breite als der der BPVs 128 verringert werden.Other shifts are possible. For example shows 18A Bond pads 316 for example on BPVs 128 centered, but in other cases there may be some lateral displacement between a bond pad 316 and a BPV 128 are present. For example, in 18B a bond pad 316 than by a distance S2 against a BPV 128 shown shifted. The distance S2 corresponds to the lateral distance between the center of the bond pad 316 (e.g. at half the width W 4 ) and the middle of the BPV 128 (e.g. at half the width W 3 ). In some cases the formation of bond pads 316 that are wider than BPVs 128 increase the possibility that the entirety of the upper surfaces of the BPVs 128 through the overlying bond pads 316 are covered when there is a shift between the bond pads 316 and the FOPI 128 is present. In some cases, the maximum displacement distance (e.g. S2) remains for the entire top surface of a BPV 128 through an overlying bond pad 316 remains covered by the difference between the width W 4 of the bond pad 316 and the width W 3 of the BPV 128 specified. Covering the entire upper surfaces of the BPVs 128 can reduce the contact resistance between the bond pads 316 and the FOPI 128 and thus the electrical output of the package 500 improve. In this way, undesirable effects due to the displacement by forming bond pads can be avoided 316 with a greater breadth than that of the BPVs 128 be reduced.

Die zweite Diestruktur 400 kann auch eine Umverteilungsstruktur 452 umfassen, einschließlich Dielektrikumsschichten 460 und 462 und Metallisierungsstrukturen 454 und 456. Die Umverteilungsstruktur 452 kann entworfen sein, die verschiedenen Merkmale zu verbinden, wie etwa die Vorrichtungsstruktur 440 und Durchkontaktierungen 408 (nachfolgend beschrieben), um funktionale Schaltungsanordnungen zu bilden. Die Metallisierungsstrukturen können auch als Umverteilungsschichten oder Umverteilungsleitungen bezeichnet werden. Mehr oder weniger Dielektrikumsschichten und Metallisierungsstrukturen als dargestellt können in der Umverteilungsstruktur 452 gebildet werden. Wenn weniger Dielektrikumsschichten und Metallisierungsstrukturen gebildet werden sollen, können Schritte und der Prozess wie nachfolgend erklärt wiederholt werden. Wenn mehr Dielektrikumsschichten und Metallisierungsstrukturen gebildet werden sollen, können die Schritte und der Prozess wie nachfolgend erklärt wiederholt werden.The second structure 400 can also be a redistribution structure 452 include, including dielectric layers 460 and 462 and metallization structures 454 and 456 . The redistribution structure 452 can be designed to combine the various features such as the device structure 440 and vias 408 (described below) to form functional circuit arrangements. The metallization structures can also be referred to as redistribution layers or redistribution lines. More or fewer dielectric layers and metallization structures than can be shown in the redistribution structure 452 are formed. If fewer dielectric layers and metallization structures are to be formed, steps and the process can be repeated as explained below. If more dielectric layers and metallization structures are to be formed, the steps and process can be repeated as explained below.

Als ein Beispiel für das Bilden der Umverteilungsstruktur 452 kann die Metallisierungsstruktur 454 als erstes gebildet werden. Um die Metallisierungsstruktur 454 zu bilden, wird eine Seed-Schicht über der Vorrichtungsstruktur 400 und den Dielektrikumsregionen 402 gebildet. In einigen Ausführungsformen ist die Seed-Schicht eine Metallschicht, die eine einzige Schicht oder eine zusammengesetzte Schicht sein kann, die mehrere Unterschichten umfasst, die aus verschiedenen Materialien gebildet sind. In einigen Ausführungsformen umfasst die Seed-Schicht eine Titanschicht und eine Kupferschicht über der Titanschicht. Die Seed-Schicht kann beispielsweise unter Verwendung von PVD oder dergleichen gebildet sein. Ein Photoresist wird dann auf der Seed-Schicht gebildet und strukturiert. Der Photoresist kann durch Spincoating oder dergleichen gebildet werden und kann zum Strukturieren belichtet werden. Die Struktur des Photoresist entspricht Metallisierungsstruktur 454. Die Strukturierung bildet Öffnungen durch den Photoresist zum Belichten der Seed-Schicht. Ein leitfähiges Material wird dann in den Öffnungen des Photoresist und an den belichteten Abschnitten der Seed-Schicht gebildet. Das leitfähige Material kann durch Plattierung, wie etwa durch Elektroplattierung oder elektrolose Plattierung oder dergleichen gebildet werden. Das leitfähige Material kann ein Metall umfassen, wie etwa Kupfer, Titan, Wolfram, Aluminium oder dergleichen. Die Kombination des leitfähigen Materials und der darunterliegenden Abschnitte der Seed-Schicht bilden die Metallisierungsstruktur 454. Der Photoresist und Abschnitte der Seed-Schicht, auf der das leitfähige Material nicht gebildet wird, werden entfernt. Der Photoresist kann durch einen annehmbaren Aschen- oder Stripping-Prozess entfernt werden, wie etwa durch Verwendung von Sauerstoffplasma oder dergleichen. Wenn der Photoresist entfernt wird, werden offenliegende Abschnitt der Seed-Schicht entfernt, wie etwa durch Verwendung eines annehmbaren Ätzprozesses, wie etwa durch Nass- oder Trockenätzen.As an example of forming the redistribution structure 452 can the metallization structure 454 be formed first. Around the metallization structure 454 will form a seed layer over the device structure 400 and the dielectric regions 402 educated. In some embodiments, the seed layer is a metal layer that can be a single layer or a composite layer that includes multiple sublayers formed from different materials. In some embodiments, the seed layer includes a titanium layer and a copper layer over the titanium layer. The seed layer can be formed using PVD or the like, for example. A photoresist is then formed and patterned on the seed layer. The photoresist can be formed by spin coating or the like and can be exposed for patterning. The structure of the photoresist corresponds to the metallization structure 454 . The structuring forms openings through the photoresist for exposing the seed layer. A conductive material is then formed in the openings of the photoresist and on the exposed portions of the seed layer. The conductive material can be formed by plating such as electroplating or electroless plating or the like. The conductive material can include a metal such as copper, titanium, tungsten, aluminum, or the like. The combination of the conductive material and the underlying sections of the seed layer form the metallization structure 454 . The photoresist and portions of the seed layer on which the conductive material will not be formed are removed. The photoresist can be removed by an acceptable ashing or stripping process, such as the use of oxygen plasma or the like. When the photoresist is removed, exposed portions of the seed layer are removed, such as by using an acceptable etching process, such as wet or dry etching.

Die Dielektrikumsschicht 460 wird dann auf der Metallisierungsstruktur 454, der Vorrichtung 440 und den Dielektrikumsschichten 402 abgeschieden. In einigen Ausführungsformen wird die Dielektrikumsschicht 460 aus einem photosensitiven Material wie PBO, Polyimid, BCB oder dergleichen, gebildet, das unter Verwendung einer Lithographiemaske strukturiert werden kann. Die Dielektrikumsschicht 460 kann durch Spincoating, Laminierung, CVD, dergleichen oder einer Kombination daraus gebildet werden. Die Dielektrikumsschicht 460 wird dann strukturiert. Die Strukturierung bildet Öffnungen, die Abschnitte der Metallisierungsstruktur 454 offenlegen. Die Strukturierung kann durch einen annehmbaren Prozess erfolgen, wie etwa durch Belichten der Dielektrikumsschicht 124, wenn die Dielektrikumsschicht 460 ein photosensitives Material ist, oder durch Ätzen, beispielsweise unter Verwendung eines anisotropen Ätzens. Wenn die Dielektrikumsschicht 460 ein photosensitives Material ist, kann die Dielektrikumsschicht 460 nach dem Belichten entwickelt werden.The dielectric layer 460 is then applied to the metallization structure 454 , the device 440 and the dielectric layers 402 deposited. In some embodiments, the dielectric layer is 460 formed from a photosensitive material such as PBO, polyimide, BCB or the like, which can be patterned using a lithography mask. The dielectric layer 460 can be formed by spin coating, lamination, CVD, the like, or a combination thereof. The dielectric layer 460 is then structured. The structuring forms openings, the sections of the metallization structure 454 disclose. The patterning can be done by an acceptable process, such as by exposing the dielectric layer to light 124 when the dielectric layer 460 is a photosensitive material, or by etching, for example using an anisotropic etching. If the dielectric layer 460 is a photosensitive material, the dielectric layer 460 can be developed after exposure.

Die Metallisierungsstruktur 456 wird dann auf der strukturierten Dielektrikumsschicht 460 gebildet und erstreckt sich in die Öffnungen in der Dielektrikumsschicht 460, um die Metallisierungsstruktur 454 zu kontaktieren. Die Metallisierungsstruktur 456 kann in einer Weise gebildet werden, die der Metallisierungsstruktur 454 ähnlich ist, und kann aus einem ähnlichen Material wie die Metallisierungsstruktur 454 gebildet werden. Die Dielektrikumsschicht 462 kann auf der Metallisierungsstruktur 456 und der Dielektrikumsschicht 460 gebildet werden. Die Dielektrikumsschicht 462 kann in einer Weise gebildet werden, die der Dielektrikumsschicht 460 ähnlich ist, und kann aus einem ähnlichen Material wie die Dielektrikumsschicht 460 gebildet werden. Die Umverteilungsstruktur 452 kann unter Verwendung anderer Materialien oder Techniken gebildet sein als die in diesem Beispiel beschriebenen.The metallization structure 456 is then placed on top of the patterned dielectric layer 460 and extends into the openings in the dielectric layer 460 to the metallization structure 454 to contact. The metallization structure 456 can be formed in a manner similar to the metallization structure 454 is similar, and may be made of a similar material as the metallization structure 454 are formed. The dielectric layer 462 can on the metallization structure 456 and the dielectric layer 460 are formed. The dielectric layer 462 can be formed in a manner similar to the dielectric layer 460 is similar, and may be made of a similar material as the dielectric layer 460 are formed. The redistribution structure 452 may be formed using different materials or techniques than those described in this example.

In einigen Ausführungsformen umfasst die zweite Diestruktur 400 eine oder mehrere Durchkontaktierungen 408, die sich teilweise oder vollständig durch die zweite Diestruktur 400 erstrecken, um sich mit der Umverteilungsstruktur 452 oder anderen Merkmalen an gegenüberliegenden Seiten der zweiten Diestruktur 400 zu verbinden. Eine zweite Diestruktur 400 kann in einigen Ausführungsformen null, eine, zwei oder mehr als zwei Durchkontaktierungen 408 umfassen. Die zweite Diestruktur 400 aus 18 umfasst nach einigen Ausführungsformen auch UnterbumpMetallisierungen (UBMs) 418 und externe Verbinder 420, die an der Umverteilungsstruktur 452 gebildet sind. Die UBMs 418 stellen eine elektrische Verbindung mit leitfähigen Merkmalen innerhalb der zweiten Diestruktur 400 bereit, und die externen Verbinder 420 (z. B. Lötkugeln, Bumps oder dergleichen) sind auf den UBMs 418 gebildet. In einigen Ausführungsformen sind die UBMs 418 nicht vor dem Bilden der externen Verbinder 420 gebildet, und in einigen Ausführungsformen sind die externen Verbinder 420 nicht auf der zweiten Diestruktur 400 gebildet.In some embodiments, the second includes the structure 400 one or more vias 408 which is partially or entirely through the second thestructure 400 extend to deal with the redistribution structure 452 or other features on opposite sides of the second die structure 400 connect to. A second structure 400 may, in some embodiments, be zero, one, two, or more than two vias 408 include. The second structure 400 out 18th According to some embodiments also includes sub-bump metallizations (UBMs) 418 and external connectors 420 who participated in the redistribution structure 452 are formed. The UBMs 418 make an electrical connection with conductive features within the second die structure 400 ready, and the external connector 420 (e.g. solder balls, bumps or the like) are on the UBMs 418 educated. In some embodiments, the are UBMs 418 not before forming the external connectors 420 and in some embodiments the external connectors are 420 not on the second thistructure 400 educated.

Die dargestellte zweite Diestruktur 400 ist ein illustratives Beispiel, und es ist zu erkennen, dass alle geeigneten Dies, Chips, Vorrichtungen oder dergleichen im Umfang dieser Offenbarung betrachtet werden. In einigen Ausführungsformen kann die zweite Diestruktur 400 in einer Weise gebildet werden, die ähnlich wie die erste Diestruktur 300 ist. Beispielsweise kann die zweite Diestruktur 400 BPVs umfassen (nicht einzeln beschriftet), die in einem ersten Prozessschritt gebildet sind, und die Bondpads 410 können über den BPVs in einem separaten Prozessschritt gebildet sein, wie oben für 7 und 17 beschrieben.The illustrated second structure 400 is an illustrative example, and it will be recognized that all suitable dies, chips, devices, or the like are contemplated within the scope of this disclosure. In some embodiments, the second structure can be 400 be formed in a manner similar to the first die structure 300 is. For example, the second structure 400 BPVs include (not individually labeled), which are formed in a first process step, and the bond pads 410 can be formed via the BPVs in a separate process step, as above for 7th and 17th described.

In einigen Ausführungsformen ist die zweite Diestruktur 400 unter Verwendung von z. B. Direktbondung oder Hybridbondung mit der ersten Diestruktur 300 verbunden, um Package 500 zu bilden. Vor dem Durchführen der Bondung kann eine Oberflächenbehandlung auf die zweite Diestruktur 400 oder die erste Diestruktur 300 ausgeführt werden, um die Flächen zu aktivieren. In einigen Ausführungsformen umfasst die Oberflächenbehandlung eine Plasmabehandlung. Die Plasmabehandlung kann in einer Vakuumumgebung erfolgen (z.B. einer Vakuumkammer, nicht dargestellt). Das Prozessgas, das für die Erzeugung eines Plasma verwendet wird, kann ein wasserstoffhaltiges Gas sein, das ein erstes Gas umfasst, das Wasserstoff (H2) und Argon (Ar) umfasst, ein zweites Gas, das H2 und Stickstoff (N2) umfasst, oder ein drittes Gas, das H2 und Helium (He) umfasst. Die Plasmabehandlung kann auch unter Verwendung von reinem oder im Wesentlichen reinem H2, Ar oder N2 als das Prozessgas erfolgen, das die Flächen der Bondpads 316/410 und die Bondungsschichten 312/412 behandelt. Die zweite Diestruktur 400 oder die erste Diestruktur 300 kann mit demselben Oberflächenbehandlungsprozess behandelt werden, oder mit anderen Oberflächenbehandlungsprozessen, oder kann unbehandelt bleiben. In einigen Ausführungsformen kann die zweite Diestruktur 400 oder die erste Diestruktur 300 nach der Oberflächenbehandlung gereinigt werden. Reinigen kann umfassen, z. B. eine chemische Reinigung und eine Reinigung/Spülung mit entionisiertem Wasser auszuführen.In some embodiments, the second is the structure 400 using e.g. B. Direct bonding or hybrid bonding with the first diestructure 300 connected to Package 500 to build. Before the bonding is carried out, a surface treatment can be applied to the second diestructure 400 or the first thestructure 300 to activate the surfaces. In some embodiments, the surface treatment includes plasma treatment. The plasma treatment can take place in a vacuum environment (for example a vacuum chamber, not shown). The process gas that is used to generate a plasma can be a hydrogen-containing gas that includes a first gas that includes hydrogen (H2) and argon (Ar), a second gas that includes H 2 and nitrogen (N 2 ) , or a third gas comprising H 2 and helium (He). The plasma treatment can also be carried out using pure or essentially pure H2, Ar or N 2 as the process gas which is applied to the surfaces of the bond pads 316/410 and the bonding layers 312/412 treated. The second structure 400 or the first thestructure 300 can be treated with the same surface treatment process, or with different surface treatment processes, or can be left untreated. In some embodiments, the second structure can be 400 or the first thestructure 300 cleaned after surface treatment. Cleaning may include, e.g. B. dry cleaning and cleaning / rinsing with deionized water.

Als nächstes kann ein Vorbondungsprozess mit der zweiten Diestruktur 400 und der ersten Diestruktur 300 ausgeführt werden. Die zweite Diestruktur 400 auf ist der ersten Diestruktur 300 platziert, etwa unter Verwendung eines Pick-and-Place-Prozesses. Die Ausrichtungsmerkmale 222 können während der Platzierung verwendet werden, um die zweite Diestruktur 400 auszurichten. Die zweite Diestruktur 400 und die erste Diestruktur 300 sind so ausgerichtet, dass die Bondungspads 410 und/oder die Durchkontaktierungen 408 der zweiten Diestruktur 400 an den Bondungspads 316 der ersten Diestruktur 300 ausgerichtet sind. Nach dem Ausrichten können die zweite Diestruktur 400 und die erste Diestruktur 300 gegeneinander gedrückt werden. Die Druckkraft kann in einigen Ausführungsformen weniger als ca. 5 Newton pro Die betragen, wobei jedoch eine größere oder kleinere Kraft verwendet werden kann. Der Vorbondungsprozess kann bei Zimmertemperatur erfolgen (z. B. bei einer Temperatur von ca. 21 °C bis ca. 25 °C), wobei jedoch auch höhere Temperaturen verwendet werden können. Die Vorbondungszeit kann in einigen Ausführungsformen kürzer als etwa 1 Minuten sein.Next, a pre-bond process can be performed with the second die structure 400 and the first structure 300 are executed. The second structure 400 on is the first thestructure 300 placed, for example using a pick-and-place process. The alignment features 222 can be used during placement to make the second thestructure 400 align. The second structure 400 and the first thestructure 300 are aligned so that the bonding pads 410 and / or the Vias 408 the second structure 400 on the bonding pads 316 the first thestructure 300 are aligned. After the alignment, the second structure 400 and the first thestructure 300 are pressed against each other. The compressive force can be less than about 5 Newtons per die in some embodiments, but a greater or lesser force can be used. The pre-bonding process can take place at room temperature (e.g. at a temperature of approx. 21 ° C to approx. 25 ° C), although higher temperatures can also be used. The pre-bond time can be less than about 1 minute in some embodiments.

Nach der Vorbondung werden die Bondungsschicht 412 der zweiten Diestruktur 400 und die Bondungsschicht 312 der ersten Diestruktur 300 miteinander verbunden und bilden Package 500. Die Verbindung zwischen den Bondungsschichten 312/412 kann in einem nachfolgenden Temperschritt verstärkt werden. Das Package 500 kann etwa mit einer Temperatur von ca. 300 °C bis ca. 400 °C und für einen Zeitraum von zwischen ca. 1 Stunde und ca. 2 Stunden getempert werden. Während des Temperns können Metalle in den Bondpads 316 und 410 diffundieren, sodass auch Metall-Metall-Verbindungen gebildet werden. Verbindungen zwischen den Bondpads 316 und entsprechenden Durchkontaktierungen 408 können ähnlich gebildet werden. Daher können die entstehenden Verbindungen zwischen der ersten Diestruktur 300 und der zweiten Diestruktur 400 HybridVerbindungen sein. In einigen Ausführungsformen ist nach dem Tempern keine Materialgrenzfläche zwischen den Bondpads 316 und den entsprechenden Bondpads 410 oder Durchkontaktierungen 408 vorhanden. In einigen Ausführungsformen kann nach der Bondung eine Vereinzelung auf das Package ausgeführt werden 500.After the pre-bonding, the bonding layer 412 the second structure 400 and the bonding layer 312 the first thestructure 300 connected to each other and form a package 500 . The connection between the bonding layers 312/412 can be reinforced in a subsequent tempering step. The package 500 can be tempered at a temperature of approx. 300 ° C to approx. 400 ° C and for a period of between approx. 1 hour and approx. 2 hours. Metals can be in the bond pads during annealing 316 and 410 diffuse, so that metal-metal connections are also formed. Connections between the bond pads 316 and corresponding vias 408 can be formed similarly. Therefore, the resulting connections between the first diestructure 300 and the second structure 400 Hybrid connections. In some embodiments, after annealing, there is no material interface between the bond pads 316 and the corresponding bond pads 410 or vias 408 available. In some embodiments, a singulation can be carried out on the package after the bonding 500 .

18A zeigt eine Durchkontaktierung 408 etwa auf einem Bondpad 316 zentriert, aber in anderen Fällen kann eine gewisse laterale Verschiebung zwischen einer Durchkontaktierung 408 und einem Bondpad 316 vorliegen. Beispielsweise wird in 18B eine Durchkontaktierung 408 als um eine Distanz S3 gegen ein Bondpad 316 verschoben dargestellt. Die Distanz S3 entspricht dem lateralen Abstand zwischen der Mitte der Durchkontaktierung 408 und der Mitte des Bondpads 316 (z.B. bei der Hälfte der Breite W4). In einigen Fällen kann das Bilden von Bondpads 316, die breiter sind als Durchkontaktierungen 408 die Möglichkeit erhöhen, dass die Gesamtheit der Flächen der Durchkontaktierungen 408 mit den entsprechenden Bondpads 316 verbunden ist, wenn eine Verschiebung zwischen den Bondpads 316 und den Durchkontaktierungen 408 vorliegt. In einigen Fällen bleibt die maximale Verschiebungsdistanz (z.B. S3), für die die gesamte Fläche einer Durchkontaktierung 408 mit einem entsprechenden Bondpad 316 verbunden bleibt, durch die Differenz zwischen der Breite W4 des Bondpads 316 und der Breite der Durchkontaktierung 408 angegeben. 18A shows a via 408 for example on a bond pad 316 centered, but in other cases there may be some lateral displacement between a via 408 and a bond pad 316 are present. For example, in 18B a via 408 than by a distance S 3 against a bond pad 316 shown shifted. The distance S 3 corresponds to the lateral distance between the center of the via 408 and the middle of the bond pad 316 (e.g. at half the width W 4 ). In some cases the formation of bond pads 316 that are wider than vias 408 increase the possibility that the entirety of the surfaces of the vias 408 with the corresponding bond pads 316 connected when there is a shift between the bond pads 316 and the vias 408 is present. In some cases, the maximum shift distance (e.g. S 3 ) remains for the entire area of a via 408 with a corresponding bond pad 316 remains connected by the difference between the width W 4 of the bond pad 316 and the width of the via 408 specified.

19A bis B, 20A bis B und 21 illustrieren Packages 510, 520 und 530, die jeweils eine erste Diestruktur 300 umfassen, die mit einer zweiten Diestruktur 400 verbunden ist, nach einigen Ausführungsformen. Für jedes der Packages 510, 520 und 530 kann die erste Diestruktur 300 und die zweite Diestruktur 400 ähnlich wie die erste Diestruktur 300 und die zweite Diestruktur 400 sein, wie für 18A bis B beschrieben, und das erste Die und das zweite Die können in einer ähnlichen Weise verbunden sein, wie für 18A bis B beschrieben. Alle solchen Variationen des Bildens von Packages werden im Rahmen dieser Offenbarung betrachtet. 19A through B, 20A through B, and 21 illustrate packages 510 , 520 and 530 each having a first thestructure 300 include those with a second diestructure 400 is connected, according to some embodiments. For each of the packages 510 , 520 and 530 can the first thestructure 300 and the second structure 400 similar to the first thistructure 300 and the second structure 400 be like for 18A to B, and the first die and the second die may be connected in a similar manner as for 18A to B. All such variations in forming packages are contemplated within the scope of this disclosure.

Zuerst ist mit Blick auf 19A, das Package 510 ähnlich wie das Package 500 aus 18A, außer, dass die Bondpads 410 der zweiten Diestruktur 400 eine Breite W6 aufweisen, die kleiner ist als die Breite W4 (siehe 17) der Bondpads 316 der ersten Diestruktur 300. 19B zeigt ein Package 510 ähnlich wie das aus 19A, wobei jedoch zu illustrativen Zwecken einige Merkmale in 19B mit einem stärkeren Ausrichtungsabstand gezeigt sind als in 19A. In einigen Ausführungsformen können die Bondpads 410 eine Breite W6 zwischen ca. 1,5 µm und ca.5 µm aufweisen, wobei jedoch auch andere Breiten möglich sind. In einigen Ausführungsformen kann die Breite W6 eines Bondpads 410 zwischen ca. 40% und ca. 90% der Breite W4 ihrem assoziierten Bondpad 316 liegen. Auf diese Weise kann die Breite W6 der Bondpads 410 kleiner sein als die Breite W4 der Bondpads 316. Nach der Bondung der Bondpads 410 an die Bondpads 316 können sich die Bondpads 316 lateral über die Bondpads 410 wie in 19A gezeigt hinaus erstrecken.First is facing 19A , the package 510 similar to the package 500 out 18A except that the bond pads 410 the second structure 400 have a width W6 which is smaller than the width W 4 (see 17th ) of the bond pads 316 the first thestructure 300 . 19B shows a package 510 similar to that out 19A , however, for illustrative purposes, some features are included in 19B are shown with a larger alignment distance than in 19A . In some embodiments, the bond pads 410 have a width W6 between approx. 1.5 µm and approx. 5 µm, although other widths are also possible. In some embodiments, the width W6 of a bond pad may be 410 between approx. 40% and approx. 90% of the width W 4 of its associated bond pad 316 lie. In this way, the width W6 of the bond pads 410 be smaller than the width W 4 of the bond pads 316 . After bonding the bond pads 410 to the bond pads 316 the bondpads 316 laterally over the bond pads 410 as in 19A shown extend beyond.

19A zeigt ein Bondpad 410 etwa auf einem Bondpad 316 zentriert, aber in anderen Fällen kann eine gewisse laterale Verschiebung zwischen einem Bondpad 410 und einem Bondpad 316 vorliegen. Beispielsweise wird in 19B ein Bondpad 410 als um eine Distanz S4 gegen ein Bondpad 316 verschoben dargestellt. Die Distanz S4 entspricht dem lateralen Abstand zwischen der Mitte des Bondpads 410 (z. B. bei der Hälfte der Breite W6) und der Mitte des Bondpads 316 (z. B. bei der Hälfte der Breite W4). In einigen Fällen kann das Bilden von Bondpads 410, die weniger breit sind als Bondpads 316 die Möglichkeit erhöhen, dass die Gesamtheit der oberen Flächen der Bondpads 410 mit den Bondpads 316 verbunden sind, wenn eine Verschiebung zwischen der ersten Diestruktur 300 und der zweiten Diestruktur 400 vorliegt. In einigen Fällen bleibt die maximale Verschiebungsdistanz (z. B. S4), für die die gesamte Fläche eines Bondpads 410 verbunden bleibt, durch die Differenz zwischen der Breite W6 des Bondpads 410 und der Breite W4 des Bondpads 316 angegeben. Verbinden der gesamten Bondungsflächen der Bondpads 410 kann den Kontaktwiderstand zwischen den Bondpads 316 und den Bondpads 410 verringern und damit die elektrische Leistung des Package 510 verbessern. Auf diese Weise können unerwünschte Wirkungen aufgrund der Verschiebung durch Bilden von Bondpads 410 mit einer geringeren Breite als der der Bondpads 316 verringert werden. 19A shows a bond pad 410 for example on a bond pad 316 centered, but in other cases there may be some lateral displacement between a bond pad 410 and a bond pad 316 are present. For example, in 19B a bond pad 410 than by a distance S 4 against a bond pad 316 shown shifted. The distance S 4 corresponds to the lateral distance between the center of the bond pad 410 (e.g. at half the width W6) and the middle of the bond pad 316 (e.g. at half the width W 4 ). In some cases the formation of bond pads 410 that are less wide than bond pads 316 increase the possibility of having the entirety of the upper surfaces of the bond pads 410 with the bond pads 316 connected when there is a shift between the first thestructure 300 and the second structure 400 is present. In some cases, the maximum shift distance (e.g. S 4 ) remains for the entire area of a bond pad 410 remains connected by the difference between the width W6 of the bond pad 410 and the width W 4 of the bond pad 316 specified. Connect the entire bonding surfaces of the bond pads 410 can reduce the contact resistance between the bond pads 316 and the bond pads 410 and thus the electrical output of the package 510 improve. In this way, undesirable effects due to the displacement caused by the formation of bond pads can be avoided 410 with a smaller width than that of the bond pads 316 be reduced.

Nun sind mit Blick auf die 20A bis B und 21 die Packages 520 und 530 ähnlich wie das Package 500 aus den 18A bis B, außer, dass die BPVs 128 die leitfähigen Pads 118 kontaktieren und eine elektrische Verbindung mit der Interconnectstruktur 108 durch die leitfähigen Pads 118 herstellen. Figuren A-B zeigen eine Package 520, in dem die Bondpads 410 der zweiten Diestruktur 400 eine Breite aufweisen, die größer ist als die Bondpads 316 der ersten Diestruktur 300, ähnlich wie Package 500 aus 18A bis 18B. 20B zeigt ein Package 520 ähnlich wie das aus 20A, wobei jedoch zu illustrativen Zwecken einige Merkmale in 20B mit einem stärkeren Ausrichtungsabstand gezeigt sind als in 20A. 21 zeigt ein Package 530, in dem die Bondpads 410 der zweiten Diestruktur 400 eine Breite aufweisen, die kleiner ist als die Bondpads 316 der ersten Diestruktur 300, ähnlich wie das Package 510 aus 19. Eine Verschiebung ähnlich wie die für die 18A bis B, 19A bis B und 20A beschriebene kann auch für das Package 530 vorhanden sein, das für 21 beschrieben ist, ist aber nicht in einer separaten Figur dargestellt.Well are facing that 20A up to B and 21 the packages 520 and 530 similar to the package 500 from the 18A to B, except that the FOPI 128 the conductive pads 118 contact and establish an electrical connection with the interconnect structure 108 through the conductive pads 118 produce. Figures AB show a package 520 , in which the bond pads 410 the second structure 400 have a width that is greater than the bond pads 316 the first thestructure 300 , similar to Package 500 out 18A to 18B . 20B shows a package 520 similar to that out 20A , however, for illustrative purposes, some features are included in 20B are shown with a larger alignment distance than in 20A . 21 shows a package 530 , in which the bond pads 410 the second structure 400 have a width that is smaller than the bond pads 316 the first thestructure 300 , similar to the package 510 out 19th . A shift similar to that for that 18A to B, 19A to B and 20A can also be used for the package 530 be available that for 21 is described, but is not shown in a separate figure.

Die leitfähigen Pads 118, die die BPVs 128 kontaktieren, können in einer ähnlichen Weise gebildet werden wie das leitfähige Pad 118, das oben für 3 bis 4 dargestellt ist. Beispielsweise kann die Passivierungsschicht 114 strukturiert sein, die Metallpads 112 und dann die leitfähigen Pads 118, die über den Metallpads 112 gebildet sind, offenzulegen. Die BPVs 128 können in einer ähnlichen Weise gebildet sein wie die BPVs 128 aus 6 bis 7. Beispielsweise können Öffnungen 124 in der Dielektrikumsschicht 122 gebildet sein, außer, dass die Öffnungen die leitfähigen Pads 118 statt der Metallpads 112 offenlegen. Die erste Barriereschicht 127 und das leitfähige Material der BPVs 128 kann dann in den Öffnungen 124 wie für 7 beschrieben gebildet sein. Wie in 20A bis B und 21 sind einige leitfähige Pads 118 möglicherweise nicht mit einer BPV 128 verbunden. In einigen Ausführungsformen kann die laterale Trennung zwischen aneinander angrenzenden leitfähigen Pads 118 eine Distanz D6 aufweisen, die zwischen ca. 2 µm und ca. 100 µm liegt.The conductive pads 118 that the FOPI 128 contacts can be formed in a similar manner as the conductive pad 118 that above for 3rd to 4th is shown. For example, the passivation layer 114 be structured, the metal pads 112 and then the conductive pads 118 that are over the metal pads 112 are formed to disclose. The FOPI 128 can be formed in a similar way as the BPVs 128 out 6th to 7th . For example, openings 124 in the dielectric layer 122 be formed except that the openings are the conductive pads 118 instead of the metal pads 112 disclose. The first barrier layer 127 and the conductive material of the BPVs 128 can then in the openings 124 as for 7th be formed as described. As in 20A through B and 21 are some conductive pads 118 possibly not with a BPV 128 connected. In some embodiments, the lateral separation can be between adjacent conductive pads 118 have a distance D6 which is between approx. 2 µm and approx. 100 µm.

In einigen Fällen können die Bondpads 316 durch Bilden der BPVs 128 getrennt von den leitfähigen Pads 118 wie hierin beschrieben mit einer kleineren Trennungsdistanz und/oder einer Trennungsdistanz D6 gebildet sein. In einigen Fällen können die BPVs 128 durch Bilden der BPVs 128, sodass sie die leitfähigen Pads 118 kontaktieren, mit einer kleineren Größe und einer kleineren Trennungsdistanz gebildet sein. Beispielsweise kann die geringere Tiefe der Öffnungen 124 zur Kontaktierung der leitfähigen Pads 118 eine genauere photolithographische Strukturierung erlauben. In einigen Ausführungsformen können leitfähige Pads 118, die gebildet sind, durch BPVs 128 kontaktiert zu werden, eine geringere Breite aufweisen als leitfähige Pads 118, die nicht durch BPVs 128 kontaktiert sind. Beispielsweise können die leitfähigen Pads 118, die nicht sondiert sind, mit einer geringeren Breite gebildet werden. In einigen Ausführungsformen können die leitfähigen Pads 118, die gebildet sind, um von BPVs 128 kontaktiert zu werden, eine Breite W2' aufweisen, die zwischen ca. 1 % und ca. 90 % geringer ist als die Breite W2 der anderen leitfähigen Pads 118.In some cases, the bond pads 316 by forming the BPVs 128 separated from the conductive pads 118 be formed as described herein with a smaller separation distance and / or a separation distance D6. In some cases, the FOPI can 128 by forming the BPVs 128 making them the conductive pads 118 contact can be formed with a smaller size and a smaller separation distance. For example, the smaller depth of the openings 124 for contacting the conductive pads 118 allow a more precise photolithographic structuring. In some embodiments, conductive pads 118 formed by BPVs 128 to be contacted, have a smaller width than conductive pads 118 not by BPVs 128 are contacted. For example, the conductive pads 118 that are not probed are formed with a smaller width. In some embodiments, the conductive pads 118 who are made to order by BPVs 128 to be contacted, have a width W2 'which is between approximately 1% and approximately 90% less than the width W2 of the other conductive pads 118 .

20A zeigt eine BPV 128 etwa auf einem leitfähigen Pad 118 zentriert, aber in anderen Fällen kann eine gewisse laterale Verschiebung zwischen einer BPV 128 und einem leitfähigen Pad 118 vorliegen. Beispielsweise wird in 20B eine BPV 128 als um eine Distanz S5 gegen ein leitfähiges Pad 118 verschoben dargestellt. Die Distanz S5 entspricht dem lateralen Abstand zwischen der Mitte der BPV 128 (z. B. bei der Hälfte der Breite W3) und der Mitte des leitfähigen Pads 118 (z. B. bei der Hälfte der Breite W2'). In einigen Fällen erlaubt das Bilden der BPVs 128 in einem separaten Prozessschritt von dem der Bondpads 316 das Bilden der BPVs 128 mit einer geringeren Breite (z. B. W3). Das Bilden von BPVs 128, die eine geringere Breite aufweisen (z. B. eine geringere Breite als entsprechende leitfähige Pads 118) kann die Wahrscheinlichkeit erhöhen, dass die Gesamtheit der Flächen der BPVs 128 mit den leitfähigen Pads 118 verbunden ist, wenn eine Fehlausrichtung vorhanden ist. In einigen Fällen bleibt die maximale Verschiebungsdistanz (z. B. S5), für die die gesamte Fläche einer BPV 128 verbunden bleibt, durch die Differenz zwischen der Breite W3 der BPV 128 und der Breite W2 des entsprechenden leitfähigen Pads 118 angegeben. Verbinden der gesamten Bondungsflächen der BPVs 128 kann den Kontaktwiderstand zwischen den BPVs 128 und den leitfähigen Pads 118 verringern und damit die elektrische Leistung des Package 520 verbessern. In dieser Weise kann die Größe oder der Abstand einiger leitfähiger Merkmale eines verbundenen Packages verringert werden, ohne das Risiko unerwünschter Wirkungen durch Verschiebung oder andere Prozessmängel zu erhöhen. 20A shows a BPV 128 for example on a conductive pad 118 centered, but in other cases there may be some lateral displacement between a BPV 128 and a conductive pad 118 are present. For example, in 20B a BPV 128 than by a distance S 5 against a conductive pad 118 shown shifted. The distance S 5 corresponds to the lateral distance between the center of the BPV 128 (e.g. at half the width W 3 ) and the center of the conductive pad 118 (e.g. at half the width W2 '). In some cases, the forming of the BPVs allowed 128 in a separate process step from that of the bond pads 316 the formation of the BPVs 128 with a smaller width (e.g. W 3 ). The formation of BPVs 128 that are narrower in width (e.g., narrower in width than corresponding conductive pads 118 ) can increase the likelihood that the totality of the areas of the BPRs 128 with the conductive pads 118 connected when there is misalignment. In some cases, the maximum displacement distance remains (e.g. S 5 ) for which the entire area of a BPV 128 remains connected by the difference between the width W 3 of the BPV 128 and the width W2 of the corresponding conductive pad 118 specified. Connect the entire bonding surfaces of the BPVs 128 can reduce the contact resistance between the BPVs 128 and the conductive pads 118 and thus the electrical output of the package 520 improve. In this way, the size or spacing of some conductive features of an interconnected package can be reduced without increasing the risk of undesirable effects from displacement or other process imperfections.

Die 22 bis 26 illustrieren nach einigen Ausführungsformen Zwischenschritte der Bildung einer Packagestruktur 1000, die ein Package 600 umfasst. 22 illustriert eine erste Diestruktur 300 und eine zweite Diestruktur 400, die in ein Package 600 verbunden wurden. Die erste Diestruktur 300 und eine zweite Diestruktur 400 können ähnlich wie die erste Diestruktur 300 oder die zweite Diestruktur 400 sein, die zuvor für die 17 bis 21 beschrieben wurden. Das Package 600 kann ähnlich wie die Packages 500, 510, 520 oder 530 sein, die zuvor für die 18A bis 21 beschrieben wurden, wobei jedoch externe Verbinder 420 nicht an der zweiten Diestruktur 400 gebildet sind. Wie in 22 gezeigt, umfasst das Package 600 Kontaktpads 602, die auf der zweiten Diestruktur 400 gebildet sind, was elektrische Verbindungen mit dem Package 600 erlaubt.The 22nd to 26th According to some embodiments, illustrate intermediate steps in the formation of a package structure 1000 who have favourited a Package 600 includes. 22nd illustrates a first thistructure 300 and a second thistructure 400 in a package 600 were connected. The first structure 300 and a second thistructure 400 can be similar to the first thestructure 300 or the second thestructure 400 be that previously for the 17th to 21 have been described. The package 600 can be similar to the packages 500 , 510 , 520 or 530 be that previously for the 18A to 21 but with external connectors 420 not on the second thistructure 400 are formed. As in 22nd shown includes the package 600 Contact pads 602 that is on the second thestructure 400 are what electrical connections are formed with the package 600 allowed.

22 illustriert auch ein Trägersubstrat 721 mit einer Haftschicht 723 und einer Polymerschicht 725 über der Haftschicht 723. In einigen Ausführungsformen umfasst das Trägersubstrat 721 beispielsweise siliziumbasierte Materialien, wie etwa Glas oder Siliziumoxid, oder andere Materialien, wie etwa Aluminiumoxid, Kombinationen beliebiger dieser Materialien oder dergleichen. Das Trägersubstrat 721 kann planar sein, um eine Befestigung von Vorrichtungen wie etwa dem Package 600 aufzunehmen. Die Haftschicht 723 ist an dem Trägersubstrat 721 platziert, um bei der Haftung darüberliegender Strukturen (z. B. der Polymerschicht 725) zu helfen. In einigen Ausführungsformen kann die Haftschicht 723 ein Licht-zu-Wärme-Konvertierungsmaterial (LTHC-Material) oder einen Ultraviolettkleber umfassen, der seine Hafteigenschaften verliert, wenn er ultraviolettem Licht ausgesetzt wird. Andere Arten von Klebern, wie etwa druckempfindliche Kleber, strahlungshärtbare Kleber, Epoxidkleber, Kombinationen daraus oder dergleichen können ebenfalls verwendet werden. Die Haftschicht 723 kann in halbflüssiger oder Gelform, die unter Druck leicht verformbar ist, auf dem Trägersubstrat 721 aufgebracht werden. 22nd also illustrates a support substrate 721 with an adhesive layer 723 and a polymer layer 725 over the adhesive layer 723 . In some embodiments, the carrier substrate comprises 721 for example silicon-based materials such as glass or silicon oxide, or other materials such as aluminum oxide, combinations of any of these materials, or the like. The carrier substrate 721 may be planar for attachment of devices such as the package 600 to record. The adhesive layer 723 is on the carrier substrate 721 placed in order to ensure the adhesion of overlying structures (e.g. the polymer layer 725 ) to help. In some embodiments, the adhesive layer 723 a light-to-heat converting (LTHC) material or an ultraviolet adhesive which loses its adhesive properties when exposed to ultraviolet light. Other types of adhesives such as pressure sensitive adhesives, radiation curable adhesives, epoxy adhesives, combinations thereof, or the like can also be used. The adhesive layer 723 can be in semi-liquid or gel form, which is easily deformable under pressure, on the carrier substrate 721 be applied.

Die Polymerschicht 725 wird über der Haftschicht 723 platziert und verwendet, um Schutz für das Package 600 bereitzustellen. In einigen Ausführungsformen kann die Polymerschicht 725 Polybenzoxazol (PBO) sein, wobei jedoch jedes geeignete Material, wie etwa Polyimid oder ein Polyimidderivat, alternative verwendet werden kann. Die Polymerschicht 725 kann beispielsweise unter Verwendung eines Spincoatingprozesses auf eine Dicke von zwischen ca. 2 µm und ca. 15 µm platziert werden, wie etwa ca. 5 µm, wobei jedoch jedes geeignete Verfahren und jede Dicke stattdessen verwendet werden können.The polymer layer 725 will be over the adhesive layer 723 placed and used to protect the package 600 provide. In some embodiments, the polymer layer can 725 Polybenzoxazole (PBO), however any suitable material such as polyimide or a polyimide derivative may alternatively be used. The polymer layer 725 For example, it can be placed to a thickness of between about 2 µm and about 15 µm, such as about 5 µm, using a spin coating process, but any suitable method and thickness can be used instead.

In einigen Ausführungsformen werden Durchkontaktierungen wie Dielektrikums-Durchkontaktierungen (TDVs) 727 über der Polymerschicht 725 gebildet. In einigen Ausführungsformen wird eine Seed-Schicht (nicht dargestellt) zuerst über der Polymerschicht 725 gebildet. Die Seed-Schicht ist eine dünne Schicht eines leitfähigen Materials, das bei der Bildung einer dickeren Schicht während nachfolgenden Verarbeitungsschritten hilft. In einigen Ausführungsformen kann die Seed-Schicht eine Schicht aus Titan umfassen, die ca. 500 Ä dick ist, gefolgt von einer Schicht Kupfer, die ca. 3.000 Å dick ist. Die Seed-Schicht kann unter Verwendung von Prozessen wie Sputtern, Verdampfung oder PECVD-Prozessen erzeugt werden, abhängig von den gewünschten Materialien. Wenn die Seed-Schicht gebildet ist, kann ein Photoresist (nicht dargestellt) über der Seed-Schicht gebildet und strukturiert werden. Die TDVs 727 werden dann mit dem strukturierten Photoresist gebildet. In einigen Ausführungsformen umfassen die TDVs 727 ein oder mehrere leitfähige Materialien, wie etwa Kupfer, Wolfram, andere leitfähige Metalle oder dergleichen, und können beispielsweise durch Elektroplattierung, elektrolose Plattierung oder dergleichen gebildet werden. In einigen Ausführungsformen wird ein Elektroplattierungsprozess verwendet, in dem die Seed-Schicht und der Photoresist in eine Elektroplattierungslösung eingetaucht oder untergetaucht werden. Wenn die TDVs 727 unter Verwendung des Photoresist und der Seed-Schicht gebildet wurden, kann der Photoresist unter Verwendung eines geeigneten Entfernungsprozesses entfernt werden. In einigen Ausführungsformen kann ein Plasma-Aschenprozess verwendet werden, um den Photoresist zu entfernen, wodurch die Temperatur des Photoresist erhöht werden kann, bis der Photoresist eine Wärmezersetzung erfährt und entfernt werden kann. Jeder andere geeignete Prozess, wie etwa ein Nassstripping, kann alternativ verwendet werden. Die Entfernung des Photoresist kann die darunterliegenden Abschnitte der Seed-Schicht offenlegen. Wenn die TDVs 727 gebildet werden, werden dann offenliegende Abschnitte der Seed-Schicht entfernt, beispielsweise unter Verwendung eines Nass- oder Trockenätzprozesses. Die TDVs 727 können mit einer Höhe von zwischen ca. 180 µm und ca. 200 µm gebildet werden, mit einer kritischen Abmessung von ca. 190 µm und einem Abstand von ca. 300 µm.In some embodiments, vias such as dielectric vias (TDVs) 727 over the polymer layer 725 educated. In some embodiments, a seed layer (not shown) is first over the polymer layer 725 educated. The seed layer is a thin layer of conductive material that aids in the formation of a thicker layer during subsequent processing steps. In some embodiments, the seed layer may include a layer of titanium that is about 500 Å thick, followed by a layer of copper that is about 3,000 Å thick. The seed layer can be created using processes such as sputtering, evaporation, or PECVD processes, depending on the materials desired. Once the seed layer is formed, photoresist (not shown) can be formed over the seed layer and patterned. The TDVs 727 are then formed with the patterned photoresist. In some embodiments, the include TDVs 727 one or more conductive materials such as copper, tungsten, other conductive metals, or the like, and can be formed, for example, by electroplating, electroless plating, or the like. In some embodiments, an electroplating process is used in which the seed layer and photoresist are immersed or submerged in an electroplating solution. When the TDVs 727 formed using the photoresist and the seed layer, the photoresist can be removed using a suitable removal process. In some embodiments, a plasma ash process can be used to remove the photoresist, which can increase the temperature of the photoresist until the photoresist undergoes thermal decomposition and can be removed. Any other suitable process, such as wet stripping, can alternatively be used. Removal of the photoresist can expose the underlying portions of the seed layer. When the TDVs 727 exposed portions of the seed layer are then removed, for example using a wet or dry etch process. The TDVs 727 can be formed with a height of between approx. 180 µm and approx. 200 µm, with a critical dimension of approx. 190 µm and a distance of approx. 300 µm.

Nach dem Bilden der TDVs 727 wird das Package 600 an der Polymerschicht 725 befestigt. In einigen Ausführungsformen kann das Package 600 z. B. unter Verwendung eines Pick-and-Place-Prozesses platziert werden. Jedes geeignete Verfahren der Platzierung des Package 600 kann jedoch verwendet werden.After making the TDVs 727 becomes the package 600 on the polymer layer 725 attached. In some embodiments, the package 600 z. B. be placed using a pick-and-place process. Any suitable method of placing the package 600 however, it can be used.

23 illustriert eine Verkapselung des Package 600 und der TDVs 727 mit einem Verkapselungsmaterial 729. Das Verkapselungsmaterial 729 kann eine Formmasse sein, wie etwa ein Harz, Polyimid, PPS, PEEK, PES, ein wärmewiderstandsfähiges Kristallharz, Kombinationen daraus oder dergleichen. 24 illustriert eine Ausdünnung des Verkapselungsmaterials 729, um die TDVs 727 und das Package 600 offenzulegen. Die Ausdünnung kann z. B. unter Verwendung eines CMP-Prozesses oder eines anderen Prozesses ausgeführt werden. Die Ausdünnung des Verkapselungsmaterials 729 kann die Kontaktpads 602 des Package 600 offenlegen. 23 illustrates an encapsulation of the package 600 and the TDVs 727 with an encapsulation material 729 . The encapsulation material 729 may be a molding compound such as a resin, polyimide, PPS, PEEK, PES, a heat-resistant crystal resin, combinations thereof, or the like. 24 illustrates a thinning of the encapsulation material 729 to the TDVs 727 and the Package 600 to disclose. The thinning can e.g. Be performed using a CMP process or some other process. The thinning of the encapsulation material 729 can use the contact pads 602 of the package 600 disclose.

25 illustriert eine Bildung einer Umverteilungsstruktur 800 mit einer oder mehreren Schichten über dem Verkapselungsmaterial 729. In einigen Ausführungsformen kann die Umverteilungsstruktur 800 gebildet werden, indem anfänglich eine erste Umverteilungspassivierungsschicht 801 über dem Verkapselungsmaterial 729 gebildet wird. In einigen Ausführungsformen kann die erste Umverteilungspassivierungsschicht 801 Polybenzoxazol (PBO) sein, wobei jedoch jedes geeignete Material, wie etwa Polyimid oder ein Polyimidderivat, wie etwa ein bei geringer Temperatur gehärtetes Polyimid, alternativ verwendet werden kann. Die erste Umverteilungspassivierungsschicht 801 kann beispielsweise unter Verwendung eines Spincoatingprozesses auf eine Dicke von zwischen ca. 5 µm und ca. 17 µm platziert werden, wie etwa ca. 7 µm, wobei jedoch jedes geeignete Verfahren und jede Dicke stattdessen verwendet werden können. 25th illustrates formation of a redistribution structure 800 with one or more layers over the encapsulation material 729 . In some embodiments, the redistribution structure 800 be formed by initially a first redistribution passivation layer 801 over the encapsulation material 729 is formed. In some embodiments, the first redistribution passivation layer 801 Polybenzoxazole (PBO), however any suitable material such as polyimide or a polyimide derivative such as a low temperature cured polyimide may alternatively be used. The first redistribution passivation layer 801 For example, it can be placed to a thickness of between about 5 µm and about 17 µm, such as about 7 µm, using a spin coating process, but any suitable method and thickness can be used instead.

Wenn die erste Umverteilungspassivierungsschicht 801 gebildet wurde, können die ersten Umverteilungsdurchkontaktierungen 803 durch die erste Umverteilungspassivierungsschicht 801 gebildet werden, um elektrische Verbindungen mit dem Package 600 und den TDVs 727 vorzunehmen. Beispielsweise können die ersten Umverteilungsdurchkontaktierungen 803 gebildet werden, um elektrischen Kontakt mit den Kontaktpads 602 herzustellen. In einigen Ausführungsformen können die ersten Umverteilungsdurchkontaktierungen 803 durch Verwendung eines Damaszenprozesses, eines Dualdamaszenprozesses oder eines anderen Prozesses gebildet werden. Nach dem Bilden der ersten Umverteilungsdurchkontaktierungen 803 wird eine erste Umverteilungsschicht 805 über und in elektrischer Verbindung mit den ersten Umverteilungsdurchkontaktierungen 803 gebildet. In einigen Ausführungsformen kann die erste Umverteilungsschicht 805 gebildet werden, indem anfänglich eine Seed-Schicht (nicht dargestellt) aus einer Titankupferlegierung durch einen geeigneten Bildungsprozess wie CVD oder Sputtern gebildet wird. Ein Photoresist (also nicht dargestellt) kann dann gebildet werden, um die Seed-Schicht zu bedecken und der Photoresist kann dann strukturiert werden, die Abschnitte der Seed-Schicht offenzulegen, die sich befinden, wo die erste Umverteilungsschicht 805 platziert sein soll.When the first redistribution passivation layer 801 the first redistribution vias 803 through the first redistribution passivation layer 801 be formed to make electrical connections with the package 600 and the TDVs 727 to undertake. For example, the first redistribution vias 803 be formed to make electrical contact with the contact pads 602 to manufacture. In some embodiments, the first redistribution vias 803 be formed using a damascene process, a dual damascene process, or some other process. After forming the first redistribution vias 803 becomes a first redistribution layer 805 over and in electrical communication with the first redistribution vias 803 educated. In some embodiments, the first redistribution layer 805 can be formed by initially forming a titanium-copper alloy seed layer (not shown) by a suitable forming process such as CVD or sputtering. A photoresist (i.e., not shown) can then be formed to cover the seed layer and the photoresist can then be patterned to expose the portions of the seed layer that are where the first redistribution layer is located 805 should be placed.

Wenn der Photoresist gebildet und strukturierte wurde, kann ein leitfähiges Material, wie etwa Kupfer, auf der Seed-Schicht durch einen Abscheidungsprozess wie Plattieren gebildet werden. Das leitfähige Material kann gebildet werden, eine Dicke zwischen ca. 1 µ\m und ca. 10 µm aufzuweisen, wie etwa ca. 4 µm. Während jedoch das besprochene Material und die Verfahren geeignet sind, das leitfähige Material zu bilden, sind diese Materialien rein beispielhaft. Alle anderen geeigneten Materialien, wie etwa AlCu oder Au, und alle anderen geeigneten Prozesse der Bildung, wie etwa CVD oder PVD, können alternativ verwendet werden, um die erste Umverteilungsschicht 805 zu bilden.Once the photoresist has been formed and patterned, a conductive material such as copper can be formed on the seed layer by a deposition process such as plating. The conductive material can be formed to have a thickness between about 1 µm and about 10 µm, such as about 4 µm. However, while the material and methods discussed are suitable for forming the conductive material, these materials are exemplary only. Any other suitable materials, such as AlCu or Au, and any other suitable processes of formation, such as CVD or PVD, can alternatively be used to form the first redistribution layer 805 to build.

Nach dem Bilden der ersten Umverteilungsschicht 805 kann eine zweite Umverteilungspassivierungsschicht 807 gebildet und strukturiert werden, um zu helfen, die erste Umverteilungsschicht 805 zu isolieren. In einigen Ausführungsformen kann die zweite Umverteilungspassivierungsschicht 807 ähnlich wie die erste Umverteilungspassivierungsschicht 801 sein, wie etwa ein Positivton-PBO, oder kann sich von der ersten Umverteilungspassivierungsschicht 801 unterscheiden, wie etwa ein Negativtonmaterial wie etwa ein bei niedriger Temperatur gehärtetes Polyimid. Die zweite Umverteilungspassivierungsschicht 807 kann auf eine Dicke von ca. 7 µm aufgebracht werden. Nach der Platzierung kann die Umverteilungspassivierungsschicht 807 strukturiert werden, um Öffnungen z. B. unter Verwendung eines photolithographischen Maskierungs- und Ätzprozesses oder, wenn das Material der zweiten Umverteilungspassivierungsschicht 807 photosensitiv ist, durch Belichten und Entwickeln des Materials der zweiten Umverteilungspassivierungsschicht 807, zu bilden. Jedes geeignete Material und Verfahren der Strukturierung können verwendet werden.After forming the first redistribution layer 805 may have a second redistribution passivation layer 807 be formed and patterned to help create the first redistribution layer 805 to isolate. In some embodiments, the second redistribution passivation layer 807 similar to the first redistribution passivation layer 801 such as a positive tone PBO, or may be different from the first redistribution passivation layer 801 such as a negative tone material such as a polyimide cured at low temperature. The second redistribution passivation layer 807 can be applied to a thickness of approx. 7 µm. After the placement, the redistribution passivation layer 807 be structured to make openings such. B. using a photolithographic masking and etching process or if the material of the second redistribution passivation layer 807 is photosensitive by exposing and developing the material of the second redistribution passivation layer 807 , to build. Any suitable material and method of structuring can be used.

Nachdem die zweite Umverteilungspassivierungsschicht 807 strukturiert wurde, kann eine zweite Umverteilungsschicht 809 gebildet werden, um sich durch die Öffnungen zu erstrecken, die in der zweiten Umverteilungspassivierungsschicht 807 gebildet sind, und eine elektrische Verbindung mit der ersten Umverteilungsschicht 805 herzustellen. In einigen Ausführungsformen kann die zweite Umverteilungsschicht 809 unter Verwendung von Materialien und Prozessen gebildet werden, die ähnlich wie die erste Umverteilungsschicht 805 sind. Beispielsweise kann eine Seed-Schicht aufgebracht und durch einen strukturierten Photoresist abgedeckt werden, ein leitfähiges Material wie Kupfer kann auf die Seed-Schicht aufgebracht werden, der strukturierte Photoresist kann entfernt werden, und die Seed-Schicht kann unter Verwendung des leitfähigen Materials als eine Maske geätzt werden. In einigen Ausführungsformen ist die zweite Umverteilungsschicht 809 auf eine Dicke von ca. 4 µm geätzt. Jedes geeignete Material oder jeder Prozess der Herstellung kann jedoch verwendet werden.After the second redistribution passivation layer 807 has been structured, a second redistribution layer can be used 809 be formed to extend through the openings formed in the second redistribution passivation layer 807 and an electrical connection to the first redistribution layer 805 to manufacture. In some embodiments, the second redistribution layer 809 can be formed using materials and processes similar to the first redistribution layer 805 are. For example, a seed layer can be applied and covered by a patterned photoresist, a conductive material such as copper can be applied to the seed layer, the patterned photoresist can be removed, and the seed layer can be used using the conductive material as a mask to be etched. In some embodiments, the second redistribution layer is 809 etched to a thickness of approx. 4 µm. However, any suitable material or process of manufacture can be used.

Nach dem Bilden der zweiten Umverteilungsschicht 809 wird eine dritte Umverteilungspassivierungsschicht 811 über der zweiten Umverteilungsschicht 809 aufgebracht, um zu helfen, die zweite Umverteilungsschicht 809 zu isolieren und zu schützen. In einigen Ausführungsformen kann die dritte Umverteilungspassivierungsschicht 811 aus ähnlichen Materialien und in einer ähnlichen Weise gebildet sein, wie die zweite Umverteilungspassivierungsschicht 807 mit einer Dicke von ca. 7 µm. Beispielsweise kann die dritte Umverteilungspassivierungsschicht 811 aus PBO oder einem bei niedriger Temperatur gehärtetem Polyimid gebildet sein, das wie oben bezüglich der zweiten Umverteilungspassivierungsschicht 1007 beschrieben aufgebracht und strukturiert wurde. Jedes geeignete Material oder jeder Prozess der Herstellung kann jedoch verwendet werden.After forming the second redistribution layer 809 becomes a third redistribution passivation layer 811 over the second Redistribution layer 809 applied to help the second redistribution layer 809 to isolate and protect. In some embodiments, the third redistribution passivation layer 811 be formed from similar materials and in a similar manner as the second redistribution passivation layer 807 with a thickness of approx. 7 µm. For example, the third redistribution passivation layer 811 may be formed from PBO or a low temperature cured polyimide as above with respect to the second redistribution passivation layer 1007 has been applied and structured as described. However, any suitable material or process of manufacture can be used.

Nachdem die dritte Umverteilungspassivierungsschicht 811 strukturiert wurde, kann eine dritte Umverteilungsschicht 813 gebildet werden, um sich durch die Öffnungen zu erstrecken, die in der dritten Umverteilungspassivierungsschicht 811 gebildet sind, und eine elektrische Verbindung mit der zweiten Umverteilungsschicht 809 herzustellen. In einigen Ausführungsformen kann die dritte Umverteilungsschicht 813 unter Verwendung von Materialien und Prozessen gebildet werden, die ähnlich wie die erste Umverteilungsschicht 805 sind. Beispielsweise kann eine Seed-Schicht aufgebracht und durch einen strukturierten Photoresist abgedeckt werden, ein leitfähiges Material wie Kupfer kann auf die Seed-Schicht aufgebracht werden, der strukturierte Photoresist kann entfernt werden, und die Seed-Schicht kann unter Verwendung des leitfähigen Materials als eine Maske geätzt werden. In einigen Ausführungsformen ist die dritte Umverteilungsschicht 813 auf eine Dicke von 5 µm geätzt. Jedes geeignete Material oder jeder Prozess der Herstellung kann jedoch verwendet werden.After the third redistribution passivation layer 811 has been structured, a third layer of redistribution can be implemented 813 be formed to extend through the openings formed in the third redistribution passivation layer 811 and an electrical connection to the second redistribution layer 809 to manufacture. In some embodiments, the third redistribution layer 813 can be formed using materials and processes similar to the first redistribution layer 805 are. For example, a seed layer can be applied and covered by a patterned photoresist, a conductive material such as copper can be applied to the seed layer, the patterned photoresist can be removed, and the seed layer can be used using the conductive material as a mask to be etched. In some embodiments, the third is redistribution layer 813 etched to a thickness of 5 µm. However, any suitable material or process of manufacture can be used.

Nach dem Bilden der dritten Umverteilungsschicht 813 kann eine vierte Umverteilungspassivierungsschicht 815 über der dritten Umverteilungsschicht 813 gebildet werden, um zu helfen, die dritte Umverteilungsschicht 813 zu isolieren und zu schützen. In einigen Ausführungsformen kann die vierte Umverteilungspassivierungsschicht 815 aus ähnlichen Materialien und in einer ähnlichen Weise gebildet sein, wie die zweite Umverteilungspassivierungsschicht 807. Beispielsweise kann die vierte Umverteilungspassivierungsschicht 815 aus PBO oder einem bei niedriger Temperatur gehärtetem Polyimid gebildet sein, das wie oben bezüglich der zweiten Umverteilungspassivierungsschicht 807 beschrieben aufgebracht und strukturiert wurde. In einigen Ausführungsformen ist die vierte Umverteilungspassivierungsschicht 815 auf eine Dicke von ca. 8 µm geätzt. Jedes geeignete Material oder jeder Prozess der Herstellung kann jedoch verwendet werden.After forming the third redistribution layer 813 may have a fourth redistribution passivation layer 815 above the third redistribution layer 813 be formed to help the third redistribution layer 813 to isolate and protect. In some embodiments, the fourth redistribution passivation layer 815 be formed from similar materials and in a similar manner as the second redistribution passivation layer 807 . For example, the fourth redistribution passivation layer 815 may be formed from PBO or a low temperature cured polyimide as above with respect to the second redistribution passivation layer 807 has been applied and structured as described. In some embodiments, the fourth redistribution passivation layer is 815 etched to a thickness of approx. 8 µm. However, any suitable material or process of manufacture can be used.

In anderen Ausführungsformen können die Umverteilungsdurchkontaktierungen und Umverteilungsschichten der Umverteilungsstruktur 800 unter Verwendung eines Damaszenprozesse gebildet werden, wie etwa eines Doppeldamaszenprozesses. Beispielsweise kann eine umverteilte Passivierungsschicht über dem Verkapselungsmittel 729 gebildet sein. Die erste Umverteilungspassivierungsschicht wird dann unter Verwendung eines oder mehrerer photolithographischer Schritte strukturiert, um beide Öffnungen für Durchkontaktierungen und Öffnungen für leitfähige Leitungen innerhalb der ersten Umverteilungspassivierungsschicht zu bilden. Ein leitfähiges Material kann in den Öffnungen für Durchkontaktierungen und den Öffnungen für leitfähige Leitungen gebildet werden, um die ersten Umverteilungsdurchkontaktierungen und die erste Umverteilungsschicht zu bilden. Weitere Umverteilungspassivierungsschichten können über der ersten Umverteilungspassivierungsschicht gebildet werden, und weitere Sätze von Umverteilungsdurchkontaktierungen und leitfähigen Leitungen können in den weiteren Umverteilungspassivierungsschichten gebildet werden, wie für die erste Umverteilungspassivierungsschicht beschrieben, und damit die Umverteilungsstruktur 800 bilden. Diese oder andere Techniken können verwendet werden, die Umverteilungsstruktur 800 zu bilden.In other embodiments, the redistribution vias and redistribution layers may be of the redistribution structure 800 can be formed using a damascene process, such as a double damascene process. For example, a redistributed passivation layer can be placed over the encapsulation agent 729 be educated. The first redistribution passivation layer is then patterned using one or more photolithographic steps to form both openings for vias and openings for conductive lines within the first redistribution passivation layer. A conductive material may be formed in the via openings and the conductive line openings to form the first redistribution vias and the first redistribution layer. Further redistribution passivation layers can be formed over the first redistribution passivation layer, and further sets of redistribution vias and conductive lines can be formed in the further redistribution passivation layers, as described for the first redistribution passivation layer, and thus the redistribution structure 800 form. These or other techniques can be used in the redistribution structure 800 to build.

25 illustriert weiterhin eine Bildung von Unterbumpmetallisierungen 819 und dritten externen Verbindungen 817, um elektrischen Kontakt mit der dritten Umverteilungsschicht 813 herzustellen. In einigen Ausführungsformen können die Unterbumpmetallisierungen 819 jeweils drei Schichten aus leitfähigen Materialien darstellen, wie etwa eine Schicht aus Titan, eine Schicht aus Kupfer und eine Schicht aus Nickel. Ein gewöhnlicher Fachmann auf dem Gebiet erkennt jedoch, dass es zahlreiche geeignete Anordnungen von Materialien und Schichten gibt, wie etwa eine Anordnung von Chrom/Chrom-Kupferlegierung/Kupfer/Gold, eine Anordnung von Titan/Titanwolfram/Kupfer oder eine Anordnung von Kupfer/Nickel/Gold, die sich für die Bildung der Unterbumpmetallisierungen 819 eignen. Alle geeigneten Materialien oder Materialschichten, die für die Unterbumpmetallisierungen 819 verwendet werden können, sind vollständig dafür vorgesehen, in dem Umfang der Ausführungsformen enthalten zu sein. 25th further illustrates a formation of sub-bump metallizations 819 and third external connections 817 to make electrical contact with the third redistribution layer 813 to manufacture. In some embodiments, the sub-bump metallizations 819 each represent three layers of conductive materials, such as a layer of titanium, a layer of copper and a layer of nickel. However, one of ordinary skill in the art will recognize that there are numerous suitable arrangements of materials and layers, such as an arrangement of chromium / chromium-copper alloy / copper / gold, an arrangement of titanium / titanium tungsten / copper, or an arrangement of copper / nickel / Gold, which is responsible for the formation of the sub-bump metallizations 819 suitable. Any suitable materials or layers of material used for the sub-bump metallization 819 are entirely intended to be included within the scope of the embodiments.

In einigen Ausführungsformen sind die Unterbumpmetallisierungen 819 durch Bilden jeder Schicht über der dritten Umverteilungsschicht 813 und entlang des Inneren der Öffnungen durch die vierte Umverteilungspassivierungsschicht 815 erzeugt. Das Bilden jeder Schicht kann unter Verwendung eines Plattierungsprozesses erfolgen, wie etwa einer elektrochemischen Plattierung, wobei jedoch andere Prozesse der Bildung, wie etwa Sputtern, Verdampfung oder ein PECVD-Prozess abhängig von den gewünschten Materialien verwendet werden können. Das Unterbumpmetallisierungen 819 können gebildet werden, eine Dicke zwischen ca. 0,7 µm und ca. 10 µm aufzuweisen, wie etwa ca. 5 µm.In some embodiments, the sub-bump metallizations are 819 by forming each layer over the third redistribution layer 813 and along the interior of the openings through the fourth redistribution passivation layer 815 generated. The formation of each layer can be done using a plating process, such as electrochemical plating, but other formation processes, such as sputtering, evaporation, or a PECVD process, depending on the desired materials can be used. The sub-bump metallization 819 can be formed to have a thickness between about 0.7 µm and about 10 µm, such as about 5 µm.

In einigen Ausführungsformen können die dritten externen Verbinder 817 auf den Unterbumpmetallisierungen 819 platziert sein und ein Kugelgitterarray (BGA) sein, das ein eutektisches Material wie Lot umfasst, wobei jedoch alle geeigneten Materialien verwendet werden können. In einigen Ausführungsformen, in denen die dritten externen Verbinder 817 Lötkugeln sind, können die dritten externen Verbinder 817 unter Verwendung eines Kugelfallverfahrens gebildet werden, wie etwa eines direkten Kugelfallverfahrens. In einer anderen Ausführungsform können die Lötkugeln durch anfängliches Bilden einer Schicht aus Zinn durch ein beliebiges geeignetes verfahren wie Verdampfung, Elektroplattierung, Druck, Löttransfer und dann Durchführen eines Aufschmelzens zum Formen des Materials in die gewünschte Bumpform gebildet werden. Wenn die dritten externen Verbinder 817 gebildet wurden, kann ein Test ausgeführt werden, um sicherzustellen, dass sich die Struktur für die weitere Verarbeitung eignet.In some embodiments, the third external connectors 817 on the underbump metallization 819 and a ball grid array (BGA) comprising a eutectic material such as solder, but any suitable material can be used. In some embodiments, the third external connector 817 Solder balls can be the third external connector 817 be formed using a ball drop method, such as a direct ball drop method. In another embodiment, the solder balls can be formed by initially forming a layer of tin by any suitable method such as evaporation, electroplating, printing, solder transfer and then performing reflow to shape the material into the desired bump shape. When the third external connector 817 a test can be run to ensure that the structure is suitable for further processing.

26 illustriert eine Bondung eines Vorrichtungspackage 900 mit den TDVs 727 durch die Polymerschicht 725. Vor der Bondung des Vorrichtungspackage 900 werden das Trägersubstrat 721 und die Haftschicht 723 von der Polymerschicht 725 entfernt. Die Polymerschicht 725 ist auch strukturiert, um die TDVs 727 offenzulegen. In einigen Ausführungsformen kann die Polymerschicht 725 z. B. unter Verwendung eines Laserbohrverfahrens strukturiert werden. In einem solchen Verfahren wird eine Schutzschicht, wie etwa eine Licht-zu-Wärme-Konvertierungsschicht (LTHC-Schicht) oder eine Hogomax-Schicht (nicht separat illustriert) zuerst über der Polymerschicht 725 abgeschieden. Nach dem Schutz wird ein Laser auf die Abschnitte der Polymerschicht 725 gerichtet, die entfernt werden sollen, um die darunterliegenden TDVs 727 zu belichten. Während des Laserbohrprozesses kann die Bohrenergie in einem Bereich von 0,1 mJ bis ca. 30 mJ liegen, und ein Bohrwinkel bei ca. o Grad (rechtwinklig zur Polymerschicht 725) bis ca. 85 Grad zum rechten Winkel zur Polymerschicht 725 liegen. In einigen Ausführungsformen kann die Strukturierung gebildet werden, um Öffnungen über den TDVs 727 mit einer Breite zwischen ca. 100 µm und ca. 300 µm zu bilden, wie etwa ca. 200 µm. 26th illustrates bonding of a device package 900 with the TDVs 727 through the polymer layer 725 . Before bonding the device package 900 become the carrier substrate 721 and the adhesive layer 723 from the polymer layer 725 away. The polymer layer 725 is also structured around the TDVs 727 to disclose. In some embodiments, the polymer layer can 725 z. B. can be structured using a laser drilling process. In one such process, a protective layer such as a light-to-heat conversion layer (LTHC) or a Hogomax layer (not separately illustrated) is first placed over the polymer layer 725 deposited. After protection, a laser is applied to the sections of the polymer layer 725 directed to be removed to the underlying TDVs 727 to expose. During the laser drilling process, the drilling energy can be in a range from 0.1 mJ to approx. 30 mJ, and a drilling angle of approx. 0 degrees (perpendicular to the polymer layer 725 ) up to approx. 85 degrees to the right angle to the polymer layer 725 lie. In some embodiments, the pattern can be formed to provide openings over the TDVs 727 with a width between about 100 µm and about 300 µm, such as about 200 µm.

In einer anderen Ausführungsform kann die Polymerschicht 725 durch anfängliches Aufbringen eines Photoresist (nicht einzeln illustriert) auf die Polymerschicht 725 und dann Belichten des Photoresist mit einer strukturierten Energiequelle (z. B. einer strukturierten Lichtquelle), um eine chemische Reaktion auszulösen, strukturiert werden, wodurch eine physische Änderung der Abschnitte des Photoresist induziert wird, der mit der strukturierten Lichtquelle belichtet wurde. Dann wird ein Entwickler auf das belichtete Photoresist aufgebracht, um die physischen Änderungen zu nutzen und selektiv entweder den belichteten Abschnitt des Photoresist oder nichtbelichteten Abschnitt des Photoresist zu entfernen, abhängig von der gewünschten Struktur, und der darunterliegende belichtete Abschnitt der Polymerschicht 725 wird z. B. mit einem Trockenätzprozess entfernt. Jedes andere geeignete Verfahren zum Strukturieren der Polymerschicht 725 kann jedoch verwendet werden.In another embodiment, the polymer layer 725 by initially applying a photoresist (not individually illustrated) to the polymer layer 725 and then exposing the photoresist to a patterned energy source (e.g., a patterned light source) to initiate a chemical reaction, patterned, thereby inducing a physical change in the portions of the photoresist exposed to the patterned light source. A developer is then applied to the exposed photoresist to take advantage of the physical changes and selectively remove either the exposed portion of the photoresist or the unexposed portion of the photoresist, depending on the structure desired, and the underlying exposed portion of the polymer layer 725 is z. B. removed with a dry etching process. Any other suitable method of structuring the polymer layer 725 however, it can be used.

In einigen Ausführungsformen umfasst das Vorrichtungspackage 900 ein Substrat 902 und ein oder mehrere gestapelte Dies 910 (z. B. 910A und 910B), die mit dem Substrat 902 gekoppelt sind. Auch wenn nur ein Satz gestapelter Dies 910A/910B illustriert ist, können in anderen Ausführungsformen mehrere gestapelte Dies 910 (von denen jedes einen oder mehrere gestapelte Dies aufweist) Seite an Seite angeordnet und mit einer selben Fläche des Substrats 902 gekoppelt sein. Das Substrat 902 kann aus einem Halbleitermaterial wie Silizium, Germanium, Diamant oder dergleichen bestehen. In einigen Ausführungsformen können Verbindungsmaterialien wie Silizium Germanium, Siliziumcarbid, Galliumarsen, Indiumarsenid, Indiumphosphid, Siliziumgermaniumcarbid, Galliumarsenphosphid, Galliumindiumphosphid, Kombinationen daraus und dergleichen ebenfalls verwendet werden. Weiterhin kann das Substrat 902 ein Silizium-auf-Isolator-Substrat (SOI-Substrat) sein. Allgemein umfasst ein SOI-Substrat eine Schicht aus einem Halbleitermaterial wie etwa epitaktischem Silizium, Germanium, Siliziumgermanium, SOI, Siliziumgermanium auf Isolator (SGOI) oder Kombinationen daraus. Das Substrat 902 basiert in einer alternativen Ausführungsform auf einem Isolierungskern wie etwa einem glasfaserverstärkten Harzkern. Ein beispielhaftes Kernmaterial ist Glafaserharz wie FR4. Alternativen für das Kernmaterial umfassen Bismaleimidtriazinharz (BT-Harz) oder alternativ dazu andere Platinenmaterialien (PCB-Materialien) oder Filme. Aufbaufilme wie Ajinomoto-Aufbaufilm (ABF) oder andere Laminate können für das Substrat 902 verwendet werden.In some embodiments, the device package comprises 900 a substrate 902 and one or more stacked dies 910 (e.g. 910A and 910B) that come with the substrate 902 are coupled. Even if just a set of stacked dies 910A / 910B As illustrated, in other embodiments, multiple stacked dies 910 (each of which has one or more stacked dies) arranged side by side and with a same face of the substrate 902 be coupled. The substrate 902 can consist of a semiconductor material such as silicon, germanium, diamond or the like. In some embodiments, interconnect materials such as silicon germanium, silicon carbide, gallium arsenic, indium arsenide, indium phosphide, silicon germanium carbide, gallium arsenic phosphide, gallium indium phosphide, combinations thereof, and the like can also be used. Furthermore, the substrate 902 be a silicon-on-insulator (SOI) substrate. In general, an SOI substrate comprises a layer of a semiconductor material such as epitaxial silicon, germanium, silicon germanium, SOI, silicon germanium on insulator (SGOI), or combinations thereof. The substrate 902 is based in an alternative embodiment on an insulation core such as a glass fiber reinforced resin core. An exemplary core material is fiberglass resin such as FR4. Alternatives for the core material include bismaleimide triazine resin (BT resin) or, alternatively, other circuit board (PCB) materials or films. Build-up films such as Ajinomoto Build-Up Film (ABF) or other laminates can be used for the substrate 902 be used.

Das Substrat 902 kann aktive und passive Vorrichtungen umfassen (nicht dargestellt). Eine große Vielzahl von Vorrichtungen wie Transistoren, Kondensatoren, Widerstände, Kombinationen daraus und dergleichen können verwendet werden, um die strukturellen und funktionalen Anforderungen des Designs für das Vorrichtungspackage 900 zu erzeugen. Die Vorrichtungen können unter Verwendung beliebiger Verfahren gebildet werden.The substrate 902 may include active and passive devices (not shown). A wide variety of devices, such as transistors, capacitors, resistors, combinations thereof, and the like, can be used to meet the structural and functional design requirements for the device package 900 to create. The devices can be formed using any number of methods.

Das Substrat 902 kann auch Metallisierungsschichten oder leitfähige Durchkontaktierungen (nicht dargestellt) umfassen. Die Metallisierungsschichten können über den aktiven und passiven Vorrichtungen gebildet sein und die verschiedenen Vorrichtungen zum Bilden einer funktionalen Schaltungsanordnung verbinden. Die Metallisierungsschichten können aus abwechselnden Schichten von dielektrischem (z. B. Dielektrikum mit niedrigem k-Wert) und leitfähigem Material (z. B. Kupfer) gebildet werden, wobei Durchkontaktierungen die Schichten aus leitfähigem Material verbinden und durch jeden geeigneten Prozess gebildet werden können (wie etwa Abscheidung, Damaszen, Dualdamaszen oder dergleichen). In einigen Ausführungsformen ist das Substrat 902 im Wesentlichen frei von aktiven und passiven Vorrichtungen.The substrate 902 can also be metallization layers or conductive vias (not shown). The metallization layers can be formed over the active and passive devices and interconnect the various devices to form functional circuitry. The metallization layers can be formed from alternating layers of dielectric (e.g., low-k dielectric) and conductive material (e.g., copper), with vias connecting the layers of conductive material and being formed by any suitable process ( such as deposition, damascene, dual damascene or the like). In some embodiments, the substrate is 902 essentially free of active and passive devices.

Das Substrat 902 kann Bondpads 904 auf einer ersten Seite des Substrats 902, um die gestapelten Dies 910 zu koppeln, und Bondpads 906 auf einer zweiten Seite des Substrats 902, wobei die zweite Seite der ersten Seite des Substrats 902 gegenüber liegt, um die externen Verbindungen 901 zu koppeln, aufweisen. In einigen Ausführungsformen werden die Bondpads 904 und 906 durch Bilden von Ausschnitten (nicht dargestellt) in Dielektrikumsschichten (nicht dargestellt) auf der ersten und zweiten Seite des Substrats 902 gebildet. Die Ausschnitte können gebildet werden, um die Bondpads 904 und 906 in die Dielektrikumsschichten einbetten zu lassen. In anderen Ausführungsformen sind die Ausschnitte weggelassen, da die Bondpads 904 und 906 auf der Dielektrikumsschicht gebildet sein können. In einigen Ausführungsformen umfassen die Bondpads 904 und 906 eine dünne Seed-Schicht (nicht dargestellt) aus Kupfer, Titan, Nickel, Gold, Palladium, dergleichen oder eine Kombination daraus. Das leitfähige Material der Bondpads 904 und 906 kann über der dünnen Seed-Schicht abgeschieden werden. Das leitfähige Material kann durch einen elektrochemischen Plattierungsprozess, einen elektrolosen Plattierungsprozess, CVD, Atomlagenabscheidung (ALD), PVD, dergleichen oder eine Kombination daraus gebildet werden. In einer Ausführungsform ist das leitfähige Material der Bondpads 904 und 906 Kupfer, Wolfram, Aluminium, Silber, Gold, dergleichen oder eine Kombination daraus.The substrate 902 can bond pads 904 on a first side of the substrate 902 to get the stacked dies 910 to pair, and bond pads 906 on a second side of the substrate 902 , the second side being the first side of the substrate 902 opposite to the external connections 901 to couple, exhibit. In some embodiments, the bond pads 904 and 906 by forming cutouts (not shown) in layers of dielectric (not shown) on the first and second sides of the substrate 902 educated. The cutouts can be made to accommodate the bond pads 904 and 906 to be embedded in the dielectric layers. In other embodiments, the cutouts are omitted because the bond pads 904 and 906 may be formed on the dielectric layer. In some embodiments, the bond pads include 904 and 906 a thin seed layer (not shown) of copper, titanium, nickel, gold, palladium, the like, or a combination thereof. The conductive material of the bond pads 904 and 906 can be deposited over the thin seed layer. The conductive material can be formed by an electrochemical plating process, an electroless plating process, CVD, atomic layer deposition (ALD), PVD, the like, or a combination thereof. In one embodiment, the conductive material is the bond pads 904 and 906 Copper, tungsten, aluminum, silver, gold, the like, or a combination thereof.

In einer Ausführungsform sind die Bondpads 904 und Bondpads 906 UBMs, die drei Schichten leitfähiger Materialien umfassen, wie etwa einer Schicht aus Titan, einer Schicht aus Kupfer und einer Schicht aus Nickel. Andere Anordnungen von Materialien und Schichten gibt, wie etwa eine Anordnung von Chrom/Chrom-Kupferlegierung/Kupfer/Gold, eine Anordnung von Titan/Titanwolfram/Kupfer oder eine Anordnung von Kupfer/Nickel/Gold können zur Bildung der Bondpads 904 und 906 verwendet werden. Alle geeigneten Materialien oder Materialschichten, die für die Bondpads 904 und 906 verwendet werden können, sind vollständig dafür vorgesehen, in dem Umfang der aktuellen Anmeldung enthalten zu sein. In einigen Ausführungsformen erstrecken sich die leitfähigen Durchkontaktierungen durch das Substrat 902 und koppeln mindestens eines der Bondpads 904 mit mindestens einem der Bondpads 906.In one embodiment, the bond pads are 904 and bond pads 906 UBMs that include three layers of conductive materials, such as a layer of titanium, a layer of copper, and a layer of nickel. Other arrangements of materials and layers exist, such as an arrangement of chromium / chromium-copper alloy / copper / gold, an arrangement of titanium / titanium tungsten / copper or an arrangement of copper / nickel / gold can be used to form the bond pads 904 and 906 be used. Any suitable materials or layers of material used for the bond pads 904 and 906 are fully intended to be included in the scope of the current application. In some embodiments, the conductive vias extend through the substrate 902 and couple at least one of the bond pads 904 with at least one of the bond pads 906 .

In der illustrierten Ausführungsform sind die gestapelten Dies 910 mit dem Substrat 902 durch DrahtVerbindungen 912 gekoppelt, wobei jedoch andere Verbindungen verwendet werden können, wie etwa leitfähige Bumps. In einer Ausführungsform sind die gestapelten Dies 910 gestapelte Speicherdies. Beispielsweise können die gestapelten Dies 910 Speicherdies sein, wie etwa Niederleistungs-Doppeldatenraten-Speichermodule (LP-DDR-Speichermodule), wie etwa LPDDR1, LPDDR2, LPDDR3, LPDDR4 oder dergleichen Speichermodule.In the illustrated embodiment, the stacked are dies 910 with the substrate 902 by wire connections 912 coupled, but other connections such as conductive bumps can be used. In one embodiment, the stacked are dies 910 stacked memory this. For example, the stacked dies 910 Memories such as low performance double data rate memory modules (LP-DDR memory modules) such as LPDDR1, LPDDR2, LPDDR3, LPDDR4 or the like memory modules.

Die gestapelten Dies 910 und die DrahtVerbindungen 912 können mit einem Formmaterial 914 verkapselt sein. Das Formmaterial 914 kann auf die gestapelten Dies 910 und die DrahtVerbindungen 912 beispielsweise durch Druckguss geformt sein. In einigen Ausführungsformen ist das Formmaterial 914 eine Formmasse, ein Polymer, ein Epoxid, Siliziumoxidfüllermaterial, dergleichen oder eine Kombination daraus. Ein Härteprozess kann ausgeführt werden, um das Formmaterial 914 zu härten. Der Härteprozess kann eine Wärmehärtung, eine UV-Härtung, dergleichen oder eine Kombination daraus sein.The stacked dies 910 and the wire connections 912 can with a molding material 914 be encapsulated. The molding material 914 can be stacked on the dies 910 and the wire connections 912 be formed for example by die casting. In some embodiments, the molding material is 914 a molding compound, a polymer, an epoxy, silicon oxide filler material, the like, or a combination thereof. A hardening process can be carried out to the molding material 914 to harden. The hardening process can be a thermal hardening, a UV hardening, the like or a combination thereof.

In einigen Ausführungsformen sind die gestapelten Dies 910 und die DrahtVerbindungen 912 in dem Formmaterial 914 vergraben und nach dem Härten des Formmaterials 914 wird ein Planarisierungsschritt, wie etwa ein Schleifen, ausgeführt, um überschüssige Abschnitte des Formmaterials 914 zu entfernen und eine im Wesentlichen flache Fläche für das Vorrichtungspackage 900 bereitzustellen.In some embodiments the stacked dies are 910 and the wire connections 912 in the molding material 914 buried and after the molding material has hardened 914 For example, a planarization step such as grinding is carried out to remove excess portions of the molding material 914 and a substantially flat surface for the device package 900 provide.

In einigen Ausführungsformen können externe Verbindungen 901 gebildet sein, um eine externe Verbindung zwischen dem Vorrichtungspackage 900 und z. B. den TDVs 727 bereitzustellen. Die externen Verbindungen 901 können Kontaktbumps wie etwa Mikrobumps oder Controller-Collapse-Chip-Connection-Bumps (C4-Bumps) sein und können ein Material wie Zinn oder andere geeignete Materialien wie Silber oder Kupfer umfassen. In einigen Ausführungsformen, in denen die externen Verbindungen 901 Zinnlötbumps sind, können die externen Verbindungen 901 durch anfängliches Bilden einer Schicht aus Zinn durch jedes geeignete Verfahren, wie etwa Verdampfung, Elektroplattierung, Druck, Löttransfer, Kugelersatz oder dergleichen, bis zu einer Dicke von z. B. ca. 100 µm gebildet werden. Wenn eine Schicht Zinn auf der Struktur gebildet wurde, wird ein Aufschmelzen ausgeführt, um das Material in die gewünschte Bumpform zu formen.In some embodiments, external connections can be used 901 be formed to provide an external connection between the device package 900 and Z. B. the TDVs 727 provide. The external connections 901 may be contact bumps such as microbumps or controller collapse chip connection bumps (C4 bumps) and may comprise a material such as tin or other suitable materials such as silver or copper. In some embodiments, the external connections 901 Tin solder bumps can make the external connections 901 by initially forming a layer of tin by any suitable method such as evaporation, electroplating, printing, solder transfer, ball replacement or the like to a thickness of e.g. B. about 100 microns are formed. When a layer of tin has been formed on the structure, reflow is carried out to shape the material into the desired bump shape.

Wenn die externen Verbindungen 901 gebildet wurden, werden die externen Verbindungen 901 an den TDVs 727 ausgerichtet und darüber platziert, und eine Verbindung wird ausgeführt. Beispielsweise kann in einigen Ausführungsformen, in denen externe Verbindungen 901 Lötbumps sind, der Bondungsprozess einen Aufschmelzprozess umfassen, wodurch die Temperatur der externen Verbindungen 901 auf einen Punkt erhöht wird, an dem sich die externen Verbindungen 901 verflüssigen und fließen, sodass sie das Vorrichtungspackage 900 an die TDVs 727 bonden, wenn die externen Verbindungen 901 wieder erhärten. Ein Verkapselungsmaterial 903 kann gebildet sein, um das Vorrichtungspackage 900 zu verkapseln und zu schützen. Das Verkapselungsmaterial 903 kann sich zwischen der Polymerschicht 725 und dem Vorrichtungspackage 900 erstrecken und kann in einigen Ausführungsformen eine Unterfüllung darstellen. In dieser Weise kann eine Packagestruktur 1000 gebildet werden.When the external connections 901 are formed, the external connections 901 at the TDVs 727 aligned and placed on top, and a connection is made. For example, in some embodiments that require external connections 901 Solder bumps are the bonding process that involve a reflow process, which increases the temperature of the external connections 901 is increased to a point where the external connections 901 liquefy and flow, making them the device package 900 to the TDVs 727 bond when the external connections 901 harden again. An encapsulation material 903 can be formed around the device package 900 to encapsulate and protect. The encapsulation material 903 can be between the polymer layer 725 and the device package 900 and may represent an underfill in some embodiments. In this way a package structure 1000 are formed.

Ausführungsformen können Vorteile erzielen. Durch Bilden der Bondpaddurchkontaktierungen (BPVs) und der Bondpads eines Dies in zwei verschiedenen Verarbeitungsschritten können die Größe und/oder Trennung (z. B. der Abstand) von Merkmalen wie Metallleitungen, leitfähigen Pads, den BPVs, und/oder den Bondpads verringert werden. Beispielsweise können durch Bilden der BPVs in einem ersten Photolithographie- und Ätzschritt näher an anderen Merkmalen gebildet werden, wie etwa leitfähigen Pads (z. B. Aluminiumpads), ohne eine erhöhte Wahrscheinlichkeit von Prozessmängeln wie elektrischen Kurzschlüssen. Auf diese Weise kann die Größe eines Dies oder eines Packages, das ein Die umfasst, verringert werden. Weiterhin kann die Routingdichte des Dies oder des Packages erhöht werden. In einigen Fällen kann ein erstes Bondpad eines ersten Dies so gebildet sein, dass seine gesamte Bondungsfläche mit dem entsprechenden zweiten Bondpad eines zweiten Dies verbunden ist, auch, wenn während des Bondungsprozesses eine Verschiebung auftritt. Beispielsweise kann das erste Bondpad des ersten Dies eine Breite aufweisen, die kleiner ist als das zweite Bondpad, sodass die gesamte Bondungsfläche des ersten Bondpads in Kontakt mit dem zweiten Bondpad bleibt, auch, wenn eine gewisse Verschiebung zwischen dem ersten Bondpad und dem zweiten Bondpad vorliegt. Auf diese Weise kann ein Package, das verbundene Dies umfasst, einen verbesserten Kontaktwiderstand zwischen verbundenen Pads aufweisen, wenn eine Verschiebung auftritt.Embodiments can achieve advantages. By forming the bond pad vias (BPVs) and bond pads of a die in two different processing steps, the size and / or separation (e.g., spacing) of features such as metal lines, conductive pads, the BPVs, and / or the bond pads can be reduced. For example, by forming the BPVs in a first photolithography and etching step, closer to other features such as conductive pads (e.g., aluminum pads) can be formed without an increased likelihood of process defects such as electrical shorts. In this way, the size of a die or a package comprising a die can be reduced. Furthermore, the routing density of the die or the package can be increased. In some cases, a first bond pad of a first die can be formed such that its entire bonding surface is connected to the corresponding second bond pad of a second die, even if a displacement occurs during the bonding process. For example, the first bond pad of the first die can have a width that is smaller than the second bond pad, so that the entire bonding area of the first bond pad remains in contact with the second bond pad, even if there is a certain displacement between the first bond pad and the second bond pad . In this manner, a package including connected dies can have improved contact resistance between connected pads when displacement occurs.

In einer Ausführungsform umfasst eine Vorrichtung eine Interconnectstruktur über einem Halbleitersubstrat, wobei die Interconnectstruktur erste leitfähige Pads, eine erste Dielektrikumsschicht über der Interconnectstruktur, Bondpaddurchkontaktierungen in der ersten Dielektrikumsschicht, wobei jede Bondpaddurchkontaktierung der Bondpaddurchkontaktierungen eine erste Barriereschicht, die sich entlang von Seitenwänden der ersten Dielektrikumsschicht und über ein erstes leitfähiges Pad der ersten leitfähige Pads erstreckt, und ein erstes leitfähiges Material über der ersten Barriereschicht umfasst, wobei eine obere Fläche des ersten leitfähigen Materials und eine obere Fläche der ersten Barriereschicht koplanar sind, eine zweite Dielektrikumsschicht über der ersten Dielektrikumsschicht und erste Bondpads innerhalb der zweiten Dielektrikumsschicht, wobei jedes erste Bondpad eine zweite Barriereschicht, die sich entlang von Seitenwänden der zweiten Dielektrikumsschicht und auf dem ersten leitfähigen Material und die erste Barriereschicht einer ersten Bondpaddurchkontaktierung der Bondpaddurchkontaktierungen erstreckt umfasst, wobei die zweite Barriereschicht vollständig die obere Fläche des ersten leitfähigen Materials und die obere Fläche der ersten Bondpaddurchkontaktierung bedeckt, und ein zweites leitfähiges Material über der zweiten Barriereschicht umfasst. In einer Ausführungsform umfasst die Vorrichtung ferner eine dritte Dielektrikumsschicht, die sich über Seitenwände der ersten Dielektrikumsschicht, die Interconnectstruktur und das Halbleitersubstrat erstreckt. In einer Ausführungsform erstreckt sich die zweite Dielektrikumsschicht über die dritte Dielektrikumsschicht und die erste Dielektrikumsschicht. In einer Ausführungsform umfasst die Vorrichtung ferner ein Aluminiumpad innerhalb der ersten Dielektrikumsschicht, wobei das Aluminiumpad ein erstes leitfähiges Pad der ersten leitfähigen Pads kontaktiert. In einer Ausführungsform kontaktiert eine Bondpaddurchkontaktierung das Aluminiumpad. In einer Ausführungsform umfasst die Vorrichtung ferner eine Passivierungsschicht, die sich über die ersten leitfähigen Pads erstreckt, wobei sich die Bondpaddurchkontaktierungen durch die Passivierungsschicht erstrecken. In einer Ausführungsform sind aneinander angrenzende erste leitfähige Pads lateral durch eine Distanz getrennt, die zwischen 2 µm und 20 µm beträgt. In einer Ausführungsform umfasst die zweite Barriereschicht Titan, Titannitrid, Tantal oder Tantalnitrid.In one embodiment, a device comprises an interconnect structure over a semiconductor substrate, the interconnect structure having first conductive pads, a first dielectric layer over the interconnect structure, bond pad vias in the first dielectric layer, each bond pad vias of the bond pad vias having a first barrier layer extending along sidewalls of the first dielectric layer extending over a first conductive pad of the first conductive pads, and comprising a first conductive material over the first barrier layer, wherein a top surface of the first conductive material and a top surface of the first barrier layer are coplanar, a second dielectric layer over the first dielectric layer, and first bond pads within the second dielectric layer, with each first bond pad having a second barrier layer extending along sidewalls of the second dielectric layer and on top of the first lei The conductive material and the first barrier layer of a first bond pad via of the bond pad vias, the second barrier layer completely covering the top surface of the first conductive material and the top surface of the first bond pad via, and comprising a second conductive material over the second barrier layer. In one embodiment, the device further comprises a third dielectric layer, which extends over sidewalls of the first dielectric layer, the interconnect structure and the semiconductor substrate. In one embodiment, the second dielectric layer extends over the third dielectric layer and the first dielectric layer. In one embodiment, the device further comprises an aluminum pad within the first dielectric layer, wherein the aluminum pad contacts a first conductive pad of the first conductive pads. In one embodiment, a bond pad via contacts the aluminum pad. In one embodiment, the device further comprises a passivation layer that extends over the first conductive pads, wherein the bond pad vias extend through the passivation layer. In one embodiment, adjoining first conductive pads are laterally separated by a distance which is between 2 μm and 20 μm. In one embodiment, the second barrier layer comprises titanium, titanium nitride, tantalum or tantalum nitride.

In einer Ausführungsform umfasst ein Package ein erstes Die, das eine erste Metallisierungsschicht, eine oder mehrere erste Bondpaddurchkontaktierungen auf der ersten Metallisierungsschicht, wobei sich eine erste Barriereschicht über die erste Metallisierungsschicht zwischen jeder ersten Bondpaddurchkontaktierung und der ersten Metallisierungsschicht erstreckt, und ein oder mehrere erste Bondpads auf der einen oder den mehreren ersten Bondpaddurchkontaktierungen, wobei sich eine zweite Barriereschicht über jede erste Bondpaddurchkontaktierung zwischen einem ersten Bondpad und der ersten Bondpaddurchkontaktierung erstreckt, umfasst, und ein zweites Die, das ein oder mehrere zweite Bondpads umfasst, wobei ein zweites Bondpad mit einem ersten Bondpad des ersten Dies verbunden ist. In einer Ausführungsform umfasst das erste Die eine erste Bondungsschicht, wobei das erste Bondpad innerhalb der ersten Bondungsschicht angeordnet ist, das zweite Die umfasst eine zweite Bondungsschicht, wobei das zweite Bondpad innerhalb der zweiten Bondungsschicht angeordnet ist, und die erste Bondungsschicht ist mit der zweiten Bondungsschicht verbunden. In einer Ausführungsform liegt eine Breite des ersten Bondpads zwischen 95% und 150% einer Breite des zweiten Bondpads. In einer Ausführungsform liegt eine Breite des zweiten Bondpads zwischen 95% und 150% einer Breite des ersten Bondpads. In einer Ausführungsform umfasst das zweite Die ferner eine Durchkontaktierung, wobei die Durchkontaktierung mit dem ersten Bondpad des ersten Dies verbunden ist. In einer Ausführungsform umfasst das Package ferner ein leitfähiges Pad auf der ersten Metallisierungsschicht, wobei das leitfähige Pad ein anderes leitfähiges Material umfasst als die eine oder die mehreren ersten Bondpaddurchkontaktierungen. In einer Ausführungsform ist das leitfähige Pad lateral durch eine Distanz, die zwischen 2 µm und 100 µm liegt, von einer angrenzenden ersten Bondpaddurchkontaktierung getrennt.In one embodiment, a package comprises a first die having a first metallization layer, one or more first bond pad vias on the first metallization layer, wherein a first barrier layer extends over the first metallization layer between each first bond pad via and the first metallization layer, and one or more first bond pads on the one or more first bond pad vias, wherein a second barrier layer extends over each first bond pad via between a first bond pad and the first bond pad via, and a second die that includes one or more second bond pads, wherein a second bond pad is connected to a first bond pad of the first die. In one embodiment, the first die comprises a first bonding layer, wherein the first bond pad is arranged within the first bonding layer, the second die comprises a second bonding layer, wherein the second bond pad is arranged within the second bonding layer, and the first bonding layer is with the second bonding layer connected. In one embodiment, a width of the first bond pad is between 95% and 150% of a width of the second bond pad. In one embodiment, a width of the second bond pad is between 95% and 150% of a width of the first bond pad. In one embodiment, the second die further comprises a via, the via being connected to the first bond pad of the first die. In one embodiment, the package further comprises a conductive pad on the first metallization layer, wherein the conductive pad comprises a different conductive material than the one or more first bond pad vias. In one embodiment, the conductive pad is laterally separated from an adjacent first bond pad via by a distance which is between 2 μm and 100 μm.

In einer Ausführungsform umfasst ein Verfahren das Bilden einer Interconnectstruktur auf einer oberen Fläche eines Halbleitersubstrats, die Interconnectstruktur umfassend ein erstes leitfähiges Pad, Bilden einer ersten Dielektrikumsschicht über der Interconnectstruktur, Ätzen der ersten Dielektrikumsschicht zum Bilden einer ersten Öffnung, die das erste leitfähige Pad offenlegt, Abscheiden einer ersten Barriereschicht innerhalb der ersten Öffnung in der ersten Dielektrikumsschicht, Abscheiden eines ersten leitfähigen Materials innerhalb der ersten Öffnung und auf der ersten Barriereschicht, Bilden einer zweiten Dielektrikumsschicht über der ersten Dielektrikumsschicht, Ätzen der zweiten Dielektrikumsschicht zum Bilden einer zweiten Öffnung, die das erste leitfähige Material offenlegt, Abscheiden einer zweiten Barriereschicht innerhalb der zweiten Öffnung in der zweiten Dielektrikumsschicht, Abscheiden eines zweiten leitfähigen Materials innerhalb der zweiten Öffnung und an der zweiten Barriereschicht, und Bonden eines Halbleiterdies an die zweite Dielektrikumsschicht, wobei der Halbleiterdie eine Bondungsschicht und ein Bondpad umfasst, wobei die Bondung die Bondungsschicht des Halbleiterdies mit der zweiten Dielektrikumsschicht verbindet und das Bondpad des Halbleiterdies an das zweite leitfähige Material bondet. In einer Ausführungsform umfasst das Verfahren nach dem Abscheiden des ersten leitfähigen Materials das Bilden einer Opferschicht über der ersten Dielektrikumsschicht und dem ersten leitfähigen Material, das Befestigen der Opferschicht an einer ersten Trägerstruktur, das Ausdünnen des Halbleitersubstrats und das Entfernen der ersten Trägerstruktur und der Opferschicht, wobei die zweite Dielektrikumsschicht über der ersten Dielektrikumsschicht gebildet ist, nachdem die Opferschicht entfernt wird. In einer Ausführungsform umfasst das Verfahren das Bilden einer Passivierungsschicht über der Interconnectstruktur und das Bilden eines leitfähigen Pads über der Passivierungsschicht, wobei die erste Dielektrikumsschicht über dem leitfähigen Pad und der Passivierungsschicht gebildet wird. In einer Ausführungsform weist das Bondpad des Halbleiterdies eine laterale Breite auf, die geringer ist als das zweite leitfähige Material. In einer Ausführungsform weist das Bondpad des Halbleiterdies eine laterale Breite auf, die größer ist als das zweite leitfähige Material.In one embodiment, a method includes forming an interconnect structure on a top surface of a semiconductor substrate, the interconnect structure including a first conductive pad, forming a first dielectric layer over the interconnect structure, etching the first dielectric layer to form a first opening that exposes the first conductive pad, Depositing a first barrier layer within the first opening in the first dielectric layer, depositing a first conductive material within the first opening and on the first barrier layer, forming a second dielectric layer over the first dielectric layer, etching the second dielectric layer to form a second opening that the first exposing conductive material, depositing a second barrier layer within the second opening in the second dielectric layer, depositing a second conductive material within the second opening and on the second Barrier layer, and bonding a semiconductor die to the second dielectric layer, the semiconductor die comprising a bonding layer and a bond pad, wherein the bond connects the bonding layer of the semiconductor die to the second dielectric layer and bonds the bond pad of the semiconductor die to the second conductive material. In one embodiment, the method comprises, after depositing the first conductive material, forming a sacrificial layer over the first dielectric layer and the first conductive material, attaching the sacrificial layer to a first carrier structure, thinning the semiconductor substrate and removing the first carrier structure and the sacrificial layer, wherein the second dielectric layer is formed over the first dielectric layer after the sacrificial layer is removed. In one embodiment, the method includes forming a passivation layer over the interconnect structure and forming a conductive pad over the passivation layer, wherein the first dielectric layer is formed over the conductive pad and the passivation layer. In one embodiment, the bond pad of the semiconductor die has a lateral width that is smaller than the second conductive material. In one embodiment, the bond pad of the semiconductor die has a lateral width that is greater than the second conductive material.

Obiges umschreibt Merkmale mehrerer Ausführungsformen, mit denen Fachleute die Aspekte der vorliegenden Offenbarung besser verstehen. Fachleute auf dem Gebiet sollten verstehen, dass sie diese Offenbarung leicht als Grundlage für das Design oder die Änderung anderer Prozesse und Strukturen verwenden können, um dieselben Zwecke auszuführen und/oder dieselben Vorteile der hierin eingeführten Ausführungsformen zu erreichen. Fachleute auf dem Gebiet sollten außerdem verstehen, dass solche entsprechenden Konstruktionen nicht vom Geist und Umfang der vorliegenden Offenbarung abweichen und dass sie verschiedene Änderungen, Ersetzungen und Abänderungen hieran vornehmen können, ohne vom Geist und Umfang dieser Offenbarung abzuweichen.The above describes features of several embodiments that will enable those skilled in the art to better understand aspects of the present disclosure. Those skilled in the art should understand that they can readily use this disclosure as a basis for designing or changing other processes and structures to carry out the same purposes and / or achieve the same advantages of the embodiments introduced herein. Those skilled in the art should also understand that such respective constructions do not depart from the spirit and scope of the present disclosure and that they can make various changes, substitutions, and alterations therein without departing from the spirit and scope of this disclosure.

ZITATE ENTHALTEN IN DER BESCHREIBUNGQUOTES INCLUDED IN THE DESCRIPTION

Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.This list of the documents listed by the applicant was generated automatically and is included solely for the better information of the reader. The list is not part of the German patent or utility model application. The DPMA assumes no liability for any errors or omissions.

Zitierte PatentliteraturPatent literature cited

  • US 62/893971 [0001]US 62/893971 [0001]

Claims (20)

Vorrichtung, umfassend: eine Interconnectstruktur über einem Halbleitersubstrat, wobei die Interconnectstruktur mehrere erste leitfähige Pads umfasst; eine erste Dielektrikumsschicht über der Interconnectstruktur; mehrere Bondpaddurchkontaktierungen innerhalb der ersten Dielektrikumsschicht, wobei jede Bondpaddurchkontaktierung der mehreren Bondpaddurchkontaktierungen aufweist: eine erste Barriereschicht, die sich entlang von Seitenwänden der ersten Dielektrikumsschicht und über ein erstes leitfähiges Pad der mehreren ersten leitfähige Pads erstreckt; und ein erstes leitfähiges Material über der ersten Barriereschicht, wobei eine obere Fläche des ersten leitfähigen Materials und eine obere Fläche der ersten Barriereschicht koplanar sind; eine zweite Dielektrikumsschicht über der ersten Dielektrikumsschicht; und mehrere erste Bondpads innerhalb der zweiten Dielektrikumsschicht, wobei jedes erste Bondpad der mehreren Bondpads umfasst: eine zweite Barriereschicht, die sich entlang von Seitenwänden der zweiten Dielektrikumsschicht und auf dem ersten leitfähigen Material und der ersten Barriereschicht einer ersten Bondpaddurchkontaktierung der mehreren Bondpaddurchkontaktierungen erstreckt, wobei die zweite Barriereschicht vollständig die obere Fläche des ersten leitfähigen Materials und die obere Fläche der ersten Bondpaddurchkontaktierung bedeckt, und ein zweites leitfähiges Material über der zweiten Barriereschicht.Apparatus comprising: an interconnect structure over a semiconductor substrate, the interconnect structure including a plurality of first conductive pads; a first dielectric layer over the interconnect structure; multiple bond pad vias within the first dielectric layer, each bond pad vias of the multiple bond pad vias having: a first barrier layer extending along sidewalls of the first dielectric layer and over a first conductive pad of the plurality of first conductive pads; and a first conductive material over the first barrier layer, wherein a top surface of the first conductive material and a top surface of the first barrier layer are coplanar; a second dielectric layer over the first dielectric layer; and a plurality of first bond pads within the second dielectric layer, wherein each first bond pad of the plurality of bond pads comprises: a second barrier layer extending along sidewalls of the second dielectric layer and on the first conductive material and the first barrier layer of a first bond pad via of the plurality of bond pad vias, the second barrier layer completely covering the top surface of the first conductive material and the top surface of the first bond pad via , and a second conductive material over the second barrier layer. Vorrichtung aus Anspruch 1, ferner umfassend eine dritte Dielektrikumsschicht, die sich über Seitenwände der ersten Dielektrikumsschicht, die Interconnectstruktur und der Halbleitersubstrat erstreckt.Device off Claim 1 , further comprising a third dielectric layer extending over sidewalls of the first dielectric layer, the interconnect structure and the semiconductor substrate. Vorrichtung aus Anspruch2, wobei sich die zweite Dielektrikumsschicht über die dritte Dielektrikumsschicht und die erste Dielektrikumsschicht erstreckt.The device of claim 2, wherein the second dielectric layer extends over the third dielectric layer and the first dielectric layer. Vorrichtung aus einem der vorhergehenden Ansprüche, ferner umfassend ein Aluminiumpad innerhalb der ersten Dielektrikumsschicht, wobei das Aluminiumpad ein erstes leitfähiges Pad der mehreren ersten leitfähigen Pads kontaktiert.The device of any preceding claim, further comprising an aluminum pad within the first dielectric layer, the aluminum pad contacting a first conductive pad of the plurality of first conductive pads. Vorrichtung aus Anspruch 4, wobei eine Bondpaddurchkontaktierung der mehreren Bondpaddurchkontaktierungen das Aluminiumpad kontaktiert.Device off Claim 4 , wherein one bond pad vias of the plurality of bond pad vias contacts the aluminum pad. Vorrichtung aus einem der vorhergehenden Ansprüche, ferner umfassend eine Passivierungsschicht, die sich über den mehreren ersten leitfähigen Pads erstreckt, wobei sich die mehreren Bondpaddurchkontaktierungen durch die Passivierungsschicht erstrecken.The device of claim 1, further comprising a passivation layer extending over the plurality of first conductive pads, the plurality of bond pad vias extending through the passivation layer. Vorrichtung aus einem der vorhergehenden Ansprüche, wobei aneinander angrenzende erste leitfähige Pads der mehreren ersten leitfähigen Pads lateral durch eine Distanz getrennt sind, die zwischen 2 µm und 20 µm beträgt.The device of one of the preceding claims, wherein adjacent first conductive pads of the plurality of first conductive pads are laterally separated by a distance which is between 2 µm and 20 µm. Vorrichtung aus einem der vorhergehenden Ansprüche, wobei die zweite Barriereschicht Titan, Titannitrid, Tantal oder Tantalnitrid umfasst.Device according to one of the preceding claims, wherein the second barrier layer comprises titanium, titanium nitride, tantalum or tantalum nitride. Package, umfassend: ein erstes Die, umfassend: eine erste Metallisierungsschicht; eine oder mehrere erste Bondpaddurchkontaktierungen auf der ersten Metallisierungsschicht, wobei sich eine erste Barriereschicht über die erste Metallisierungsschicht zwischen jeder ersten Bondpaddurchkontaktierung und der ersten Metallisierungsschicht erstreckt; und ein oder mehrere erste Bondpads auf der einen oder den mehreren ersten Bondpaddurchkontaktierungen, wobei sich eine zweite Barriereschicht über jede erste Bondpaddurchkontaktierung zwischen einem ersten Bondpad und der ersten Bondpaddurchkontaktierung erstreckt; und ein zweites Die, das ein oder mehrere zweite Bondpads umfasst, wobei ein zweites Bondpad mit einem ersten Bondpad des ersten Dies verbunden ist.Package comprising: a first die comprising: a first metallization layer; one or more first bond pad vias on the first metallization layer, a first barrier layer extending over the first metallization layer between each first bond pad vias and the first metallization layer; and one or more first bond pads on the one or more first bond pad vias, a second barrier layer extending over each first bond pad vias between a first bond pad and the first bond pad vias; and a second die comprising one or more second bond pads, wherein a second bond pad is connected to a first bond pad of the first die. Package aus Anspruch 9, wobei das erste Die eine erste Bondungsschicht umfasst, wobei das erste Bondpad innerhalb der ersten Bondungsschicht angeordnet ist, wobei das zweite Die eine zweite Bondungsschicht umfasst, wobei das zweite Bondpad innerhalb der zweiten Bondungsschicht angeordnet ist und wobei die erste Bondungsschicht mit der zweiten Bondungsschicht verbunden ist.Package from Claim 9 , wherein the first die comprises a first bonding layer, wherein the first bond pad is arranged within the first bonding layer, wherein the second die comprises a second bonding layer, wherein the second bond pad is arranged within the second bonding layer, and wherein the first bonding layer is connected to the second bonding layer is. Package aus Anspruch 9 oder 10, wobei eine Breite des ersten Bondpads zwischen 95% und 150% einer Breite des zweiten Bondpads liegt.Package from Claim 9 or 10 , wherein a width of the first bond pad is between 95% and 150% of a width of the second bond pad. Package aus einem der Ansprüche 9 bis 11, wobei eine Breite des zweiten Bondpads zwischen 95% und 150% einer Breite des ersten Bondpads liegt.Package from one of the Claims 9 to 11 , wherein a width of the second bond pad is between 95% and 150% of a width of the first bond pad. Package aus einem der Ansprüche 9 bis 12, wobei das zweite Die ferner eine Durchkontaktierung umfasst, wobei die Durchkontaktierung mit dem ersten Bondpad des ersten Dies verbunden ist.Package from one of the Claims 9 to 12th , wherein the second die further comprises a via, wherein the via is connected to the first bond pad of the first die. Package aus einem der Ansprüche 9 bis 13, ferner umfassend ein leitfähiges Pad auf der ersten Metallisierungsschicht, wobei das leitfähige Pad ein anderes leitfähiges Material umfasst als die eine oder die mehreren ersten Bondpaddurchkontaktierungen.Package from one of the Claims 9 to 13th , further comprising a conductive pad on the first metallization layer, wherein the conductive pad comprises a different conductive material than the one or more first bond pad vias. Package aus Anspruch 14, wobei das leitfähige Pad lateral durch eine Distanz, die zwischen 2 µm und 100 µm liegt, von einer angrenzenden ersten Bondpaddurchkontaktierung getrennt ist.Package from Claim 14 , wherein the conductive pad is laterally separated from an adjacent first bond pad via by a distance which is between 2 μm and 100 μm. Verfahren, umfassend: Bilden einer Interconnectstruktur auf einer oberen Fläche eines Halbleitersubstrats, die Interconnectstruktur umfassend ein erstes leitfähiges Pad; Bilden einer ersten Dielektrikumsschicht über der Interconnectstruktur; Ätzen der ersten Dielektrikumsschicht zum Bilden einer ersten Öffnung, die das erste leitfähige Pad offenlegt; Abscheiden einer ersten Barriereschicht innerhalb der ersten Öffnung in der ersten Dielektrikumsschicht; Abscheiden eines ersten leitfähigen Materials innerhalb der ersten Öffnung und auf der ersten Barriereschicht; Bilden einer zweiten Dielektrikumsschicht über der ersten Dielektrikumsschicht; Ätzen der zweiten Dielektrikumsschicht zum Bilden einer zweiten Öffnung, die das erste leitfähige Material offenlegt; Abscheiden einer zweiten Barriereschicht innerhalb der zweiten Öffnung in der zweiten Dielektrikumsschicht; Abscheiden eines zweiten leitfähigen Materials innerhalb der zweiten Öffnung und an der zweiten Barriereschicht; und Bonden eines Halbleiterdies an die zweite Dielektrikumsschicht, wobei der Halbleiterdie eine Bondungsschicht und ein Bondpad umfasst, wobei die Bondung die Bondungsschicht des Halbleiterdies mit der zweiten Dielektrikumsschicht verbindet und das Bondpad des Halbleiterdies an das zweite leitfähige Material bondet.Method comprising: Forming an interconnect structure on a top surface of a semiconductor substrate, the interconnect structure comprising a first conductive pad; Forming a first dielectric layer over the interconnect structure; Etching the first dielectric layer to form a first opening exposing the first conductive pad; Depositing a first barrier layer within the first opening in the first dielectric layer; Depositing a first conductive material within the first opening and on the first barrier layer; Forming a second dielectric layer over the first dielectric layer; Etching the second dielectric layer to form a second opening exposing the first conductive material; Depositing a second barrier layer within the second opening in the second dielectric layer; Depositing a second conductive material within the second opening and on the second barrier layer; and Bonding a semiconductor die to the second dielectric layer, the semiconductor die comprising a bonding layer and a bond pad, wherein the bond connects the bonding layer of the semiconductor die to the second dielectric layer and bonds the bond pad of the semiconductor die to the second conductive material. Verfahren nach Anspruch 16, ferner umfassend: nach dem Abscheiden des ersten leitfähigen Materials, Bilden einer Opferschicht über der ersten Dielektrikumsschicht und dem ersten leitfähigen Material; Befestigen der Opferschicht an einer ersten Trägerstruktur; Ausdünnen des Halbleitersubstrats; und Entfernen der ersten Trägerstruktur und der Opferschicht, wobei die zweite Dielektrikumsschicht über der ersten Dielektrikumsschicht gebildet ist, nachdem die Opferschicht entfernt wird.Procedure according to Claim 16 further comprising: after depositing the first conductive material, forming a sacrificial layer over the first dielectric layer and the first conductive material; Attaching the sacrificial layer to a first support structure; Thinning the semiconductor substrate; and removing the first support structure and the sacrificial layer, wherein the second dielectric layer is formed over the first dielectric layer, after the sacrificial layer is removed. Verfahren nach Anspruch 16 oder 17, ferner umfassend: Bilden einer Passivierungsschicht über der Interconnectstruktur; und Bilden eines leitfähigen Pads über der Passivierungsschicht, wobei die erste Dielektrikumsschicht über dem leitfähigen Pad und der Passivierungsschicht gebildet wird.Procedure according to Claim 16 or 17th , further comprising: forming a passivation layer over the interconnect structure; and forming a conductive pad over the passivation layer, wherein the first dielectric layer is formed over the conductive pad and the passivation layer. Verfahren nach einem der Ansprüche 16 bis 18, wobei das Bondpad des Halbleiterdies eine laterale Breite aufweist, die geringer ist als das zweite leitfähige Material.Method according to one of the Claims 16 to 18th wherein the bond pad of the semiconductor die has a lateral width which is less than the second conductive material. Verfahren nach einem der Ansprüche 16 bis 19, wobei das Bondpad des Halbleiterdies eine laterale Breite aufweist, die größer als das zweite leitfähige Material ist.Method according to one of the Claims 16 to 19th wherein the bond pad of the semiconductor die has a lateral width that is greater than the second conductive material.
DE102020119159.6A 2019-08-30 2020-07-21 CONDITIONING STRUCTURE AND PROCEDURES FOR EDUCATING THE SAME Pending DE102020119159A1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201962893971P 2019-08-30 2019-08-30
US62/893,971 2019-08-30
US16/929,708 2020-07-15
US16/929,708 US11264343B2 (en) 2019-08-30 2020-07-15 Bond pad structure for semiconductor device and method of forming same

Publications (1)

Publication Number Publication Date
DE102020119159A1 true DE102020119159A1 (en) 2021-03-04

Family

ID=74564910

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102020119159.6A Pending DE102020119159A1 (en) 2019-08-30 2020-07-21 CONDITIONING STRUCTURE AND PROCEDURES FOR EDUCATING THE SAME

Country Status (1)

Country Link
DE (1) DE102020119159A1 (en)

Similar Documents

Publication Publication Date Title
DE102020101431B4 (en) Semiconductor device and manufacturing process
DE102019115275B4 (en) Semiconductor interconnect structure and method
DE102019123272B4 (en) Connection structure and method of forming the same
DE102015105950B4 (en) Buffer layer(s) on a stacked structure with a via and method
DE102021111153A1 (en) HOUSED SEMICONDUCTOR COMPONENT AND METHOD OF FORMING THIS COMPONENT
DE102020120137B4 (en) SEMICONDUCTOR PACKAGE AND METHOD
DE102018130035B4 (en) PACKAGE AND PROCEDURE
DE102019116993A1 (en) OPUFFER MEMORY DESIGN FOR PACKAGE INTEGRATION
DE102020112959A1 (en) INTEGRATED CIRCUIT PACKAGE AND PROCEDURE
DE102019116376B4 (en) Integrated circuit package and method of forming same
DE102021102836A1 (en) INTEGRATED CIRCUIT PACKAGE AND METHOD
DE102020113988B4 (en) INTEGRATED CIRCUIT PACKAGE AND METHOD
DE102020124229A1 (en) SEMICONDUCTOR DEVICE AND METHOD
DE102019125790B4 (en) INTEGRATED CIRCUIT PACKAGE AND METHOD
DE102020130996A1 (en) SEMICONDUCTOR PACKAGE AND METHOD FOR MANUFACTURING IT
DE102019114074A1 (en) INTEGRATED CIRCUIT PACKAGE AND METHOD
DE102020108481B4 (en) Semiconductor die package and manufacturing process
DE102021112653A1 (en) Semiconductor package and method of manufacturing a semiconductor package
DE102021102227A1 (en) Heat dissipation in semiconductor packages and method of forming the same
DE102020131125A1 (en) Semiconductor package and method of making the same
DE102021105570A1 (en) WAFER-TO-WAFER BOND STRUCTURE
DE102020115288A1 (en) Semiconductor devices and manufacturing processes
DE102023103380A1 (en) SEMICONDUCTOR PACKAGES WITH MIXED BOND TYPES AND THEIR TRAINING METHODS
DE102021119243A1 (en) SHAPED THIS INTO SEMICONDUCTOR PACKAGES AND THEIR MANUFACTURING PROCESSES
DE102021120389A1 (en) SEMICONDUCTOR PACKAGE AND METHOD TO MAKE THESE

Legal Events

Date Code Title Description
R012 Request for examination validly filed