DE102021120389A1 - SEMICONDUCTOR PACKAGE AND METHOD TO MAKE THESE - Google Patents

SEMICONDUCTOR PACKAGE AND METHOD TO MAKE THESE Download PDF

Info

Publication number
DE102021120389A1
DE102021120389A1 DE102021120389.9A DE102021120389A DE102021120389A1 DE 102021120389 A1 DE102021120389 A1 DE 102021120389A1 DE 102021120389 A DE102021120389 A DE 102021120389A DE 102021120389 A1 DE102021120389 A1 DE 102021120389A1
Authority
DE
Germany
Prior art keywords
die
redistribution structure
insulating layer
integrated circuit
conductive
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102021120389.9A
Other languages
German (de)
Inventor
Xinyu Bao
Lee-Chung Lu
Jyh Chwen Frank Lee
Fong-Yuan Chang
Sam Vaziri
Po-Hsiang Huang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102021120389A1 publication Critical patent/DE102021120389A1/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/568Temporary substrate used as encapsulation process aid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/29Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the material, e.g. carbon
    • H01L23/293Organic, e.g. plastic
    • H01L23/295Organic, e.g. plastic containing a filler
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
    • H01L23/42Fillings or auxiliary members in containers or encapsulations selected or arranged to facilitate heating or cooling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/4857Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/486Via connections through the substrate with or without pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/565Moulds
    • H01L21/566Release layers for moulds, e.g. release layers, layers against residue during moulding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/16Fillings or auxiliary members in containers or encapsulations, e.g. centering rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • H01L23/3128Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation the substrate having spherical bumps for external connection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3135Double encapsulation or coating and encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • H01L23/3171Partial encapsulation or coating the coating being directly applied to the semiconductor body, e.g. passivation layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • H01L23/3185Partial encapsulation or coating the coating covering also the sidewalls of the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
    • H01L23/36Selection of materials, or shaping, to facilitate cooling or heating, e.g. heatsinks
    • H01L23/373Cooling facilitated by selection of materials for the device or materials for thermal expansion adaptation, e.g. carbon
    • H01L23/3737Organic materials with or without a thermoconductive filler
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49822Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49833Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers the chip support structure consisting of a plurality of insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49838Geometry or layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5383Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5384Conductive vias through the substrate with or without pins, e.g. buried coaxial conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5385Assembly of a plurality of insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5389Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates the chips being integrally enclosed by the interconnect and support structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/19Manufacturing methods of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L24/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/42Wire connectors; Manufacturing methods related thereto
    • H01L24/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L24/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/73Means for bonding being of different types provided for in two or more of groups H01L24/10, H01L24/18, H01L24/26, H01L24/34, H01L24/42, H01L24/50, H01L24/63, H01L24/71
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/10Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers
    • H01L25/105Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers the devices being of a type provided for in group H01L27/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68345Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during the manufacture of self supporting substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68354Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used to support diced chips prior to mounting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68359Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during manufacture of interconnect decals or build up layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08151Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/08221Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/08225Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/08237Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bonding area connecting to a bonding area disposed in a recess of the surface of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/118Post-treatment of the bump connector
    • H01L2224/11848Thermal treatments, e.g. annealing, controlled cooling
    • H01L2224/11849Reflowing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16227Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16237Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a bonding area disposed in a recess of the surface of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/4805Shape
    • H01L2224/4809Loop shape
    • H01L2224/48091Arched
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/481Disposition
    • H01L2224/48151Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/48221Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/48225Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/48227Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation connecting the wire to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/481Disposition
    • H01L2224/48151Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/48221Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/48225Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/48227Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation connecting the wire to a bond pad of the item
    • H01L2224/48229Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation connecting the wire to a bond pad of the item the bond pad protruding from the surface of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/8319Arrangement of the layer connectors prior to mounting
    • H01L2224/83192Arrangement of the layer connectors prior to mounting wherein the layer connectors are disposed only on another item or body to be connected to the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/921Connecting a surface with connectors of different types
    • H01L2224/9212Sequential connecting processes
    • H01L2224/92122Sequential connecting processes the first connecting process involving a bump connector
    • H01L2224/92125Sequential connecting processes the first connecting process involving a bump connector the second connecting process involving a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/0651Wire or wire-like electrical connections from device to substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06548Conductive via connections through the substrate, container, or encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/10All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
    • H01L2225/1005All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/1011All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
    • H01L2225/1017All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement the lowermost container comprising a device support
    • H01L2225/1023All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement the lowermost container comprising a device support the support being an insulating substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/10All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
    • H01L2225/1005All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/1011All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
    • H01L2225/1017All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement the lowermost container comprising a device support
    • H01L2225/1035All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement the lowermost container comprising a device support the device being entirely enclosed by the support, e.g. high-density interconnect [HDI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/10All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
    • H01L2225/1005All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/1011All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
    • H01L2225/1041Special adaptations for top connections of the lowermost container, e.g. redistribution layer, integral interposer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/10All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
    • H01L2225/1005All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/1011All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
    • H01L2225/1047Details of electrical connections between containers
    • H01L2225/1058Bump or bump-like electrical connections, e.g. balls, pillars, posts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/10All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
    • H01L2225/1005All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/1011All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
    • H01L2225/1094Thermal management, e.g. cooling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L24/80 - H01L24/90
    • H01L24/92Specific sequence of method steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0652Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next and on each other, i.e. mixed assemblies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0655Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/00014Technical content checked by a classifier the subject-matter covered by the group, the symbol of which is combined with the symbol of this group, being disclosed without further technical details
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • H01L2924/143Digital devices
    • H01L2924/1434Memory
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • H01L2924/1811Structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • H01L2924/182Disposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • H01L2924/186Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/35Mechanical effects
    • H01L2924/351Thermal stress

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Geometry (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Structures Or Materials For Encapsulating Or Coating Semiconductor Devices Or Solid State Devices (AREA)

Abstract

Gepackte Halbleitervorrichtungen, die Formmaterial mit hoher Wärmeleitfähigkeit umfassen, und Verfahren, um diese zu bilden, werden offenbart. In einer Ausführungsform umfasst eine Halbleitervorrichtung eine erste Umverteilungsstruktur; einen ersten Die, der sich über der ersten Umverteilungsstruktur befindet und mit dieser elektrisch gekoppelt ist; eine erste Durchkontaktierung, das sich über der ersten Umverteilungsstruktur befindet und mit dieser elektrisch gekoppelt ist; eine Isolierschicht, die sich entlang der ersten Umverteilungsstruktur, des ersten Dies und der ersten Durchkontaktierung erstreckt; und ein Verkapselungsmaterial über der Isolierschicht, wobei das Verkapselungsmaterial Abschnitte der ersten Durchkontaktierung und des ersten Dies umschließt, wobei das Verkapselungsmaterial leitfähige Füllmaterialien in einer Konzentration im Bereich von 70 bis etwa 95 Vol-% umfasst.Packaged semiconductor devices comprising high thermal conductivity molding material and methods of forming the same are disclosed. In one embodiment, a semiconductor device includes a first redistribution structure; a first die overlying and electrically coupled to the first redistribution structure; a first via located over and electrically coupled to the first redistribution structure; an insulating layer extending along the first redistribution structure, the first die, and the first via; and an encapsulation material over the insulating layer, the encapsulation material enclosing portions of the first via and the first die, the encapsulation material including conductive fillers in a concentration ranging from 70% to about 95% by volume.

Description

PRIORITÄTSANSPRUCH UND QUERVERWEISPRIORITY CLAIM AND CROSS-REFERENCE

Diese Anmeldung beansprucht die Vorteile der am 26. März 2021 eingereichten vorläufigen US-Anmeldung Nr. 63/166.350 mit dem Titel „Semiconductor Package Structure and Manufacturing Method Thereof“, die hiermit durch Verweis in diese Anmeldung aufgenommen wird.This application claims the benefit of the provisional applications filed on March 26, 2021 U.S. Application No. 63/166,350 entitled "Semiconductor Package Structure and Manufacturing Method Thereof," which is hereby incorporated by reference into this application.

HINTERGRUNDBACKGROUND

Die Halbleiterindustrie ist durch andauernde Verbesserungen in der Integrationsdichte einer Vielzahl elektronischer Komponenten (z. B. Transistoren, Dioden, Widerstände, Kondensatoren oder dergleichen) schnell gewachsen. Größtenteils entstammt die Verbesserung der Integrationsdichte der iterativen Verringerung der Mindestelementgröße, wodurch mehr Komponenten in einem bestimmten Bereich integriert werden können. Mit steigendem Bedarf an schrumpfenden elektronischen Vorrichtungen ist es zu einem Bedarf an kleineren und kreativeren Packagetechniken für Halbleiterdies gekommen. Ein Beispiel für solche Packagesysteme ist die „Package-on-Package“-Technologie (PoP-Technologie). In einer PoP-Vorrichtung wird ein oberes Halbleiterpackage auf einem unteren Halbleiterpackage gestapelt, um eine hohe Ebene von Integration und Komponentendichte bereitzustellen. Die PoP-Technologie ermöglicht allgemein die Produktion der Halbleitervorrichtungen mit verbesserten Funktionen und kleinen Grundflächen auf einer Platine (PCB).The semiconductor industry has grown rapidly with continued improvements in the integration density of a variety of electronic components (e.g., transistors, diodes, resistors, capacitors, or the like). Much of the improvement in integration density comes from iteratively reducing the minimum element size, allowing more components to be integrated in a given area. As the need for shrinking electronic devices has increased, so has the need for smaller and more creative packaging techniques for semiconductor dies. An example of such package systems is “package-on-package” (PoP) technology. In a PoP device, an upper semiconductor package is stacked on a lower semiconductor package to provide a high level of integration and component density. The PoP technology generally enables the production of the semiconductor devices with enhanced functions and small footprints on a printed circuit board (PCB).

Figurenlistecharacter list

  • Aspekte dieser Offenbarung sind am besten aus der folgenden ausführlichen Beschreibung zu verstehen, wenn sie zusammen mit den beiliegenden Figuren gelesen wird. Es ist zu beachten, dass nach der branchenüblichen Praxis verschiedene Elemente nicht maßstabsgetreu dargestellt sind. Tatsächlich können die Abmessungen der verschiedenen Elemente zugunsten einer klaren Erläuterung willkürlich vergrößert oder verkleinert sein.Aspects of this disclosure are best understood from the following detailed description when read in conjunction with the accompanying figures. It should be noted that, in accordance with standard industry practice, various elements are not drawn to scale. In fact, the dimensions of the various elements may be arbitrarily increased or decreased for the sake of clarity of explanation.
  • 1 bis 25 illustrieren Querschnittsansichten von Zwischenschritten während Prozessen zum Bilden von Packagekomponenten nach einigen Ausführungsformen. 1 until 25 12 illustrate cross-sectional views of intermediate steps during processes of forming package components, according to some embodiments.

AUSFÜHRLICHE BESCHREIBUNGDETAILED DESCRIPTION

Die folgende Offenbarung stellt viele verschiedene Ausführungsformen oder Beispiele zur Umsetzung verschiedener Elemente der Erfindung bereit. Spezifische Beispiele von Komponenten und Anordnungen sind nachfolgend beschrieben, um diese Offenbarung zu vereinfachen. Diese sind natürlich nur Beispiele, die nicht als einschränkend zu verstehen sind. Beispielsweise kann das Bilden eines ersten Elements oder eines zweiten Elements in der folgenden Beschreibung Ausführungsformen umfassen, bei denen das erste und das zweite Element in direktem Kontakt gebildet sind, und es kann außerdem Ausführungsformen umfassen, in denen weitere Elemente zwischen dem ersten und dem zweiten Element gebildet sein können, sodass das erste und das zweite Element nicht in direktem Kontakt stehen müssen. Weiterhin kann diese Offenbarung Referenzziffern und/oder -buchstaben der verschiedenen Beispiele wiederholen. Diese Wiederholung dient der Einfachheit und Klarheit und diktiert nicht für sich eine Beziehung zwischen den verschiedenen besprochenen Ausführungsformen und/oder Einrichtungen.The following disclosure provides many different embodiments or examples for practicing various elements of the invention. Specific examples of components and arrangements are described below to simplify this disclosure. These are, of course, only examples and should not be construed as limiting. For example, forming a first member or a second member in the following description may include embodiments where the first and second members are formed in face-to-face contact, and may also include embodiments where other members are between the first and second members can be formed so that the first and second elements need not be in direct contact. Furthermore, this disclosure may repeat reference numbers and/or letters of the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or devices discussed.

Ferner können räumlich relative Begriffe wie „unter“, „darunter“, „unterer“, „über“, „oberer“ und dergleichen hierin für eine einfachere Beschreibung verwendet werden, um die Beziehung eines Elements oder Elements zu einem oder mehreren anderen Element(en) oder Merkmal(en) wie in den Figuren illustriert zu beschreiben. Die räumlich relativen Begriffe sollen zusätzlich zu der Ausrichtung, die in den Figuren dargestellt ist, verschiedene Ausrichtungen der Vorrichtung in der Verwendung oder im Betrieb umfassen. Die Vorrichtung kann anderweitig ausgerichtet sein (um 90 Grad gedreht oder in einer anderen Ausrichtung) und die räumlich relativen Bezeichnungen, die hierin verwendet werden, können ebenfalls entsprechend ausgelegt werden.Furthermore, spatially relative terms such as "beneath", "below", "lower", "above", "upper" and the like may be used herein for ease of description to indicate the relationship of one element or elements to one or more other element(s). ) or feature(s) as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The device may be otherwise oriented (rotated 90 degrees or in a different orientation) and the spatially relative terms used herein shall be construed accordingly.

Verschiedene Ausführungsformen stellen gepackte Halbleitervorrichtungen mit verbesserter Wärmeableitung und Verfahren bereit, um diese zu Bilden. Das Verfahren umfasst das Bilden einer Interconnect-Struktur, das Bilden einer Durchkontaktierung über der Interconnect-Struktur und das Befestigen eines Halbleiterdies an der Interconnect-Struktur. Eine Isolierschicht wird über der Interconnect-Struktur, der Durchkontaktierung und dem Halbleiterdie gebildet, und ein Formmaterial wird über der Isolierschicht gebildet. Die Isolierschicht kann elektrisch isolierend sein und die Durchkontaktierung und alle belichteten leitfähigen Elemente der Interconnect-Struktur und des Halbleiterdies voneinander isolieren. Dadurch können elektrisch leitfähige Materialien für das Formmaterial verwendet werden. Die Isolierschicht kann auch die Spannung zwischen dem Formmaterial und den darunter liegenden Strukturen verringern, sodass Materialien mit höheren Wärmeausdehnungskoeffizienten für das Formmaterial verwendet werden können. Die höhere Flexibilität bei der Auswahl der Materialien für das Formmaterial ermöglicht die Verwendung von Materialien mit höheren Wärmeleitfähigkeiten für das Formmaterial. Dies wiederum verbessert die Wärmeableitung, verbessert die Qualität der Vorrichtungen, erhöht die Vorrichtungsleistung und verringert die Defekte der Vorrichtungen.Various embodiments provide packaged semiconductor devices with improved heat dissipation and methods of forming the same. The method includes forming an interconnect structure, forming a via over the interconnect structure, and attaching a semiconductor die to the interconnect structure. An insulating layer is formed over the interconnect structure, via and semiconductor die, and a molding material is formed over the insulating layer. The insulating layer may be electrically insulating and may isolate the via and any exposed conductive elements of the interconnect structure and semiconductor die from each other. As a result, electrically conductive materials can be used for the mold material. The insulating layer can also reduce the stress between the molding material and the underlying structures, allowing materials with higher coefficients of thermal expansion to be used for the molding material. The greater flexibility in choosing materials for the mold material allows the use of materials with higher thermal conductivities for the mold material. This in turn improves warmth dissipation, improves the quality of the devices, increases the device performance and reduces the defects of the devices.

1 illustriert eine Querschnittsansicht eines integrierten Schaltungsdies 50. Der integrierte Schaltungsdie 50 wird in der Weiterverarbeitung zu einem integrierten Schaltungspackage gepackt. Bei dem integrierten Schaltungsdie 50 kann es sich um einen Logikdie (z. B. eine zentrale Prozessoreinheit (CPU), eine Grafikprozessoreinheit (GPU), ein System-on-Chip (SoC), ein Anwendungsprozessor (AP), einen Mikrocontroller, ein anwendungsspezifisch integrierter Schaltungsdie (ASIC) oder dergleichen), einen Speicherdie (z. B. ein dynamischer Direktzugriffsspeicherdie (DRAM-Die), ein statischer Direktzugriffsspeicherdie (SRAM-Die), ein Speicherdie mit hoher Bandbreite (HBM-Die) oder dergleichen), einen Energieverwaltungsdie (z. B. ein integrierter Energiemanagement-Schaltungsdie (PMIC-Die)), einen Funkfrequenzdie (RF-Die), einen Sensordie, einen mikroelektromechanisches Systemdie (MEMS-Die), einen Signalverarbeitungsdie (z. B. ein digitaler Signalverarbeitungsdie (DSP-Die) oder dergleichen), einen Frontend-Die (z. B. ein analoger Frontend-Die (AFE-Die)), dergleichen, oder eine Kombination daraus handeln. 1 Figure 12 illustrates a cross-sectional view of an integrated circuit die 50. The integrated circuit die 50 is further processed into an integrated circuit package. The integrated circuit die 50 can be a logic die (e.g., a central processing unit (CPU), a graphics processing unit (GPU), a system-on-chip (SoC), an application processor (AP), a microcontroller, an application-specific integrated circuit die (ASIC) or the like), a memory die (e.g., a dynamic random access memory die (DRAM die), a static random access memory die (SRAM die), a high bandwidth memory die (HBM die) or the like), a power management die (e.g., a power management integrated circuit (PMIC) die), a radio frequency (RF) die, a sensor (e.g., a microelectromechanical system (MEMS) die), a signal processing (e.g., a digital signal processing (DSP) die) die) or the like), a front-end die (e.g., an analog front-end die (AFE die)), the like, or a combination thereof.

Der integrierte Schaltungsdie 50 kann in einem Wafer gebildet werden, der verschiedene Vorrichtungsregionen umfassen kann, die in nachfolgenden Schritten vereinzelt werden, um mehrere integrierte Schaltungsdies zu bilden. Der integrierte Schaltungsdie 50 kann den anwendbaren Herstellungsprozessen entsprechend zu integrierten Schaltungen verarbeitet werden. Der integrierte Schaltungsdie 50 umfasst beispielsweise ein Halbleitersubstrat 52, wie etwa Silizium, dotiert oder undotiert, oder eine aktive Schicht eines Halbleiter-auf-Isolator-Substrats (SOI-Substrat). Das Halbleitersubstrat 52 kann andere Halbleitermaterialien umfassen, wie etwa Germanium; einen Verbundhalbleiter wie etwa Siliziumkarbid, Galliumarsen, Galliumphosphid, Indiumphosphid, Indiumarsenid und/oder Indiumantimonid; einen Legierungshalbleiter, wie etwa SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP und/oder GaInAsP; oder Kombinationen daraus. Andere Substrate, wie etwa mehrschichtige oder Gefällesubstrate, können ebenfalls verwendet werden. Das Halbleitersubstrat 52 weist eine aktive Fläche (z. B. die in 1 nach oben weisende Fläche), die manchmal als eine Vorderseite bezeichnet wird, und eine inaktive Fläche (z. B. die in 1 nach unten weisende Fläche), die manchmal als eine Rückseite bezeichnet wird, auf.The integrated circuit die 50 can be formed in a wafer, which can include various device regions that are singulated in subsequent steps to form multiple integrated circuit dies. The integrated circuit die 50 can be processed into integrated circuits according to applicable manufacturing processes. The integrated circuit die 50 includes, for example, a semiconductor substrate 52, such as silicon, doped or undoped, or an active layer of a semiconductor-on-insulator (SOI) substrate. Semiconductor substrate 52 may include other semiconductor materials, such as germanium; a compound semiconductor such as silicon carbide, gallium arsenic, gallium phosphide, indium phosphide, indium arsenide and/or indium antimonide; an alloy semiconductor such as SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP and/or GaInAsP; or combinations thereof. Other substrates such as multilayer or gradient substrates can also be used. The semiconductor substrate 52 has an active area (e.g. the in 1 surface facing up), sometimes referred to as a front surface, and an inactive surface (e.g., the one in 1 down-facing surface), sometimes referred to as a back surface.

Auf der aktiven Fläche des Halbleitersubstrats 52 können Vorrichtungen 54 (dargestellt durch einen Transistor) gebildet sein. Bei den Vorrichtungen 54 kann es sich um aktive Vorrichtungen (z. B. Transistoren, Dioden oder dergleichen), Kondensatoren, Widerstände oder dergleichen handeln. Ein Zwischenschichtdielektrikum (ILD) 56 befindet sich über der aktiven Fläche des Halbleitersubstrats 52. Das ILD 56 umschließt die Vorrichtungen 54 und kann sie abdecken. Das ILD 56 kann eine oder mehrere Dielektrikumschichten umfassen, die aus Materialien wie Phosphorsilikatglas (PSG), Borsilikatglas (BSG), bordotiertem Phosphorsilikatglas (BPSG), undotiertem Silikatglas (USG) oder dergleichen gebildet sind.Devices 54 (represented by a transistor) may be formed on the active area of the semiconductor substrate 52 . Devices 54 may be active devices (e.g., transistors, diodes, or the like), capacitors, resistors, or the like. An interlayer dielectric (ILD) 56 is located over the active area of the semiconductor substrate 52. The ILD 56 encloses the devices 54 and may cover them. The ILD 56 may include one or more dielectric layers formed from materials such as phosphosilicate glass (PSG), borosilicate glass (BSG), boron-doped phosphosilicate glass (BPSG), undoped silicate glass (USG), or the like.

Leitfähige Stecker 58 erstrecken sich durch die ILD 56, um die Vorrichtungen 54 elektrisch und physisch zu verbinden. Wenn die Vorrichtungen 54 beispielsweise Transistoren sind, können die leitfähigen Stecker 58 die Gate- und Source/Drainregionen der Transistoren miteinander verbinden. Die leitfähigen Stecker 58 können aus Wolfram, Kobalt, Nickel, Kupfer, Silber, Gold, Aluminium oder ähnlichen Materialien oder aus Kombinationen davon gebildet sein. Eine Interconnect-Struktur 60 befindet sich über dem ILD 56 und den leitfähigen Steckern 58. Die Interconnect-Struktur 60 verbindet die Vorrichtungen 54 miteinander, um eine integrierte Schaltung zu bilden. Die Interconnect-Struktur 60 kann beispielsweise durch Metallisierungsstrukturen in Dielektrikumschichten auf der ILD 56 gebildet sein. Die Metallisierungsstrukturen umfassen Metallleitungen und Durchkontaktierungen, die in einer oder mehreren Dielektrikumschichten mit niedrigem K-Wert gebildet sind. Die Metallisierungsstrukturen der Interconnect-Struktur 60 sind über die leitfähigen Stecker 58 elektrisch mit den Vorrichtungen 54 verbunden.Conductive connectors 58 extend through ILD 56 to electrically and physically connect devices 54 . For example, if the devices 54 are transistors, the conductive plugs 58 may connect the gate and source/drain regions of the transistors together. The conductive plugs 58 may be formed from tungsten, cobalt, nickel, copper, silver, gold, aluminum, or similar materials, or combinations thereof. An interconnect structure 60 is located over the ILD 56 and conductive plugs 58. The interconnect structure 60 connects the devices 54 together to form an integrated circuit. The interconnect structure 60 can be formed by metallization structures in dielectric layers on the ILD 56, for example. The metallization structures include metal lines and vias formed in one or more low-K dielectric layers. The metallization structures of the interconnect structure 60 are electrically connected to the devices 54 via the conductive plugs 58 .

Der integrierte Schaltungsdie 50 umfasst ferner Pads 62, wie etwa Aluminiumpads, an denen externe Verbindungen hergestellt werden. Die Pads 62 befinden sich auf der aktiven Seite des integrierten Schaltungsdies 50, wie etwa in und/oder auf der Interconnect-Struktur 60. Ein oder mehrere Passivierungsfilme 64 befinden sich auf dem integrierten Schaltungsdie 50, wie etwa an Abschnitten der Interconnect-Struktur 60 und der Pads 62. Öffnungen erstrecken sich durch die Passivierungsfilme 64 zu den Pads 62. Dieverbinder 66, wie etwa leitfähige Säulen (die beispielsweise aus einem Metall wie Kupfer gebildet sind), erstrecken sich durch die Öffnungen in den Passivierungsfilmen 64 und sind physisch und elektrisch mit den jeweiligen Pads 62 verbunden. Die Dieverbinder 66 können beispielsweise durch Plattieren oder dergleichen gebildet werden. Die Dieverbinder 66 koppeln elektrisch die jeweiligen integrierten Schaltungen des integrierten Schaltungsdies 50.The integrated circuit die 50 also includes pads 62, such as aluminum pads, to which external connections are made. Pads 62 are on the active side of integrated circuit die 50, such as in and/or on interconnect structure 60. One or more passivation films 64 are on integrated circuit die 50, such as on portions of interconnect structure 60 and of pads 62. Apertures extend through passivation films 64 to pads 62. Connectors 66, such as conductive pillars (formed, for example, of a metal such as copper), extend through the apertures in passivation films 64 and are physically and electrically connected connected to the respective pads 62. Die connectors 66 may be formed, for example, by plating or the like. Die connectors 66 electrically couple the respective integrated circuits of integrated circuit die 50.

Optional können Lötregionen (z. B. Lotkugeln oder Lötbumps) auf den Pads 62 angeordnet sein. Die Lotkugeln können zur Ausführung von Chip-Probe-Prüfungen (CP-Prüfungen) auf dem integrierten Schaltungsdie 50 verwendet werden. Eine CP-Prüfung des integrierten Schaltungsdies 50 kann ausgeführt werden, um festzustellen, ob der integrierte Schaltungsdie 50 ein bekannter guter Die (KGD) ist. So werden nur die integrierten Schaltungsdies 50, die KGDs sind, weiterverarbeitet und gepackt, während die Dies, die die CP-Prüfung nicht bestehen, nicht gepackt werden. Nach der Prüfung können die Lötregionen in Weiterverarbeitungsschritten entfernt werden.Optionally, solder regions (e.g., solder balls or solder bumps) may be disposed on the pads 62. The solder balls can be used to run chip probe (CP) tests on the integrated th circuit that 50 are used. A CP check of the integrated circuit die 50 can be performed to determine whether the integrated circuit die 50 is a known good die (KGD). Thus, only the integrated circuit dies 50 that are KGDs are further processed and packaged, while the dies that fail the CP test are not packaged. After testing, the soldering regions can be removed in further processing steps.

Eine Dielektrikumschicht 68 kann sich auf der aktiven Seite des integrierten Schaltungsdies 50 befinden (oder auch nicht), wie etwa auf den Passivierungsfilmen 64 und den Dieverbindern 66. Die Dielektrikumschicht 68 verkapselt seitlich die Dieverbinder 66 und die Dielektrikumschicht 68 endet seitlich zusammen mit dem jeweiligen integrierten Schaltungsdie 50. Zunächst kann die Dielektrikumschicht 68 die Dieverbinder 66 verdecken, sodass die oberste Fläche der Dielektrikumschicht 68 über den obersten Flächen der Dieverbinder 66 liegt. In einigen Ausführungsformen, in denen Lötregionen auf den Dieverbindern 66 angeordnet sind, kann die Dielektrikumschicht 68 auch die Lötregionen verdecken. Alternativ dazu kann die Lötregionen vor dem Bilden der Dielektrikumschicht 68 entfernt werden.A dielectric layer 68 may (or may not) be on the active side of integrated circuit die 50, such as on passivation films 64 and dieconnectors 66. Dielectric layer 68 laterally encapsulates dieconnectors 66, and dielectric layer 68 terminates laterally with each integrated circuit Circuit die 50. First, the dielectric layer 68 may obscure the die connectors 66 such that the top surface of the dielectric layer 68 overlies the top surfaces of the die connectors 66. FIG. In some embodiments where solder regions are disposed on the die connectors 66, the dielectric layer 68 may also obscure the solder regions. Alternatively, the solder regions may be removed prior to forming the dielectric layer 68.

Die Dielektrikumschicht 68 kann ein Polymer wie Polybenzoxazol (PBO), Polyimid, Benzocyclobuten (BCB) oder dergleichen, ein Nitrid wie Siliziumnitrid oder dergleichen, ein Oxid wie Siliziumoxid, Phosphorsilikatglas (PSG), Borsilikatglas (BSG), bordotiertes Phosphorsilikatglas (BPSG) oder dergleichen oder eine Kombination davon sein. Die Dielektrikumschicht 68 kann beispielsweise durch Spin-Coating, Laminierung, chemische Gasphasenabscheidung (CVD) oder dergleichen gebildet werden. In einigen Ausführungsformen werden die Dieverbinder 66 während des Bildens des integrierten Schaltungsdies 50 durch die Dielektrikumschicht 68 hindurch belichtet. In einigen Ausführungsformen bleiben die Dieverbinder 66 verdeckt und werden während eines nachfolgenden Prozesses zum Packen des integrierten Schaltungsdies 50 belichtet. Durch das Belichten der Dieverbinder 66 können eventuell vorhandene Lötregionen auf den Dieverbindern 66 entfernt werden.The dielectric layer 68 may be a polymer such as polybenzoxazole (PBO), polyimide, benzocyclobutene (BCB) or the like, a nitride such as silicon nitride or the like, an oxide such as silicon oxide, phosphorus silicate glass (PSG), borosilicate glass (BSG), boron doped phosphorus silicate glass (BPSG) or the like or a combination thereof. The dielectric layer 68 can be formed, for example, by spin coating, lamination, chemical vapor deposition (CVD), or the like. In some embodiments, die connectors 66 are exposed through dielectric layer 68 during formation of integrated circuit die 50 . In some embodiments, die connectors 66 remain hidden and are exposed during a subsequent process of packaging integrated circuit die 50 . By exposing the die connectors 66, any soldering regions present on the die connectors 66 can be removed.

In einigen Ausführungsformen ist der integrierte Schaltungsdie 50 eine gestapelte Vorrichtung, das mehrere Halbleitersubstrate 52 umfasst. Der integrierte Schaltungsdie 50 kann beispielsweise eine Speichervorrichtung sein, wie ein hybrides Speicherwürfelmodul (HMC-Modul), ein High-Bandwidth-Memory-Modul (HBM-Modul) oder dergleichen, das mehrere Speicherdies umfasst. In solchen Ausführungsformen umfasst der integrierte Schaltungsdie 50 mehrere Halbleitersubstrate 52, die durch Substratdurchkontaktierungen (TSVs) miteinander verbunden sind (auch als Siliziumdurchkontaktierungen bezeichnet). Jedes der Halbleitersubstrate 52 kann (muss aber nicht) eine Interconnect-Struktur 60 aufweisen.In some embodiments, integrated circuit die 50 is a stacked device that includes multiple semiconductor substrates 52 . Integrated circuit die 50 may be, for example, a memory device such as a hybrid memory cube (HMC) module, a high bandwidth memory (HBM) module, or the like that includes multiple memory dies. In such embodiments, the integrated circuit die 50 includes a plurality of semiconductor substrates 52 interconnected by substrate vias (TSVs) (also referred to as silicon vias). Each of the semiconductor substrates 52 may (but need not) have an interconnect structure 60 .

Die 2 bis 25 illustrieren Querschnittsansichten des Herstellens eines integrierten Schaltungspackages mit verbesserter Wärmeableitung nach einigen Ausführungsformen. In 2 ist ein Trägersubstrat 102 zu sehen, und eine Trennschicht 104 ist auf dem Trägersubstrat 102 gebildet. Das Trägersubstrat 102 kann ein Glasträgersubstrat, ein keramisches Trägersubstrat oder dergleichen sein. Das Trägersubstrat 102 kann ein Wafer sein, sodass mehrere Packages gleichzeitig auf dem Trägersubstrat 102 gebildet sein können. In einigen Ausführungsformen können ein oder mehrere der integrierten Schaltungsdies 50 so gepackt sein, dass sie ein integriertes Schaltungspackage in jedem von mehreren Packageregionen auf dem Wafer bilden. Die fertigen integrierten Schaltungspackages können auch als integrierte Fanout-Packages (InFO-Packages) bezeichnet werden.the 2 until 25 12 illustrate cross-sectional views of fabricating an integrated circuit package with improved heat dissipation, according to some embodiments. In 2 A support substrate 102 is seen and a release layer 104 is formed on the support substrate 102 . The support substrate 102 may be a glass support substrate, a ceramic support substrate, or the like. The carrier substrate 102 can be a wafer, so that a plurality of packages can be formed on the carrier substrate 102 at the same time. In some embodiments, one or more of the integrated circuit dies 50 may be packaged to form an integrated circuit package in each of multiple package regions on the wafer. The finished integrated circuit packages can also be referred to as integrated fanout packages (InFO packages).

Die Trennschicht 104 kann aus einem polymerbasierten Material gebildet sein, das zusammen mit dem Trägersubstrat 102 von den darüberliegenden Strukturen entfernt werden kann, die in nachfolgenden Schritten gebildet werden. In einigen Ausführungsformen ist die Trennschicht 104 ein epoxidbasiertes Wärmetrennmaterial, das seine Klebeeigenschaften verliert, wenn es erhitzt wird, wie etwa eine Licht-Wärme-Konvertierungs-Trennbeschichtungen (LTHC-Trennbeschichtung). In anderen Ausführungsformen kann die Trennschicht 104 ein Ultraviolettkleber (UV-Kleber) sein, der seine Klebeeigenschaften verliert, wenn er mit UV-Licht belichtet wird. Die Trennschicht 104 kann als Flüssigkeit aufgebracht und gehärtet werden, kann ein Laminatfilm sein, der auf das Trägersubstrat 102 laminiert wird, oder dergleichen. Die obere Fläche der Trennschicht 104 kann planarisiert werden und einen hohen Grad an Planarität aufweisen.The release layer 104 may be formed from a polymer-based material that may be removed along with the support substrate 102 from overlying structures formed in subsequent steps. In some embodiments, release liner 104 is an epoxy-based thermal release material that loses its adhesive properties when heated, such as a light-to-heat conversion (LTHC) release coating. In other embodiments, release liner 104 may be an ultraviolet (UV) adhesive that loses its adhesive properties when exposed to UV light. The release liner 104 may be applied and cured as a liquid, may be a laminate film that is laminated to the support substrate 102, or the like. The top surface of release layer 104 can be planarized and have a high degree of planarity.

In 3 ist eine Vorderseitenumverteilungsstruktur 124 auf der Trennschicht 104 gebildet. Die Vorderseitenumverteilungsstruktur 124 umfasst Dielektrikumschichten 106, 110, 114 und 118 sowie Metallisierungsstrukturen 108, 112, 116 und 120 (umfassend die leitfähigen Pads 120A und 120B). Die Metallisierungsstrukturen 108, 112, 116 und 120 können auch als Umverteilungsschichten oder Umverteilungsleitungen bezeichnet werden. Die in 3 illustrierte Vorderseitenumverteilungsstruktur 124 umfasst vier Dielektrikumschichten und vier Schichten mit Metallisierungsstrukturen. Mehr oder weniger Dielektrikumschichten und Metallisierungsstrukturen können in der Vorderseitenumverteilungsstruktur 124 gebildet sein. Wenn weniger Dielektrikumschichten und Metallisierungsstrukturen gebildet werden sollen, können Schritte und der Prozess wie nachfolgend besprochen weggelassen werden. Wenn mehr Dielektrikumschichten und Metallisierungsstrukturen gebildet werden sollen, können die Schritte und Prozesse wie nachfolgend besprochen wiederholt werden.In 3 a front side redistribution structure 124 is formed on the release layer 104 . Front side redistribution structure 124 includes dielectric layers 106, 110, 114, and 118 and metallization structures 108, 112, 116, and 120 (including conductive pads 120A and 120B). The metallization structures 108, 112, 116 and 120 may also be referred to as redistribution layers or redistribution lines. In the 3 Illustrated front side redistribution structure 124 includes four layers of dielectric and four layers of metallization structures. More or fewer dielectric layers and metallization structures may be formed in the front side redistribution structure 124 . If less dielectric layers and metallization structures are to be formed, steps and the process discussed below may be omitted. If more dielectric layers and metallization structures are to be formed, the steps and processes discussed below may be repeated.

Die Vorderseitenumverteilungsstruktur 124 kann durch Abscheiden der Dielektrikumschicht 106 auf die Trennschicht 104 gebildet sein. In einigen Ausführungsformen kann die Dielektrikumschicht 106 aus einem lichtempfindlichen Material wie PBO, Polyimid, BCB oder dergleichen gebildet sein, das mit einer Lithografiemaske strukturiert werden kann. Die Dielektrikumschicht 106 kann durch Spin-Coating, Laminierung, CVD, dergleichen oder einer Kombination daraus gebildet werden.The front side redistribution structure 124 may be formed by depositing the dielectric layer 106 onto the isolation layer 104 . In some embodiments, the dielectric layer 106 may be formed from a photosensitive material such as PBO, polyimide, BCB, or the like that may be patterned with a lithography mask. The dielectric layer 106 may be formed by spin coating, lamination, CVD, the like, or a combination thereof.

Die Metallisierungsstruktur 108 wird auf der Dielektrikumschicht 106 gebildet. Die Metallisierungsstruktur 108 kann durch Bilden einer Seed-Schicht (nicht separat illustriert) über der Dielektrikumschicht 106 gebildet werden. Die Seed-Schicht kann eine Metallschicht sein, die eine einzelne Schicht oder eine zusammengesetzte Schicht sein kann, die mehrere Zwischenschichten umfasst, die aus unterschiedlichen Materialien gebildet sein können. In einigen Ausführungsformen umfasst die Seed-Schicht eine Titanschicht und eine Kupferschicht über der Titanschicht. Die Seed-Schicht kann durch physische Gasphasenabscheidung (PVD) oder dergleichen Weise abgeschieden werden. Ein Fotolack wird auf der Seed-Schicht gebildet und strukturiert. Der Fotolack kann durch Spin-Coating oder dergleichen gebildet werden und kann zum Strukturieren belichtet werden. Die Struktur des Fotolacks entspricht der Metallisierungsstruktur 108. Das Strukturieren bildet Öffnungen durch den Fotolack zum Belichten der Seed-Schicht. Ein leitfähiges Material wird in den Öffnungen des Fotolack und an den belichteten Abschnitten der Seed-Schicht gebildet. Das leitfähige Material kann durch Plattieren, (z. B. Elektroplattieren oder elektroloses Plattieren) oder dergleichen gebildet werden. Das leitfähige Material kann ein Metall umfassen, wie etwa Kupfer, Titan, Wolfram, Aluminium oder dergleichen. Die Kombination des leitfähigen Materials und der darunterliegenden Abschnitte der Seed-Schicht bilden die Metallisierungsstruktur 108. Der Fotolack und Abschnitte der Seed-Schicht, auf der das leitfähige Material nicht gebildet wird, werden entfernt. Der Fotolack kann durch einen annehmbaren Aschen- oder Stripping-Prozess entfernt werden, wie etwa durch Verwendung von Sauerstoffplasma oder dergleichen. Wenn der Fotolack entfernt wird, werden belichtete Abschnitte der Seed-Schicht durch Verwendung eines annehmbaren Ätzprozesses, wie etwa durch Nass- oder Trockenätzen, entfernt.The metallization structure 108 is formed on the dielectric layer 106 . The metallization structure 108 may be formed by forming a seed layer (not separately illustrated) over the dielectric layer 106 . The seed layer can be a metal layer, which can be a single layer or a composite layer that includes multiple intermediate layers that can be formed of different materials. In some embodiments, the seed layer includes a titanium layer and a copper layer over the titanium layer. The seed layer can be deposited by physical vapor deposition (PVD) or the like. A photoresist is formed and patterned on the seed layer. The photoresist can be formed by spin coating or the like and can be exposed to light for patterning. The structure of the photoresist corresponds to the metallization structure 108. The patterning forms openings through the photoresist for exposing the seed layer. A conductive material is formed in the openings of the photoresist and on the exposed portions of the seed layer. The conductive material can be formed by plating (e.g., electroplating or electroless plating) or the like. The conductive material may include a metal such as copper, titanium, tungsten, aluminum, or the like. The combination of the conductive material and the underlying portions of the seed layer form the metallization structure 108. The photoresist and portions of the seed layer on which the conductive material is not formed are removed. The photoresist can be removed by any acceptable ashing or stripping process, such as using oxygen plasma or the like. When the photoresist is removed, exposed portions of the seed layer are removed using an acceptable etching process, such as wet or dry etching.

Die Dielektrikumschicht 110 wird auf die Metallisierungsstruktur 108 und die Dielektrikumschicht 106 abgeschieden. Die Dielektrikumschicht 110 kann aus den gleichen oder ähnlichen Materialien wie die Dielektrikumschicht 106 gebildet werden. Durch die Dielektrikumschicht 110 können Öffnungen strukturiert werden, um die darunter liegende Metallisierungsstruktur 108 zu belichten. Die Öffnungen können mit einem akzeptablen Prozess durch die Dielektrikumschicht 110 hindurch strukturiert werden. In Ausführungsformen, in denen die Dielektrikumschicht 110 ein lichtempfindliches Material umfasst, kann die Dielektrikumschicht 110 mit einer strukturierten Energiequelle (z. B. einer strukturierten Lichtquelle) belichtet und entwickelt werden, um die Öffnungen zu bilden, die sich durch die Dielektrikumschicht 110 erstrecken. In einigen Ausführungsformen kann eine strukturierte Maske über der Dielektrikumschicht 110 gebildet sein, und die Dielektrikumschicht 110 kann durch die strukturierte Maske hindurch strukturiert werden, indem ein Ätzprozess, wie z. B. ein anisotropes Ätzen, verwendet wird, um die Öffnungen zu bilden, die sich durch die Dielektrikumschicht 110 hindurch erstrecken.The dielectric layer 110 is deposited onto the metallization structure 108 and the dielectric layer 106 . Dielectric layer 110 may be formed from the same or similar materials as dielectric layer 106 . Openings can be structured through the dielectric layer 110 in order to expose the underlying metallization structure 108 . The openings can be patterned through the dielectric layer 110 with an acceptable process. In embodiments where the dielectric layer 110 includes a photosensitive material, the dielectric layer 110 may be exposed to a patterned energy source (e.g., a patterned light source) and developed to form the openings that extend through the dielectric layer 110 . In some embodiments, a patterned mask may be formed over the dielectric layer 110, and the dielectric layer 110 may be patterned through the patterned mask by using an etch process, such as an etching process. B. an anisotropic etch, is used to form the openings that extend through the dielectric layer 110 therethrough.

Die Metallisierungsstruktur 112 wird auf der Dielektrikumschicht 110 und der Metallisierungsstruktur 108 gebildet. Die Metallisierungsstruktur 112 umfasst Abschnitte auf und entlang einer oberen Fläche der Dielektrikumschicht 110 (z. B. Leiterbahnen) und Abschnitte, die sich durch die Dielektrikumschicht 110 erstrecken (z. B. leitfähige Durchkontaktierungen). Die Abschnitte der Metallisierungsstruktur 112, die sich durch die Dielektrikumschicht 110 hindurch erstrecken, können mit der Metallisierungsstruktur 108 elektrisch gekoppelt sein und physisch in Kontakt damit stehen. Die Metallisierungsstruktur 112 kann aus den gleichen Materialien und auf die gleiche oder ähnliche Weise wie die Metallisierungsstruktur 108 gebildet sein. In einigen Ausführungsformen weist die Metallisierungsstruktur 112 eine andere Größe auf als die Metallisierungsstruktur 108. Beispielsweise können die Leiterbahnen und/oder die leitfähigen Durchkontaktierungen der Metallisierungsstruktur 112 breiter oder dicker sein als die Leiterbahnen der Metallisierungsstruktur 108. Ferner kann die Metallisierungsstruktur 112 mit einem grloeren Abstand gebildet sein als die Metallisierungsstruktur 108.The metallization structure 112 is formed on the dielectric layer 110 and the metallization structure 108 . The metallization structure 112 includes portions on and along a top surface of the dielectric layer 110 (e.g., conductive traces) and portions that extend through the dielectric layer 110 (e.g., conductive vias). The portions of metallization structure 112 that extend through dielectric layer 110 may be electrically coupled to metallization structure 108 and may be in physical contact therewith. Metallization structure 112 may be formed from the same materials and in the same or similar manner as metallization structure 108 . In some embodiments, metallization structure 112 has a different size than metallization structure 108. For example, the traces and/or conductive vias of metallization structure 112 may be wider or thicker than the traces of metallization structure 108. Furthermore, metallization structure 112 may be formed with a greater spacing be than the metallization structure 108.

Die Dielektrikumschicht 114 wird auf die Metallisierungsstruktur 112 und die Dielektrikumschicht 110 abgeschieden. Die Dielektrikumschicht 114 kann strukturiert werden, um die Metallisierungsstruktur 112 zu belichten. Die Dielektrikumschicht 114 kann aus Materialien gebildet sein, die auf die gleiche oder ähnliche Weise wie die Dielektrikumschicht 110 gebildet und strukturiert sind.The dielectric layer 114 is deposited onto the metallization structure 112 and the dielectric layer 110 . The dielectric layer 114 can be patterned to expose the metallization pattern 112 . Dielectric layer 114 may be formed from materials formed and patterned in the same or similar manner as dielectric layer 110 .

Die Metallisierungsstruktur 116 wird auf der Dielektrikumschicht 114 und der Metallisierungsstruktur 112 gebildet. Die Metallisierungsstruktur 116 umfasst Abschnitte auf und entlang einer oberen Fläche der Dielektrikumschicht 114 (z. B. Leiterbahnen) und Abschnitte, die sich durch die Dielektrikumschicht 114 erstrecken (z. B. leitfähige Durchkontaktierungen). Die Abschnitte der Metallisierungsstruktur 116, die sich durch die Dielektrikumschicht 114 hindurch erstrecken, können mit der Metallisierungsstruktur 112 elektrisch gekoppelt sein und physisch in Kontakt damit stehen. Die Metallisierungsstruktur 116 kann aus den gleichen Materialien und auf die gleiche oder ähnliche Weise wie die Metallisierungsstruktur 108 gebildet sein. In einigen Ausführungsformen weist die Metallisierungsstruktur 116 eine andere Größe auf als die Metallisierungsstruktur 108 und die Metallisierungsstruktur 112. Beispielsweise können die Leiterbahnen und/oder die leitfähigen Durchkontaktierungen der Metallisierungsstruktur 116 breiter oder dicker sein als die Leiterbahnen und/oder die leitfähigen Durchkontaktierungen der Metallisierungsstruktur 108 und der Metallisierungsstruktur 112. Ferner kann die Metallisierungsstruktur 116 in einem größeren Abstand als die Metallisierungsstruktur 108 und die Metallisierungsstruktur 112 gebildet sein.The metallization structure 116 is formed on the dielectric layer 114 and the metallization structure 112 . The metallization structure 116 includes portions on and along a top surface of the dielectric layer 114 (e.g., conductive traces) and portions that extend through the dielectric layer 114 (e.g., conductive vias). The portions of metallization structure 116 that extend through dielectric layer 114 may be electrically coupled to metallization structure 112 and may be in physical contact therewith. Metallization structure 116 may be formed from the same materials and in the same or similar manner as metallization structure 108 . In some embodiments, metallization structure 116 has a different size than metallization structure 108 and metallization structure 112. For example, the traces and/or conductive vias of metallization structure 116 may be wider or thicker than the traces and/or conductive vias of metallization structure 108 and of metallization structure 112. Furthermore, metallization structure 116 may be formed at a greater spacing than metallization structure 108 and metallization structure 112.

Die Dielektrikumschicht 118 wird auf die Metallisierungsstruktur 116 und die Dielektrikumschicht 114 abgeschieden. Die Dielektrikumschicht 118 kann so strukturiert sein, dass Öffnungen entstehen, die die Metallisierungsstruktur 116 belichten. Die Dielektrikumschicht 118 kann aus Materialien gebildet sein, die auf die gleiche oder ähnliche Weise wie die Dielektrikumschicht 110 gebildet und strukturiert sind.The dielectric layer 118 is deposited onto the metallization structure 116 and the dielectric layer 114 . The dielectric layer 118 can be structured in such a way that openings are formed which expose the metallization structure 116 . Dielectric layer 118 may be formed from materials formed and patterned in the same or similar manner as dielectric layer 110 .

Die Metallisierungsstruktur 120 wird in den Öffnungen gebildet, die sich durch die Dielektrikumschicht 118 erstrecken. In einigen Ausführungsformen kann die Metallisierungsstruktur 120 auf der Dielektrikumschicht 118 und der Metallisierungsstruktur 116 gebildet sein. Die Metallisierungsstruktur 120 kann aus den gleichen Materialien und auf die gleiche oder ähnliche Weise wie die Metallisierungsstruktur 108 gebildet sein. Nach dem Bilden der Metallisierungsstruktur 120 kann ein Planarisierungsprozess auf der Metallisierungsstruktur 120 ausgeführt werden, um die oberen Flächen der Metallisierungsstruktur 120 mit den oberen Flächen der Dielektrikumschicht 118 abzugleichen. Der Planarisierungsprozess kann ein chemisch-mechanisches Polieren (CMP), ein Schleifprozess oder dergleichen sein. Die Metallisierungsstruktur 120 kann leitfähige Pads 120A, auf denen nachfolgend Durchkontaktierungen (wie die Durchkontaktierungen 126, die weiter unten mit Verweis auf 4 besprochen werden) gebildet werden können, und leitfähige Pads 120B, mit denen nachfolgend leitfähige Verbinder (wie die leitfähigen Verbinder 128, die weiter unten mit Verweis auf 5 besprochen werden) verbunden werden können, umfassen.The metallization structure 120 is formed in the openings that extend through the dielectric layer 118 . In some embodiments, the metallization structure 120 may be formed on the dielectric layer 118 and the metallization structure 116 . Metallization structure 120 may be formed from the same materials and in the same or similar manner as metallization structure 108 . After forming the metallization structure 120 , a planarization process may be performed on the metallization structure 120 to align the top surfaces of the metallization structure 120 with the top surfaces of the dielectric layer 118 . The planarization process can be a chemical mechanical polishing (CMP), a grinding process, or the like. The metallization structure 120 may include conductive pads 120A followed by vias (such as vias 126 described below with reference to FIG 4 to be discussed) may be formed, and conductive pads 120B to which subsequent conductive connectors (such as conductive connectors 128 discussed below with reference to FIG 5 to be discussed) can be connected.

In 4 sind auf den leitfähigen Pads 120A der Metallisierungsstruktur 120 Durchkontaktierungen 126 (auch als Formdurchkontaktierungs-Interconnects (TMIs) bezeichnet) gebildet. Die Durchkontaktierungen 126 können sich von der obersten Dielektrikumschicht der Vorderseitenumverteilungsstruktur 124 (z. B. der Dielektrikumschicht 118) weg erstrecken. Zum Bilden der Durchkontaktierungen 126 wird beispielsweise über der Vorderseitenumverteilungsstruktur 124, z. B. auf der Dielektrikumschicht 118 und der Metallisierungsstruktur 120, eine Seed-Schicht (nicht separat illustriert) gebildet. In einigen Ausführungsformen ist die Seed-Schicht eine Metallschicht, die eine einzige Schicht oder eine zusammengesetzte Schicht sein kann, die mehrere Unterschichten umfasst, die aus verschiedenen Materialien gebildet sind. In einer bestimmten Ausführungsform umfasst die Seed-Schicht eine Titanschicht und eine Kupferschicht über der Titanschicht. Die Seed-Schicht kann beispielsweise unter Verwendung von physischer Gasphasenabscheidung (PVD) oder dergleichen gebildet sein. In einigen Ausführungsformen, wie etwa wenn die Durchkontaktierungen 126 gleich breit oder schmaler als die darunter liegenden leitfähigen Pads 120A sind, kann auf eine separate Seed-Schicht verzichtet werden, und die leitfähigen Pads 120A können als Seed-Schicht dienen.In 4 Formed on the conductive pads 120A of the metallization structure 120 are vias 126 (also referred to as molded via interconnects (TMIs)). Vias 126 may extend away from the top dielectric layer of front side redistribution structure 124 (eg, dielectric layer 118). To form vias 126, for example, over front side redistribution structure 124, e.g. B. on the dielectric layer 118 and the metallization structure 120, a seed layer (not separately illustrated) is formed. In some embodiments, the seed layer is a metal layer, which can be a single layer or a composite layer that includes multiple sub-layers formed from different materials. In a particular embodiment, the seed layer includes a titanium layer and a copper layer over the titanium layer. For example, the seed layer may be formed using physical vapor deposition (PVD) or the like. In some embodiments, such as when vias 126 are as wide or narrower than underlying conductive pads 120A, a separate seed layer may be omitted and conductive pads 120A may serve as a seed layer.

Auf der Seed-Schicht (sofern vorhanden) und der Vorderseitenumverteilungsstruktur 124 wird ein Fotolack gebildet und strukturiert. Der Fotolack kann durch Spin-Coating oder dergleichen gebildet werden und kann zum Strukturieren belichtet werden. Die Struktur des Fotolacks entspricht den Durchkontaktierungen 126. Bei der Strukturierung werden Öffnungen durch den Fotolack gebildet, um die Seed-Schicht oder die leitfähigen Pads 120A zu belichten. Ein leitfähiges Material wird in den Öffnungen des Fotolack und an den belichteten Abschnitten der Seed-Schicht gebildet. Das leitfähige Material kann durch Plattieren, wie etwa durch Elektroplattierung oder elektrolose Plattierung oder dergleichen gebildet werden. Das leitfähige Material kann ein Metall umfassen, wie etwa Kupfer, Titan, Wolfram, Aluminium oder dergleichen. Der Fotolack und Abschnitte der Seed-Schicht, auf der das leitfähige Material nicht gebildet wird, werden entfernt. Der Fotolack kann durch einen annehmbaren Aschen- oder Stripping-Prozess entfernt werden, wie etwa durch Verwendung von Sauerstoffplasma oder dergleichen. Wenn der Fotolack entfernt wird, werden belichtete Abschnitte der Seed-Schicht (sofern vorhanden) durch Verwendung eines annehmbaren Ätzprozesses, wie etwa durch Nass- oder Trockenätzen, entfernt. Die verbleibenden Abschnitte der Seed-Schicht und des leitfähigen Materials bilden die Durchkontaktierungen 126.A photoresist is formed and patterned on the seed layer (if present) and the front side redistribution structure 124 . The photoresist can be formed by spin coating or the like and can be exposed to light for patterning. The pattern of the photoresist corresponds to the vias 126. The patterning creates openings through the photoresist to expose the seed layer or conductive pads 120A. A conductive material is formed in the openings of the photoresist and on the exposed portions of the seed layer. The conductive material can be formed by plating such as electroplating or electroless plating or the like. The conductive material may include a metal such as copper, titanium, tungsten, aluminum, or the like. The photoresist and portions of the seed layer on which the conductive material is not formed are removed. The photoresist can be removed by any acceptable ashing or stripping process, such as using oxygen plasma or the like. When the photoresist is removed, exposed portions of the seed layer (if any) are removed using an acceptable etching process, such as wet or dry etching. The remaining sections of the seed Layer and the conductive material form the vias 126.

In einigen Ausführungsformen können anstelle der Durchkontaktierungen 126 auch Drahtbondstrukturen verwendet werden. Beispielsweise kann auf jedem der leitfähigen Pads 120A eine Drahtbondstruktur (nicht separat illustriert) gebildet sein. Jede der Drahtbondstrukturen kann einen Bondball, der auf dem jeweiligen leitfähigen Pad 120A gebildet ist, und einen Metalldraht, der an dem jeweiligen Bondball befestigt ist, umfassen.Wire bond structures may also be used in place of vias 126 in some embodiments. For example, a wire bond structure (not separately illustrated) may be formed on each of the conductive pads 120A. Each of the wire bond structures may include a bond ball formed on the respective conductive pad 120A and a metal wire attached to the respective bond ball.

In 5 sind zwei integrierte Schaltungsdies 50 in der illustrierten Packageregion miteinander verbunden. Obwohl 5 zwei integrierte Schaltungsdies 50 illustriert, die in der dargestellten Packageregion verbunden sind, kann eine beliebige Anzahl von integrierten Schaltungsdies 50 in jeder von mehreren Packageregionen auf einem Wafer verbunden werden. In 5 sind die integrierten Schaltungsdies 50 mit der Vorderseite nach unten angeordnet, sodass die Vorderseiten der integrierten Schaltungsdies 50 den leitfähigen Pads 120B zugewandt sind und die Rückseiten der integrierten Schaltungsdies 50 von den leitfähigen Pads 120B weg weisen. Die integrierten Schaltungsdies 50 sind mit den leitfähigen Pads 120B durch leitfähige Verbinder 128 verbunden. Die leitfähigen Verbinder 128 sind über den leitfähigen Pads 120B gebildet. Die leitfähigen Verbinder 128 können Ball-Grid-Array-Verbinder (BGA-Verbinder), Lotkugeln, Metallsäulen, Controlled-Collapse-Chipverbindungs-Bumps (C4-Bumps), Mikrobumps, mit der „Electroless Nickel-Electroless Palladium-Immersion Gold“-Technik (ENEPIG) gebildete Bumps oder dergleichen sein. Die leitfähigen Verbinder 128 können ein leitfähiges Material wie Lötzinn, Kupfer, Aluminium, Gold, Nickel, Silber, Palladium, Zinn, dergleichen oder eine Kombination daraus umfassen. In einigen Ausführungsformen werden die leitfähigen Verbinder 128 durch anfängliches Bilden einer Lötschicht durch Verdampfung, Elektroplattieren, Drucken, Lotübertragung, Kugelplatzierung oder dergleichen gebildet. Wenn die Lotschicht gebildet wurde, kann ein Aufschmelzen ausgeführt werden, um das Material in die gewünschten Bumpformen zu bilden. In einigen Ausführungsformen umfassen die leitfähigen Verbinder 128 Metallsäulen (wie etwa Kupfersäulen), die durch Sputtern, Drucken, Elektroplattieren, elektroloses Plattieren, CVD oder dergleichen gebildet werden können. Die Metallsäulen können lötfrei sein und vertikale Seitenwände aufweisen. In einigen Ausführungsformen wird eine Metallabdeckschicht auf der Oberseite der Metallsäulen gebildet. Die Metallabdeckschicht kann Nickel, Zinn, Zinn-Blei, Gold, Silber, Palladium, Indium, Nickel-Palladium-Gold, Nickel-Gold, dergleichen oder eine Kombination daraus umfassen. Die Metallabdeckschicht kann durch einen Plattierungsprozess gebildet sein.In 5 Two integrated circuit dies 50 are interconnected in the illustrated package region. Even though 5 Illustrating two integrated circuit dies 50 connected in the illustrated package region, any number of integrated circuit dies 50 may be connected in any of multiple package regions on a wafer. In 5 For example, integrated circuit dies 50 are arranged face down such that the front sides of integrated circuit dies 50 face conductive pads 120B and the back sides of integrated circuit dies 50 face away from conductive pads 120B. The integrated circuit dies 50 are connected to the conductive pads 120B by conductive connectors 128. FIG. Conductive connectors 128 are formed over conductive pads 120B. The conductive connectors 128 can be ball grid array (BGA) connectors, solder balls, metal pillars, controlled collapse chip interconnect bumps (C 4 bumps), microbumps, with the "Electroless Nickel-Electroless Palladium-Immersion Gold" technique (ENEPIG) formed bumps or the like. The conductive connectors 128 may include a conductive material such as solder, copper, aluminum, gold, nickel, silver, palladium, tin, the like, or a combination thereof. In some embodiments, the conductive connectors 128 are formed by initially forming a solder layer through evaporation, electroplating, printing, solder transfer, ball placement, or the like. Once the solder layer has been formed, reflow can be performed to form the material into the desired bump shapes. In some embodiments, the conductive connectors 128 include metal pillars (such as copper pillars) that may be formed by sputtering, printing, electroplating, electroless plating, CVD, or the like. The metal pillars can be solderless and have vertical sidewalls. In some embodiments, a metal cap layer is formed on top of the metal pillars. The metal cover layer may comprise nickel, tin, tin-lead, gold, silver, palladium, indium, nickel-palladium-gold, nickel-gold, the like, or a combination thereof. The metal cover layer can be formed by a plating process.

In 6 ist zwischen den integrierten Schaltungsdies 50 und der Vorderseitenumverteilungsstruktur 124 eine Unterfüllung 130 gebildet. Die Unterfüllung 130 kann die leitfähigen Verbinder 128 umschließen. Die Unterfüllung 130 kann durch einen Kapillarflussprozess gebildet sein, nachdem die integrierten Schaltungsdies 50 befestigt wurden, oder sie kann durch ein geeignetes Abscheidungsverfahren gebildet werden, bevor die integrierten Schaltungsdies 50 befestigt werden. In einigen Ausführungsformen kann die Unterfüllung 130 aus einem Polymermaterial gebildet sein und die Haftfestigkeit der integrierten Schaltungsdies 50 an der Vorderseitenumverteilungsstruktur 124 erhöhen.In 6 An underfill 130 is formed between the integrated circuit dies 50 and the front face redistribution structure 124 . The underfill 130 may enclose the conductive connectors 128 . The underfill 130 may be formed by a capillary flow process after the integrated circuit dies 50 are attached, or it may be formed by a suitable deposition process before the integrated circuit dies 50 are attached. In some embodiments, the underfill 130 may be formed from a polymeric material and may increase the bond strength of the integrated circuit dies 50 to the front face redistribution structure 124 .

In 7 wird eine Isolierschicht 132 über den h Durchkontaktierungen 126, den integrierten Schaltungsdies 50, der Unterfüllung 130 und der Vorderseitenumverteilungsstruktur 124 gebildet, und ein Verkapselungsmaterial 134 wird über der Isolierschicht 132 gebildet. Die Isolierschicht 132 kann eine konforme Schicht sein. In einigen Ausführungsformen kann die Isolierschicht 132 durch CVD, plasmaunterstützte CVD (PECVD), Atomlagenabscheidung (ALD), plasmaunterstützte ALD (PEALD), PVD, Sputtern, Spin-Coating, thermisches Spritzen oder dergleichen abgeschieden werden. Die Isolierschicht 132 kann aus einem elektrisch isolierenden Material gebildet sein. In einigen Ausführungsformen kann die Isolierschicht 132 aus einem Material mit einer hohen Wärmeleitfähigkeit gebildet sein, wie etwa mit einer Wärmeleitfähigkeit von mehr als 10 W/m·K. In den Ausführungsformen, in denen die Isolierschicht 132 aus einem Material mit hoher Wärmeleitfähigkeit gebildet ist, kann die Isolierschicht 132 Aluminiumnitrid (AlN), Bornitrid (BN), Berylliumoxid (BeO), Diamant, Aluminiumoxid (Al2O3), Magnesiumoxid (MgO), Kombinationen oder mehrere Schichten davon oder dergleichen umfassen. In einigen Ausführungsformen kann die Isolierschicht 132 aus einem Material mit einer relativ geringen Wärmeleitfähigkeit gebildet sein, wie etwa mit einer Wärmeleitfähigkeit von weniger als etwa 10 W/m•K, einer Wärmeleitfähigkeit im Bereich von etwa 1 W/m•K bis etwa 10 W/m•K oder dergleichen. In den Ausführungsformen, in denen die Isolierschicht 132 aus einem Material mit relativ geringer Wärmeleitfähigkeit gebildet ist, kann die Isolierschicht 132 aus Siliziumoxid (SiO2), Siliziumnitrid (SiNx), Siliziumoxynitrid (SiONx), Kombinationen oder mehreren Schichten davon oder dergleichen umfassen. In einigen Ausführungsformen kann die Isolierschicht 132 ein Polymermaterial umfassen. Die Isolierschicht 132 kann eine Wärmeleitfähigkeit im Bereich von etwa 1 W/m•K bis etwa 100 W/m·K, eine elektrische Leitfähigkeit im Bereich von etwa 107 Ω•cm bis etwa 1014 Ω•cm und einen Wärmeausdehnungskoeffizienten (WAK) im Bereich von etwa 0,1 ppm/°C bis etwa 10 ppm/°C aufweisen.In 7 an insulating layer 132 is formed over the h vias 126, the integrated circuit dies 50, the underfill 130, and the front side redistribution structure 124, and an encapsulation material 134 is formed over the insulating layer 132. FIG. The insulating layer 132 may be a conformal layer. In some embodiments, the insulating layer 132 may be deposited by CVD, plasma enhanced CVD (PECVD), atomic layer deposition (ALD), plasma enhanced ALD (PEALD), PVD, sputtering, spin coating, thermal spray, or the like. The insulating layer 132 may be formed from an electrically insulating material. In some embodiments, the insulating layer 132 may be formed from a material with a high thermal conductivity, such as a thermal conductivity greater than 10 W/m·K. In the embodiments where the insulating layer 132 is formed from a material with high thermal conductivity, the insulating layer 132 may be aluminum nitride (AlN), boron nitride (BN), beryllium oxide (BeO), diamond, aluminum oxide (Al 2 O 3 ), magnesium oxide (MgO ), combinations or multiple layers thereof, or the like. In some embodiments, the insulating layer 132 may be formed from a material with a relatively low thermal conductivity, such as a thermal conductivity of less than about 10 W/m•K, a thermal conductivity in the range of about 1 W/m•K to about 10 W /m•K or something like that. In the embodiments where the insulating layer 132 is formed from a material with relatively low thermal conductivity, the insulating layer 132 may comprise silicon oxide (SiO 2 ), silicon nitride (SiN x ), silicon oxynitride (SiON x ), combinations or multiple layers thereof, or the like . In some embodiments, insulating layer 132 may include a polymeric material. The insulating layer 132 can have a thermal conductivity in the range from about 1 W/m·K to about 100 W/m·K, an electrical conductivity in the range from about 10 7 Ω·cm to about 10 14 Ω·cm, and a coefficient of thermal expansion (CTE) in the range of about 0.1 ppm/°C to about 10 ppm/°C.

Das Verkapselungsmaterial 134 wird dann über der Isolierschicht 132 gebildet. Das Verkapselungsmaterial 134 kann ein Formmaterial, ein Epoxidharz oder dergleichen sein. In einigen Ausführungsformen kann das Verkapselungsmaterial 134 eine Mischung aus Epichlorhydrin mit Bisphenol-A (BPA), Bisphenol-A-Diglycidylether (DGEBA), Bisphenol-F (BPF), Phenolen, Thiolen, Anhydriden, Aminen, aliphatischen Alkoholen, Füllmaterialien, Kombinationen davon oder dergleichen umfassen. Das Verkapselungsmaterial 134 kann durch Formpressen, Spritzpressen oder dergleichen aufgebracht und so gebildet werden, dass die Durchkontaktierungen 126 und/oder die integrierten Schaltungsdies 50 verdeckt oder abgedeckt werden. Das Verkapselungsmaterial 134 kann in flüssiger oder halbflüssiger Form aufgebracht und nachfolgend gehärtet werden.The encapsulation material 134 is then formed over the insulating layer 132 . The encapsulation material 134 may be a molding material, an epoxy resin, or the like. In some embodiments, the encapsulation material 134 may be a mixture of epichlorohydrin with bisphenol-A (BPA), bisphenol-A diglycidyl ether (DGEBA), bisphenol-F (BPF), phenols, thiols, anhydrides, amines, aliphatic alcohols, fillers, combinations thereof or the like. The encapsulation material 134 may be applied by compression molding, transfer molding, or the like and formed to obscure or cover the vias 126 and/or the integrated circuit dies 50. The encapsulation material 134 can be applied in liquid or semi-liquid form and subsequently cured.

Das Verkapselungsmaterial 134 kann aus Materialien mit hoher Wärmeleitfähigkeit gebildet sein, was die Wärmeableitung durch das Verkapselungsmaterial 134 verbessert. In einigen Ausführungsformen kann das Verkapselungsmaterial 134 beispielsweise aus einer Mischung aus Epoxid und leitfähigen Füllmaterialien gebildet sein, die Graphit, Graphen, Kohlenstoff-Nanoröhrchen, leitfähige Partikel (z. B. Kupfer (Cu), Silizium (Si), Silber (Ag), Gold (Au), Eisen (Fe), Wolfram (W), Kombinationen davon oder dergleichen), Kombinationen davon oder dergleichen umfassen können. In einigen Ausführungsformen können die Graphitfüllmaterialien Flocken mit einer Größe von weniger als 1 µm umfassen. Die Graphenfüllmaterialien können einschichtig oder mehrschichtig sein und Flocken mit einer Größe von weniger als 10 µm umfassen. Die Füllmaterialien aus Kohlenstoff-Nanoröhrchen können einwandig oder mehrwandig sein und Größen von weniger als 50 µm umfassen. Die leitfähigen Füllmaterialien können eine Wärmeleitfähigkeit im Bereich von etwa 10 W/m•K bis etwa 1.000 W/m•K, eine elektrische Leitfähigkeit im Bereich von etwa 10-3 Ω•cm bis etwa 1014 Ω•cm und einen Wärmeausdehnungskoeffizienten (WAK) im Bereich von etwa 1 ppm/°C bis etwa 10 ppm/°C aufweisen. Das Verkapselungsmaterial 134 kann die leitfähigen Füllmaterialien in einer Konzentration von etwa 5 Vol-% bis etwa 95 Vol-% umfassen. In einigen Ausführungsformen kann das Verkapselungsmaterial 134 die leitfähigen Füllmaterialien in einer Konzentration von etwa 70 bis etwa 95 Vol-%, einer Konzentration von etwa 5 bis etwa 40 Vol-% oder einer Konzentration von etwa 30 bis etwa 70 Vol-% umfassen. Das Aufnehmen der leitfähigen Füllmaterialien in den vorgeschriebenen Konzentrationsbereichen kann die Wärmeableitung durch das Verkapselungsmaterial 134 verbessern. In einigen Ausführungsformen kann das Verkapselungsmaterial 134 ferner nicht leitfähige Füllmaterialien wie AlN, Diamant, BN, BeO, Magnesiumoxid (MgO), Al2O3, SiO2, Silizium (Si), Siliziumnitrid (SiNx), Kombinationen davon oder dergleichen umfassen.The encapsulation material 134 may be formed from materials with high thermal conductivity, which improves heat dissipation through the encapsulation material 134 . For example, in some embodiments, the encapsulation material 134 may be formed from a mixture of epoxy and conductive filler materials that may include graphite, graphene, carbon nanotubes, conductive particles (e.g., copper (Cu), silicon (Si), silver (Ag), Gold (Au), iron (Fe), tungsten (W), combinations thereof, or the like), combinations thereof, or the like. In some embodiments, the graphite filler materials may include flakes less than 1 μm in size. The graphene fillers can be monolayer or multilayer and can include flakes less than 10 µm in size. The carbon nanotube filler materials can be single-walled or multi-walled and can include sizes of less than 50 μm. The conductive filler materials can have a thermal conductivity ranging from about 10 W/m•K to about 1,000 W/m•K, an electrical conductivity ranging from about 10 -3 Ω•cm to about 10 14 Ω•cm, and a coefficient of thermal expansion (CTE ) in the range of about 1 ppm/°C to about 10 ppm/°C. The encapsulation material 134 may include the conductive filler materials in a concentration of about 5% to about 95% by volume. In some embodiments, the encapsulation material 134 may include the conductive filler materials in a concentration of about 70% to about 95% by volume, a concentration of about 5% to about 40% by volume, or a concentration of about 30% to about 70% by volume. Including the conductive filler materials within the prescribed concentration ranges can improve heat dissipation through the encapsulation material 134 . In some embodiments, the encapsulation material 134 may further include non-conductive filler materials such as AlN, diamond, BN, BeO, magnesium oxide (MgO), Al 2 O 3 , SiO 2 , silicon (Si), silicon nitride (SiN x ), combinations thereof, or the like.

Die Wärmeleitfähigkeit des Verkapselungsmaterials 134 kann größer sein als die Wärmeleitfähigkeit der Isolierschicht 132. In einigen Ausführungsformen kann das Verkapselungsmaterial 134 eine Wärmeleitfähigkeit von mehr als etwa 40 W/m•K, eine Wärmeleitfähigkeit im Bereich von etwa 40 W/m•K bis etwa 100 W/m•K, von etwa 5 W/m•K bis etwa 200 W/m•K oder von etwa 100 W/m•K bis etwa 200 W/m•K oder dergleichen aufweisen. Das Verkapselungsmaterial 134 kann eine elektrische Leitfähigkeit im Bereich von etwa 10-3 Ω•cm bis etwa 1014 Ω•cm und einen Wärmeausdehnungskoeffizienten (WAK) im Bereich von etwa 0,1 ppm/°C bis etwa 20 ppm/°C aufweisen.The thermal conductivity of encapsulation material 134 may be greater than the thermal conductivity of insulating layer 132. In some embodiments, encapsulation material 134 may have a thermal conductivity greater than about 40 W/m•K, a thermal conductivity ranging from about 40 W/m•K to about 100 W/m•K, from about 5 W/m•K to about 200 W/m•K, or from about 100 W/m•K to about 200 W/m•K, or the like. The encapsulation material 134 may have an electrical conductivity ranging from about 10 -3 Ω·cm to about 10 14 Ω·cm and a coefficient of thermal expansion (CTE) ranging from about 0.1 ppm/°C to about 20 ppm/°C.

Das Bilden der Isolierschicht 132 über den Durchkontaktierungen 126, den integrierten Schaltungsdies 50 und der Vorderseitenumverteilungsstruktur 124 ermöglicht eine größere Flexibilität bei der Wahl der Materialien für das Verkapselungsmaterial 134. Beispielsweise verhindert die Isolierschicht 132, die aus einem elektrisch isolierenden Material gebildet ist, Kurzschlüsse zwischen den Durchkontaktierungen 126, den integrierten Schaltungsdies 50 und der Vorderseitenumverteilungsstruktur 124, selbst wenn das Verkapselungsmaterial 134 aus einem elektrisch leitfähigen Material gebildet ist. Die Isolierschicht 132 bildet eine Pufferschicht zwischen dem Verkapselungsmaterial 134 und den Durchkontaktierungen 126, den integrierten Schaltungsdies 50 und der Vorderseitenumverteilungsstruktur 124, wodurch Spannungen verringert werden. Dadurch kann das Verkapselungsmaterial 134 aus Materialien mit höheren Wärmeausdehnungskoeffizienten gebildet sein. Die größere Flexibilität bei der Wahl der Materialien für das Verkapselungsmaterial 134 ermöglicht die Verwendung von Materialien mit hohen Wärmeleitfähigkeiten für das Verkapselungsmaterial 134, was die Wärmeabfuhr aus den integrierten Schaltungsdies 50 verbessert. Dadurch wird die Vorrichtungsleistung verbessert und die Zahl der Vorrichtungsfehler verringert.Forming the insulating layer 132 over the vias 126, the integrated circuit dies 50, and the front side redistribution structure 124 allows greater flexibility in the choice of materials for the encapsulation material 134. For example, the insulating layer 132, being formed from an electrically insulating material, prevents short circuits between the Vias 126, the integrated circuit dies 50 and the front face redistribution structure 124, even if the encapsulation material 134 is formed of an electrically conductive material. The insulating layer 132 forms a buffer layer between the encapsulation material 134 and the vias 126, the integrated circuit dies 50 and the front side redistribution structure 124, thereby reducing stress. As a result, the encapsulation material 134 can be formed from materials with higher coefficients of thermal expansion. The greater flexibility in choosing materials for the encapsulation material 134 allows the use of materials with high thermal conductivities for the encapsulation material 134, which improves the heat dissipation from the integrated circuit dies 50. This improves device performance and reduces device errors.

Die Isolierschicht 132 kann eine Dicke t1 von etwa 10 nm bis etwa 100 nm aufweisen. Das Bilden der Isolierschicht 132 mit einer geringeren Dicke als dem vorgeschriebenen Bereich kann zu Schwierigkeiten bei dem Bilden der Isolierschicht 132 führen und kann unzureichend sein, um die Vorteile der Isolierschicht 132 zu erreichen (z. B. Bereitstellung einer elektrischen Isolierung zwischen den Durchkontaktierungen 126, den integrierten Schaltungsdies 50 und der Vorderseitenumverteilungsstruktur 124 und Bereitstellung einer Pufferschicht zwischen dem Verkapselungsmaterial 134 und den darunter liegenden Strukturen). Ferner kann die Isolierschicht 132 aus einem Material gebildet sein, das eine geringere Wärmeleitfähigkeit aufweist als das Material des Verkapselungsmaterials 134. Wenn die Isolierschicht 132 dicker als der vorgeschriebene Bereich gebildet ist, verringert sich die kombinierte Wärmeleitfähigkeit der Isolierschicht 132 und des Verkapselungsmaterials 134.The insulating layer 132 may have a thickness t 1 of about 10 nm to about 100 nm. Forming insulating layer 132 with a thickness less than the prescribed range may result in difficulties in forming insulating layer 132 and may be insufficient to achieve the benefits of insulating layer 132 (e.g., providing electrical isolation between vias 126, the integrated circuit dies 50 and the front face redistribution structure 124 and providing a buffer layer between the encapsulation material 134 and the underlying structures). Furthermore, the insulating layer 132 may be formed of a material having a lower Having thermal conductivity than the material of the encapsulation material 134. If the insulating layer 132 is formed thicker than the prescribed range, the combined thermal conductivity of the insulating layer 132 and the encapsulating material 134 decreases.

In 8 wird ein Planarisierungsprozess am Verkapselungsmaterial 134 und der Isolierschicht 132 ausgeführt. Wie in 9 illustriert ist, kann der Planarisierungsprozess die Durchkontaktierungen 126 belichten. Die Durchkontaktierungen 126 führen durch die Isolierschicht 132 und das Verkapselungsmaterial 134 und können nachfolgend als Durchkontaktierungen 126 bezeichnet werden. In einigen Ausführungsformen kann zumindest ein Abschnitt der Isolierschicht 132 auf den Rückseiten der integrierten Schaltungsdies 50 verbleiben. In einigen Ausführungsformen können Abschnitte der Verkapselung 134 auch über den Rückseiten der integrierten Schaltungsdies 50 verbleiben, oder das Verkapselungsmaterial 134 und die Isolierschicht 132 können planarisiert werden, sodass die Rückseiten der integrierten Schaltungsdies 50 belichtet werden. Durch den Planarisierungsprozess kann auch Material von den Durchkontaktierungen 126 entfernt werden. Die oberen Flächen der Durchkontaktierungen 126, der Isolierschicht 132 und des Verkapselungsmaterials 134 können nach dem Planarisierungsprozess (z. B. innerhalb von Prozessschwankungen) auf gleicher Höhe liegen. In einigen Ausführungsformen kann der Planarisierungsprozess ein CMP, ein Schleifprozess oder dergleichen sein.In 8th a planarization process is performed on the encapsulation material 134 and the insulating layer 132 . As in 9 1, the planarization process may expose vias 126. FIG. Vias 126 pass through insulating layer 132 and encapsulation material 134 and may be referred to as vias 126 hereinafter. In some embodiments, at least a portion of the insulating layer 132 on the backsides of the integrated circuit dies 50 may remain. In some embodiments, portions of the encapsulation 134 may also remain over the backsides of the integrated circuit dies 50, or the encapsulation material 134 and insulating layer 132 may be planarized such that the backsides of the integrated circuit dies 50 are exposed. Material may also be removed from vias 126 by the planarization process. The top surfaces of vias 126, insulating layer 132, and encapsulation material 134 may be level after the planarization process (e.g., within process variations). In some embodiments, the planarization process may be a CMP, a grinding process, or the like.

In 9 ist eine Rückseitenumverteilungsstruktur 144 auf dem Verkapselungsmaterial 134, den Durchkontaktierungen 126 und der Isolierschicht 132 gebildet. Die Rückseitenumverteilungsstruktur 144 umfasst eine Dielektrikumschicht 138 und Metallisierungsstrukturen 136 und 140. Die Metallisierungsstrukturen 136 und 140 können auch als Umverteilungsschichten oder Umverteilungsleitungen bezeichnet werden. Die in 9 illustrierte Rückseitenumverteilungsstruktur 144 umfasst eine Dielektrikumschicht und zwei Schichten mit Metallisierungsstrukturen. Mehr oder weniger Dielektrikumschichten und Metallisierungsstrukturen können in der Rückseitenumverteilungsstruktur 144 gebildet sein. Wenn weniger Dielektrikumschichten und Metallisierungsstrukturen gebildet werden sollen, können Schritte und der Prozess wie nachfolgend besprochen wiederholt werden. Wenn mehr Dielektrikumschichten und Metallisierungsstrukturen gebildet werden sollen, können die Schritte und Prozesse wie nachfolgend besprochen wiederholt werden.In 9 A backside redistribution structure 144 is formed on the encapsulation material 134, the vias 126 and the insulating layer 132. FIG. Backside redistribution structure 144 includes dielectric layer 138 and metallization structures 136 and 140. Metallization structures 136 and 140 may also be referred to as redistribution layers or redistribution lines. In the 9 Illustrated backside redistribution structure 144 includes a dielectric layer and two layers of metallization structures. More or fewer dielectric layers and metallization structures may be formed in the backside redistribution structure 144 . If fewer dielectric layers and metallization structures are to be formed, steps and the process may be repeated as discussed below. If more dielectric layers and metallization structures are to be formed, the steps and processes discussed below may be repeated.

Die Rückseitenumverteilungsstruktur 144 kann durch das Bilden der Metallisierungsstruktur 136 auf des Verkapselungsmaterials 134, der Isolierschicht 132 und den Durchkontaktierungen 126 gebildet werden. Die Metallisierungsstruktur 136 kann aus den gleichen Materialien und auf die gleiche oder ähnliche Weise wie die Metallisierungsstruktur 108 gebildet sein. Nachdem die Metallisierungsstruktur 136 gebildet und strukturiert ist, kann die Metallisierungsstruktur 136 Abschnitte umfassen, die sich entlang der oberen Flächen des Verkapselungsmaterials 134, der Isolierschicht 132 und der Durchkontaktierungen 126 erstrecken. Die Metallisierungsstruktur 136 kann mit den Durchkontaktierungen 126 elektrisch gekoppelt sein.The backside redistribution structure 144 may be formed by forming the metallization structure 136 on the encapsulation material 134, the insulating layer 132, and the vias 126. FIG. Metallization structure 136 may be formed from the same materials and in the same or similar manner as metallization structure 108 . After the metallization structure 136 is formed and patterned, the metallization structure 136 may include portions that extend along the top surfaces of the encapsulation material 134 , the insulating layer 132 , and the vias 126 . The metallization structure 136 may be electrically coupled to the vias 126 .

Die Dielektrikumschicht 138 wird auf die Metallisierungsstruktur 136, das Verkapselungsmaterial 134, die Isolierschicht 132 und die Durchkontaktierungen 126 abgeschieden. Die Dielektrikumschicht 138 kann aus den gleichen oder ähnlichen Materialien wie die Dielektrikumschicht 106 gebildet werden. Durch die Dielektrikumschicht 138 können Öffnungen strukturiert werden, um die darunter liegende Metallisierungsstruktur 136 zu belichten. Die Öffnungen können mit einem akzeptablen Prozess durch die Dielektrikumschicht 138 hindurch strukturiert werden. In Ausführungsformen, in denen die Dielektrikumschicht 138 ein lichtempfindliches Material umfasst, kann die Dielektrikumschicht 138 mit einer strukturierten Energiequelle (z. B. einer strukturierten Lichtquelle) belichtet und entwickelt werden, um die Öffnungen zu bilden, die sich durch die Dielektrikumschicht 138 erstrecken. In einigen Ausführungsformen kann eine strukturierte Maske über der Dielektrikumschicht 138 gebildet sein, und die Dielektrikumschicht 138 kann durch die strukturierte Maske hindurch strukturiert werden, indem ein Ätzprozess, wie z. B. ein anisotropes Ätzen, verwendet wird, um die Öffnungen zu bilden, die sich durch die Dielektrikumschicht 138 hindurch erstrecken.The dielectric layer 138 is deposited onto the metallization structure 136, the encapsulation material 134, the insulating layer 132 and the vias 126. FIG. Dielectric layer 138 may be formed from the same or similar materials as dielectric layer 106 . Openings can be structured through the dielectric layer 138 in order to expose the metallization structure 136 lying underneath. The openings can be patterned through the dielectric layer 138 with any acceptable process. In embodiments where the dielectric layer 138 includes a photosensitive material, the dielectric layer 138 may be exposed to a patterned energy source (e.g., a patterned light source) and developed to form the openings that extend through the dielectric layer 138 . In some embodiments, a patterned mask may be formed over the dielectric layer 138, and the dielectric layer 138 may be patterned through the patterned mask by using an etch process, such as an etching process. B. an anisotropic etch, is used to form the openings that extend through the dielectric layer 138 therethrough.

Die Metallisierungsstruktur 140 wird in den Öffnungen gebildet, die sich durch die Dielektrikumschicht 138 erstrecken. In einigen Ausführungsformen kann die Metallisierungsstruktur 140 auf der Dielektrikumschicht 138 und der Metallisierungsstruktur 136 gebildet sein. Die Metallisierungsstruktur 120 kann aus den gleichen Materialien und auf die gleiche oder ähnliche Weise wie die Metallisierungsstruktur 108 gebildet sein. Nach dem Bilden der Metallisierungsstruktur 140 kann ein Planarisierungsprozess auf der Metallisierungsstruktur 140 ausgeführt werden, um die oberen Flächen der Metallisierungsstruktur 140 mit den oberen Flächen der Dielektrikumschicht 138 abzugleichen. Der Planarisierungsprozess kann ein CMP, ein Schleifprozess oder dergleichen sein.The metallization structure 140 is formed in the openings that extend through the dielectric layer 138 . In some embodiments, the metallization structure 140 may be formed on the dielectric layer 138 and the metallization structure 136 . Metallization structure 120 may be formed from the same materials and in the same or similar manner as metallization structure 108 . After forming the metallization structure 140 , a planarization process may be performed on the metallization structure 140 to align the top surfaces of the metallization structure 140 with the top surfaces of the dielectric layer 138 . The planarization process can be a CMP, a grinding process, or the like.

So wird in der illustrierten Packageregion eine erste Packagekomponente 100 gebildet. Die erste Packagekomponente 100 umfasst die integrierten Schaltungsdies 50, das Verkapselungsmaterial 134, die Isolierschicht 132, die Durchkontaktierungen 126, die Vorderseitenumverteilungsstruktur 124 und die Rückseitenumverteilungsstruktur 144.A first package component 100 is thus formed in the illustrated package region. The first package component 100 includes the integrated circuit dies 50, the encapsulation material 134, the insulating layer 132, the vias and gen 126, the front redistribution structure 124 and the rear redistribution structure 144.

In 10 sind leitfähige Verbinder 146 über der Metallisierungsstruktur 140 gebildet. Die leitfähigen Verbinder 146 können Ball-Grid-Array-Verbinder (BGA-Verbinder), Lotkugeln, Metallsäulen, Controlled-Collapse-Chipverbindungs-Bumps (C4-Bumps), Mikrobumps, mit der „Electroless Nickel-Electroless Palladium-Immersion Gold“-Technik (ENEPIG) gebildete Bumps oder dergleichen sein. Die leitfähigen Verbinder 146 können ein leitfähiges Material wie Lötzinn, Kupfer, Aluminium, Gold, Nickel, Silber, Palladium, Zinn, dergleichen oder eine Kombination daraus umfassen. In einigen Ausführungsformen werden die leitfähigen Verbinder 146 durch anfängliches Bilden einer Lötschicht durch Verdampfung, Elektroplattieren, Drucken, Lotübertragung, Kugelplatzierung oder dergleichen gebildet. Wenn die Lotschicht gebildet wurde, kann ein Aufschmelzen ausgeführt werden, um das Material in die gewünschten Bumpformen zu bilden. In einigen Ausführungsformen umfassen die leitfähigen Verbinder 146 Metallsäulen (wie etwa Kupfersäulen), die durch Sputtern, Drucken, Elektroplattieren, elektroloses Plattieren, CVD oder dergleichen gebildet werden können. Die Metallsäulen können lötfrei sein und vertikale Seitenwände aufweisen. In einigen Ausführungsformen wird eine Metallabdeckschicht auf der Oberseite der Metallsäulen gebildet. Die Metallabdeckschicht kann Nickel, Zinn, Zinn-Blei, Gold, Silber, Palladium, Indium, Nickel-Palladium-Gold, Nickel-Gold, dergleichen oder eine Kombination daraus umfassen. Die Metallabdeckschicht kann durch einen Plattierungsprozess gebildet sein.In 10 conductive connectors 146 are formed over metallization structure 140 . The conductive connectors 146 may be ball grid array (BGA) connectors, solder balls, metal pillars, controlled collapse chip interconnect bumps (C 4 bumps), microbumps, with the "Electroless Nickel-Electroless Palladium-Immersion Gold" technique (ENEPIG) formed bumps or the like. The conductive connectors 146 may include a conductive material such as solder, copper, aluminum, gold, nickel, silver, palladium, tin, the like, or a combination thereof. In some embodiments, the conductive connectors 146 are formed by initially forming a solder layer through evaporation, electroplating, printing, solder transfer, ball placement, or the like. Once the solder layer has been formed, reflow can be performed to form the material into the desired bump shapes. In some embodiments, the conductive connectors 146 include metal pillars (such as copper pillars) that may be formed by sputtering, printing, electroplating, electroless plating, CVD, or the like. The metal pillars can be solderless and have vertical sidewalls. In some embodiments, a metal cap layer is formed on top of the metal pillars. The metal cover layer may comprise nickel, tin, tin-lead, gold, silver, palladium, indium, nickel-palladium-gold, nickel-gold, the like, or a combination thereof. The metal cover layer can be formed by a plating process.

In 11 ist eine zweite Packagekomponente 200 mit den leitfähigen Verbindern 146 verbunden. Die zweite Packagekomponente 200 ist mit der ersten Packagekomponente 100 gekoppelt, um einen integrierten Schaltungsvorrichtungsstapel in der illustriert Packageregion zu bilden. Die zweite Packagekomponente 200 umfasst ein Substrat 202 und einen oder mehrere gestapelte Dies 210 (z. B. einen ersten gestapelten Die 210A und einen zweiten gestapelten Die 210B), die mit dem Substrat 202 verbunden sind. Obwohl ein Satz gestapelter Dies 210 (z. B. der erste gestapelte Die 210A und der zweite gestapelte Die 210B) illustriert ist, können in einigen Ausführungsformen mehrere Sätze gestapelter Dies 210 (die jeweils eine oder mehrere gestapelte Dies umfassen) nebeneinander angeordnet und mit einer Fläche des Substrats 202 verbunden sein. Das Substrat 202 kann aus einem Halbleitermaterial wie Silizium, Germanium, Diamant oder dergleichen hergestellt sein. In einigen Ausführungsformen können Verbundmaterialien wie Silizium Germanium, Siliziumkarbid, Galliumarsen, Indiumarsenid, Indiumphosphid, Siliziumgermaniumcarbid, Galliumarsenphosphid, Galliumindiumphosphid, Kombinationen daraus und dergleichen verwendet werden. Das Substrat 202 kann ein Silizium-auf-Isolator-Substrat (SOI-Substrat) sein. Allgemein umfasst ein SOI-Substrat eine Schicht aus einem Halbleitermaterial wie etwa epitaktischem Silizium, Germanium, Siliziumgermanium, SOI, Siliziumgermanium auf Isolator (SGOI) oder Kombinationen daraus. In einigen Ausführungsformen kann das Substrat 202 auf einem Isolierungskern basieren, wie etwa einem glasfaserverstärkten Harzkern. Das Kernmaterial kann ein Glasfaserkunststoff sein, wie etwa FR4. In einigen Ausführungsformen kann das Kernmaterial Bismaleimid-Triazin-Harz (BT-Harz), andere Platinenmaterialien oder -filme (PCB-Materialien oder -Filme) oder dergleichen umfassen. Für das Substrat 202 können Aufbaufolien wie der Ajinomoto-Aufbaufilm (ABF) oder andere Laminate verwendet werden.In 11 a second package component 200 is connected to the conductive connectors 146 . The second package component 200 is coupled to the first package component 100 to form an integrated circuit device stack in the illustrated package region. The second package component 200 includes a substrate 202 and one or more stacked dies 210 (e.g., a first stacked die 210A and a second stacked die 210B) bonded to the substrate 202 . Although a set of stacked dies 210 (e.g., first stacked die 210A and second stacked die 210B) is illustrated, in some embodiments, multiple sets of stacked dies 210 (each comprising one or more stacked dies) may be arranged side-by-side and with a Surface of the substrate 202 may be connected. The substrate 202 may be made of a semiconductor material such as silicon, germanium, diamond, or the like. In some embodiments, composite materials such as silicon germanium, silicon carbide, gallium arsenic, indium arsenide, indium phosphide, silicon germanium carbide, gallium arsenic phosphide, gallium indium phosphide, combinations thereof, and the like may be used. The substrate 202 may be a silicon on insulator (SOI) substrate. In general, an SOI substrate includes a layer of semiconductor material such as epitaxial silicon, germanium, silicon germanium, SOI, silicon germanium on insulator (SGOI), or combinations thereof. In some embodiments, the substrate 202 may be based on an insulating core, such as a fiberglass reinforced resin core. The core material may be a fiberglass such as FR4. In some embodiments, the core material may include bismaleimide triazine (BT) resin, other printed circuit board (PCB) materials or films, or the like. For the substrate 202, build-up films such as Ajinomoto build-up film (ABF) or other laminates can be used.

Das Substrat 202 kann aktive und passive Vorrichtungen umfassen (nicht separat illustriert). Eine große Vielzahl von Vorrichtungen wie Transistoren, Kondensatoren, Widerstände, Kombinationen daraus und dergleichen können verwendet werden, um die strukturellen und funktionalen Anforderungen des Designs für die zweite Packagekomponente 200 zu erzeugen. Die Vorrichtungen können unter Verwendung beliebiger Verfahren gebildet werden.The substrate 202 may include active and passive devices (not separately illustrated). A wide variety of devices such as transistors, capacitors, resistors, combinations thereof, and the like may be used to create the structural and functional design requirements for the second package component 200 . The devices can be formed using any method.

Das Substrat 202 kann auch Metallisierungsschichten (nicht separat illustriert) und leitfähige Durchkontaktierungen 208 umfassen. Die Metallisierungsschichten können über den aktiven und passiven Vorrichtungen gebildet sein und sind designt, die verschiedenen Vorrichtungen zum Bilden einer Funktionsschaltungsanordnung zu verbinden. Die Metallisierungsschichten können aus abwechselnden Schichten von Dielektrika (z. B. Dielektrika mit niedrigem k-Wert) und leitfähigen Materialien (z. B. Kupfer) gebildet sein, wobei die Schichten leitfähiger Materialien durch Durchkontaktierungen miteinander verbunden sind. Die Metallisierungsschichten können durch einen beliebigen geeigneten Prozess (wie etwa Abscheidung, Damaszener-Prozess, Dual-Damaszener-Prozess oder dergleichen) gebildet sein. In einigen Ausführungsformen ist das Substrat 202 im Wesentlichen frei von aktiven und passiven Vorrichtungen.The substrate 202 may also include layers of metallization (not separately illustrated) and conductive vias 208 . The metallization layers may be formed over the active and passive devices and are designed to connect the various devices to form functional circuitry. The metallization layers may be formed of alternating layers of dielectrics (e.g., low-k dielectrics) and conductive materials (e.g., copper), with the layers of conductive materials being interconnected by vias. The metallization layers may be formed by any suitable process (such as deposition, damascene process, dual damascene process, or the like). In some embodiments, substrate 202 is substantially free of active and passive devices.

Das Substrat 202 kann Bondpads 204 auf einer ersten Seite des Substrats 202 und Bondpads 206 auf einer zweiten, der ersten Seite entgegengesetzten Seite des Substrats 202 umfassen. Die Bondpads 204 können zur Verbindung mit den gestapelten Dies 210 und die Bondpads 206 zur Verbindung mit den leitfähigen Verbindern 146 verwendet werden. In einigen Ausführungsformen, wie z. B. der durch die Bondpads 206 illustrierten Ausführungsform, werden die Bondpads 204 und die Bondpads 206 durch das Bilden von Ausschnitten (nicht separat illustriert) in Dielektrikumschichten (nicht separat illustriert) auf der ersten und zweiten Seite des Substrats 202 gebildet. Die Ausschnitte können gebildet werden, um die Bondpads 204 und die Bondpads 206 in die Dielektrikumschichten einbetten zu lassen. In anderen Ausführungsformen, wie etwa in der durch die Bondpads 204 illustrierten Ausführungsform, entfallen die Ausschnitte, da die Bondpads 204 und die Bondpads 206 auf den Dielektrikumschichten gebildet sein können. In einigen Ausführungsformen umfassen die Bondpads 204 und die Bondpads 206 eine dünne Seed-Schicht (nicht separat illustriert) aus Kupfer, Titan, Nickel, Gold, Palladium, dergleichen oder eine Kombination daraus. Das leitfähige Material der Bondpads 204 und die Bondpads 206 kann über der dünnen Seed-Schicht abgeschieden werden. Das leitfähige Material kann durch einen elektrochemisches Plattierungsprozess, einen elektrolosen Plattierungsprozess, CVD, ALD, PVD, dergleichen, oder einer Kombination daraus gebildet werden. In einigen Ausführungsformen ist das leitfähige Material der Bondpads 204 und der Bondpads 206 Kupfer, Wolfram, Aluminium, Silber, Gold, dergleichen oder eine Kombination daraus.The substrate 202 may include bond pads 204 on a first side of the substrate 202 and bond pads 206 on a second side of the substrate 202 opposite the first side. Bond pads 204 may be used to connect to stacked dies 210 and bond pads 206 to connect to conductive connectors 146 . In some embodiments, such as B. the embodiment illustrated by the bond pads 206, the bond pads 204 and the bond pads 206 are formed by forming cutouts (not illustrated separately) in dielectric layers (not illustrated separately) on the first and second sides of the substrate 202 . The cutouts may be formed to embed the bond pads 204 and the bond pads 206 in the dielectric layers. In other embodiments, such as the embodiment illustrated by bond pads 204, the cutouts are omitted since bond pads 204 and bond pads 206 may be formed on the dielectric layers. In some embodiments, bond pads 204 and bond pads 206 include a thin seed layer (not separately illustrated) of copper, titanium, nickel, gold, palladium, the like, or a combination thereof. The conductive material of bond pads 204 and bond pads 206 may be deposited over the thin seed layer. The conductive material may be formed by an electrochemical plating process, an electroless plating process, CVD, ALD, PVD, the like, or a combination thereof. In some embodiments, the conductive material of bond pads 204 and bond pads 206 is copper, tungsten, aluminum, silver, gold, the like, or a combination thereof.

In einigen Ausführungsformen Ausführungsform sind die Bondpads 204 und die Bondpads 206 UBMs, die drei Schichten leitfähiger Materialien umfassen, wie etwa einer Schicht aus Titan, einer Schicht aus Kupfer und einer Schicht aus Nickel. Für die Bondpads 204 und die Bondpads 206 können auch andere Anordnungen von Materialien und Schichten verwendet werden, wie etwa eine Anordnung aus Chrom/Chrom-Kupfer-Legierung/Kupfer/Gold, eine Anordnung aus Titan/Titanwolfram/Kupfer oder eine Anordnung aus Kupfer/Nickel/Gold. Alle geeigneten Materialien oder Materialschichten, die für die Bondpads 204 und die Bondpads 206 verwendet werden können, sind vollständig dafür vorgesehen, in dem Umfang der aktuellen Anmeldung umfasst zu sein. In einigen Ausführungsformen erstrecken sich die leitfähigen Durchkontaktierungen 208 durch das Substrat 202 und koppeln mindestens eines der Bondpads 204 mit mindestens einem der Bondpads 206.In some embodiments, the bond pads 204 and the bond pads 206 are UBMs that include three layers of conductive materials, such as a layer of titanium, a layer of copper, and a layer of nickel. Other configurations of materials and layers may be used for the bond pads 204 and the bond pads 206, such as a chromium/chromium-copper alloy/copper/gold configuration, a titanium/titanium tungsten/copper configuration, or a copper/copper configuration. Nickel/Gold. Any suitable materials or material layers that can be used for bond pads 204 and bond pads 206 are fully intended to be included within the scope of the current application. In some embodiments, conductive vias 208 extend through substrate 202 and couple at least one of bond pads 204 to at least one of bond pads 206.

In der illustrierten Ausführungsform sind die gestapelten Dies 210 mit dem Substrat 202 durch Drahtverbindungen 212 gekoppelt, wobei jedoch andere Verbindungen verwendet werden können, wie etwa leitfähige Bumps. In einigen Ausführungsformen sind die gestapelten Dies 210 Stapelspeicherdies. Die gestapelten Dies 210 können beispielsweise um Speicherdies, wie Low-Power Double Data Rate-Speichermodule (LP-DDR-Speichermodule) (z. B. LPDDR1, LPDDR2, LPDDR3, LPDDR4), DRAM-Dies, Kombinationen davon oder dergleichen sein.In the illustrated embodiment, the stacked dies 210 are coupled to the substrate 202 by wire bonds 212, however other connections such as conductive bumps may be used. In some embodiments, the stacked dies 210 are stack dies. The stacked dies 210 may include, for example, memory dies such as low-power double data rate (LP-DDR) memory modules (e.g., LPDDR 1 , LPDDR 2 , LPDDR 3 , LPDDR 4 ), DRAM dies, combinations thereof, or be like that.

Die gestapelten Dies 210 und die Drahtverbindungen 212 können mit einem Formmaterial 214 verkapselt sein. In einigen Ausführungsformen kann das Formmaterial 214 durch Formpressen an die gestapelten Dies 210 und die Drahtverbindungen 212 angeformt werden. In einigen Ausführungsformen ist das Formmaterial 214 eine Formmasse, ein Polymer, ein Epoxid, ein Siliziumoxidmaterial, dergleichen, oder eine Kombination davon. Ein Aushärtungsprozess kann ausgeführt werden, um das Formmaterial 214 zu härten. Der Aushärtungsprozess kann eine Wärmehärtung, eine UV-Härtung, dergleichen oder eine Kombination daraus sein. In einigen Ausführungsformen sind die gestapelten Dies 210 und die Drahtbindungen 212 in des Formmaterials 214 verdeckt. Nach dem Aushärten des Formmaterials 214 wird ein Planarisierungsschritt, wie z. B. ein Schleifen, ausgeführt, um überschüssige Abschnitte des Formmaterials 214 zu entfernen und eine planare Fläche für die zweite Packagekomponente 200 zu schaffen.The stacked dies 210 and wire bonds 212 may be encapsulated with a molding material 214 . In some embodiments, the molding material 214 may be molded to the stacked dies 210 and the wire bonds 212 by compression molding. In some embodiments, the molding material 214 is a molding compound, a polymer, an epoxy, a silicon oxide material, the like, or a combination thereof. A curing process may be performed to harden the molding material 214 . The curing process can be thermal curing, UV curing, the like, or a combination thereof. In some embodiments, the stacked dies 210 and the wire bonds 212 are hidden in the molding material 214 . After the molding material 214 has been cured, a planarization step, such as e.g., grinding, is performed to remove excess portions of the molding material 214 and create a planar surface for the second package component 200. FIG.

Nachdem die zweite Packagekomponente 200 gebildet ist, kann die zweite Packagekomponente 200 mit der ersten Packagekomponente 100 über die leitfähigen Verbinder 146, die Bondpads 206 und die Metallisierungsstruktur 140 gebondet werden. In einigen Ausführungsformen können die gestapelten Dies 210 durch die Drahtverbindungen 212, die Bondpads 204, die leitfähigen Durchkontaktierungen 208, die Bondpads 206, die leitfähigen Verbinder 146, die Rückseitenumverteilungsstruktur 144, die Durchkontaktierungen 126 und die Vorderseitenumverteilungsstruktur 124 mit den integrierten Schaltungsdies 50 verbunden sein.After the second package component 200 is formed, the second package component 200 may be bonded to the first package component 100 via the conductive connectors 146, the bond pads 206, and the metallization structure 140. FIG. In some embodiments, the stacked dies 210 may be connected to the integrated circuit dies 50 by the wire bonds 212, the bond pads 204, the conductive vias 208, the bond pads 206, the conductive connectors 146, the backside redistribution structure 144, the vias 126, and the frontside redistribution structure 124.

In einigen Ausführungsformen wird auf der zweiten Seite des Substrats 202 ein Lötstopplack (nicht separat illustriert) gebildet. Die leitfähigen Verbinder 146 können in Öffnungen in dem Lötstopplack angeordnet sein, die elektrisch und mechanisch mit leitfähigen Elementen (z. B. den Bondpads 206) in dem Substrat 202 verbunden sein können. Der Lötstopplack kann verwendet werden, um Bereiche des Substrats 202 vor externem Schaden zu schützen. In einigen Ausführungsformen ist auf den leitfähigen Verbindern 146 ein Oxidflussmittel (nicht separat illustriert) gebildet, bevor ein Aufschmelzen mit mindestens einem Teil des Epoxidabschnittes des Epoxidflussmittels stattfindet, das nach dem Befestigen der zweiten Packagekomponente 200 an der ersten Packagekomponente 100 zurückbleibt.In some embodiments, a solder resist (not separately illustrated) is formed on the second side of the substrate 202 . The conductive connectors 146 may be disposed in openings in the solder resist that may be electrically and mechanically connected to conductive elements (e.g., the bond pads 206) in the substrate 202. The solder resist can be used to protect areas of the substrate 202 from external damage. In some embodiments, an oxide flux (not separately illustrated) is formed on the conductive connectors 146 before reflowing with at least a portion of the epoxy portion of the epoxy flux remaining after attaching the second package component 200 to the first package component 100 .

In 12 ist zwischen der ersten Packagekomponente 100 und den zweiten Packagekomponenten 200 ein Verkapselungsmaterial 148 gebildet, die die leitfähigen Verbinder 146 umschließt. In einigen Ausführungsformen (nicht separat illustriert) kann das Verkapselungsmaterial 148 ferner um die zweite Packagekomponente 200 und das Verkapselungsmaterial 148 um die erste Packagekomponente 100 gebildet werden. Das Verkapselungsmaterial 148 kann ein Formmaterial, Epoxid, eine Formunterfüllung oder dergleichen sein. Das Verkapselungsmaterial 148 kann durch Formpressen, Spritzgießen oder dergleichen aufgebracht werden. Das Verkapselungsmaterial 148 wird ferner in Lückenregionen zwischen der zweiten Packagekomponente 200 und der darunter liegenden ersten Packagekomponente 100 gebildet. Das Verkapselungsmaterial 148 kann in flüssiger oder halbflüssiger Form aufgetragen werden und nachfolgend aushärten.In 12 An encapsulation material 148 is formed between the first package component 100 and the second package components 200 enclosing the conductive connectors 146 . In some embodiments (not separately illustrated), the encapsulation material 148 may further surround the second package component 200 and the encapsulation ment material 148 are formed around the first package component 100 . The encapsulation material 148 may be a molding material, epoxy, mold underfill, or the like. The encapsulation material 148 may be applied by compression molding, injection molding, or the like. The encapsulation material 148 is also formed in gap regions between the second package component 200 and the underlying first package component 100 . The encapsulation material 148 can be applied in liquid or semi-liquid form and subsequently harden.

In 13 wird das Ablösen des Trägersubstrats ausgeführt, um das Trägersubstrat 102 von der ersten von der ersten Packagekomponente 100 (z. B. der Dielektrikumschicht 106) zu trennen (auch als „ablösen“ bezeichnet). In einigen Ausführungsformen umfasst das Debonding die Projektion eines Lichts wie eines Laserlichts oder eines UV-Lichts auf die Trennschicht 104, sodass sich die Trennschicht 104 unter der Wärme des Lichts zersetzt und das Trägersubstrat 102 entfernt werden kann. Eine Hauptfläche der Dielektrikumschicht 106 kann nach dem Entfernen des Trägersubstrats 102 und der Trennschicht 104 belichtet werden.In 13 For example, the carrier substrate peel is performed to separate (also referred to as “peel”) the carrier substrate 102 from the first of the first package components 100 (eg, the dielectric layer 106). In some embodiments, the debonding includes projecting a light, such as a laser light or a UV light, onto the release layer 104 such that the release layer 104 decomposes under the heat of the light and the carrier substrate 102 can be removed. A major surface of the dielectric layer 106 can be exposed after removing the carrier substrate 102 and the release layer 104 .

Nachdem das Trägersubstrat 102 und die Trennschicht 104 entfernt wurden, werden UBMs 160 und leitfähige Verbinder 162 für die externe Verbindung mit der Vorderseitenumverteilungsstruktur 124 gebildet. Die UBMs 160 umfassen Bumpabschnitte auf und entlang der Hauptfläche der Dielektrikumschicht 106 sowie Durchkontaktierungsabschnitte, die sich durch die Dielektrikumschicht 106 erstrecken. Die Durchkontaktierungsabschnitte der UBMs 160 können mit den Metallisierungsstrukturen 108 elektrisch gekoppelt sein und mit diesen physisch in Kontakt stehen. Infolgedessen sind die UBMs 160 durch die Vorderseitenumverteilungsstruktur 124 elektrisch mit den Durchkontaktierungen 126 und den integrierten Schaltungsdies 50 verbunden. Die UBMs 160 können aus den gleichen Materialien und auf die gleiche oder ähnliche Weise wie die Metallisierungsstruktur 108 gebildet werden.After the support substrate 102 and release liner 104 are removed, UBMs 160 and conductive connectors 162 for external connection to the front side redistribution structure 124 are formed. The UBMs 160 include bump portions on and along the major surface of the dielectric layer 106 and via portions that extend through the dielectric layer 106 . The via portions of the UBMs 160 may be electrically coupled to and in physical contact with the metallization structures 108 . As a result, the UBMs 160 are electrically connected to the vias 126 and the integrated circuit dies 50 through the front face redistribution structure 124 . The UBMs 160 can be formed from the same materials and in the same or similar manner as the metallization structure 108 .

An den UBMs 160 sind leitfähige Verbinder 162 gebildet. Die leitfähigen Verbinder 162 können Ball-Grid-Array-Verbinder (BGA-Verbinder), Lotkugeln, Metallsäulen, Controlled-Collapse-Chipverbindungs-Bumps (C4-Bumps), Mikrobumps, mit der „Electroless Nickel-Electroless Palladium-Immersion Gold“-Technik (ENEPIG) gebildete Bumps oder dergleichen sein. Die leitfähigen Verbinder 162 können ein leitfähiges Material, wie z. B. Lot, Kupfer, Aluminium, Gold, Nickel, Silber, Palladium, Zinn oder einer Kombination davon umfassen. In einigen Ausführungsformen werden die leitfähigen Verbinder 162 durch anfängliches Bilden einer Lötschicht durch Verdampfung, Elektroplattieren, Drucken, Lotübertragung, Kugelplatzierung oder dergleichen gebildet. Sobald die Lötschicht auf der Struktur gebildet wurde, kann ein Aufschmelzen ausgeführt werden, um das Material in die gewünschten Bumpformen zu bringen. In einigen Ausführungsformen umfassen die leitfähigen Verbinder 162 Metallsäulen (wie etwa Kupfersäulen), die durch Sputtern, Drucken, Elektroplattieren, elektroloses Plattieren, CVD oder dergleichen gebildet werden können. Die Metallsäulen können lötfrei sein und vertikale Seitenwände aufweisen. In einigen Ausführungsformen wird eine Metallabdeckschicht auf der Oberseite der Metallsäulen gebildet. Die Metallabdeckschicht kann Nickel, Zinn, Zinn-Blei, Gold, Silber, Palladium, Indium, Nickel-Palladium-Gold, Nickel-Gold, dergleichen oder eine Kombination daraus umfassen. Die Metallabdeckschicht kann durch einen Plattierungsprozess gebildet sein.Conductive connectors 162 are formed on the UBMs 160 . The conductive connectors 162 can be ball grid array (BGA) connectors, solder balls, metal pillars, controlled collapse chip interconnect bumps (C 4 bumps), microbumps, with the "Electroless Nickel-Electroless Palladium-Immersion Gold" technique (ENEPIG) formed bumps or the like. The conductive connectors 162 may be a conductive material, such as. B. solder, copper, aluminum, gold, nickel, silver, palladium, tin or a combination thereof. In some embodiments, the conductive connectors 162 are formed by initially forming a solder layer through evaporation, electroplating, printing, solder transfer, ball placement, or the like. Once the solder layer has been formed on the structure, reflow can be performed to shape the material into the desired bump shapes. In some embodiments, the conductive connectors 162 include metal pillars (such as copper pillars) that may be formed by sputtering, printing, electroplating, electroless plating, CVD, or the like. The metal pillars can be solderless and have vertical sidewalls. In some embodiments, a metal cap layer is formed on top of the metal pillars. The metal cover layer may comprise nickel, tin, tin-lead, gold, silver, palladium, indium, nickel-palladium-gold, nickel-gold, the like, or a combination thereof. The metal cover layer can be formed by a plating process.

In 13 ist ferner die erste Packagekomponente 100 auf einem Substrat 300 montiert. Das Substrat 300 kann aus einem Halbleitermaterial wie Silizium, Germanium, Diamant oder dergleichen hergestellt sein. In einigen Ausführungsformen können Verbundmaterialien wie Silizium Germanium, Siliziumkarbid, Galliumarsen, Indiumarsenid, Indiumphosphid, Siliziumgermaniumcarbid, Galliumarsenphosphid, Galliumindiumphosphid, Kombinationen daraus und dergleichen ebenfalls verwendet werden. Weiterhin kann das Substrat 300 ein Silizium-auf-Isolator-Substrat (SOI-Substrat) sein. Allgemein umfasst ein SOI-Substrat eine Schicht aus einem Halbleitermaterial wie etwa epitaktischem Silizium, Germanium, Siliziumgermanium, SOI, Siliziumgermanium auf Isolator (SGOI) oder Kombinationen daraus. In einigen Ausführungsformen kann das Substrat 300 auf einem Isolierungskern basieren, wie etwa einem glasfaserverstärkten Harzkern. In einigen Ausführungsformen kann das Kernmaterial ein Glasfaserharz wie FR4 sein. In einigen Ausführungsformen kann das Kernmaterial Bismaleimid-Triazin-Harz (BT-Harz), andere Platinenmaterialien (PCB-Materialien) oder andere Filme umfassen. Für das Substrat 300 können Aufbaufolien wie der Ajinomoto-Aufbaufilm (ABF) oder andere Laminate verwendet werden.In 13 Furthermore, the first package component 100 is mounted on a substrate 300 . The substrate 300 can be made of a semiconductor material such as silicon, germanium, diamond, or the like. In some embodiments, composite materials such as silicon germanium, silicon carbide, gallium arsenic, indium arsenide, indium phosphide, silicon germanium carbide, gallium arsenic phosphide, gallium indium phosphide, combinations thereof, and the like may also be used. Furthermore, the substrate 300 may be a silicon on insulator (SOI) substrate. In general, an SOI substrate includes a layer of semiconductor material such as epitaxial silicon, germanium, silicon germanium, SOI, silicon germanium on insulator (SGOI), or combinations thereof. In some embodiments, the substrate 300 may be based on an insulating core, such as a glass fiber reinforced resin core. In some embodiments, the core material can be a fiberglass resin such as FR4. In some embodiments, the core material may include bismaleimide triazine (BT) resin, other printed circuit board (PCB) materials, or other films. For the substrate 300, build-up films such as Ajinomoto build-up film (ABF) or other laminates can be used.

Das Substrat 300 kann aktive und passive Vorrichtungen umfassen (nicht separat illustriert). Eine große Vielzahl von Vorrichtungen wie Transistoren, Kondensatoren, Widerstände, Kombinationen dieser Vorrichtungen und dergleichen können umfasst sein. Die Vorrichtungen können unter Verwendung beliebiger Verfahren gebildet werden. Das Substrat 300 kann auch Metallisierungsschichten umfassen (nicht separat illustriert). Die Metallisierungsschichten können über den aktiven und passiven Vorrichtungen gebildet sein und sind designt, die verschiedenen Vorrichtungen zum Bilden einer Funktionsschaltungsanordnung zu verbinden. Die Metallisierungsschichten können aus abwechselnden Schichten von Dielektrika (z. B. Dielektrika mit niedrigem k-Wert) und leitfähigen Materialien (z. B. Kupfer) gebildet sein, wobei die Schichten leitfähiger Materialien durch Durchkontaktierungen miteinander verbunden sind. Die Metallisierungsschichten können durch alle geeigneten Prozesse (wie etwa Abscheidung, Damaszener-Verfahren, Dual-Damaszener-Verfahren oder dergleichen) gebildet sein. In einigen Ausführungsformen ist das Substrat 300 im Wesentlichen frei von aktiven und passiven Vorrichtungen.The substrate 300 may include active and passive devices (not separately illustrated). A wide variety of devices such as transistors, capacitors, resistors, combinations of these devices, and the like may be included. The devices can be formed using any method. The substrate 300 may also include metallization layers (not illustrated separately). The metallization layers may be formed over the active and passive devices and are designed to connect the various devices to form functional circuitry. the Metallization layers may be formed from alternating layers of dielectrics (e.g., low-k dielectrics) and conductive materials (e.g., copper), with the layers of conductive materials being interconnected by vias. The metallization layers may be formed by any suitable process (such as deposition, damascene processing, dual damascene processing, or the like). In some embodiments, the substrate 300 is essentially free of active and passive devices.

Das Substrat 300 kann Bondpads 302 umfassen, die auf einer ersten Seite des Substrats 300 gebildet werden, die der ersten Packagekomponente 100 gegenüberliegt. In einigen Ausführungsformen können die Bondpads 302 durch das Bilden von Ausschnitten (nicht separat illustriert) in Dielektrikumschichten (nicht separat illustriert) auf der ersten Seite des Substrats 300 gebildet sein. Die Ausschnitte können so gebildet sein, dass die Bondpads 302 in die Dielektrikumschichten eingebettet sein können. In einigen Ausführungsformen werden die Ausschnitte weggelassen, und die Bondpads 302 können auf den Dielektrikumschichten gebildet sein. In einigen Ausführungsformen umfassen die Bondpads 302 eine dünne Seed-Schicht (nicht separat illustriert) aus Kupfer, Titan, Nickel, Gold, Palladium oder dergleichen oder einer Kombination davon. Die leitfähigen Materialien der Bondpads 302 können auf die dünne Seed-Schicht abgeschieden werden. Die leitfähigen Materialien können durch einen elektrochemischen Plattierungsprozess, einen elektrolosen Plattierungsprozess, CVD, ALD, PVD, dergleichen, oder einer Kombination daraus gebildet sein. In einer Ausführungsform umfassen die leitfähigen Materialien der Bondpads 302 Kupfer, Wolfram, Aluminium, Silber, Gold oder eine Kombination davon.The substrate 300 may include bond pads 302 formed on a first side of the substrate 300 opposite the first package component 100 . In some embodiments, the bond pads 302 may be formed by forming cutouts (not separately illustrated) in dielectric layers (not separately illustrated) on the first side of the substrate 300 . The cutouts may be formed such that the bond pads 302 may be embedded in the dielectric layers. In some embodiments, the cutouts are omitted and the bond pads 302 may be formed on the dielectric layers. In some embodiments, the bond pads 302 include a thin seed layer (not separately illustrated) of copper, titanium, nickel, gold, palladium, or the like, or a combination thereof. The conductive materials of the bond pads 302 can be deposited on the thin seed layer. The conductive materials may be formed by an electrochemical plating process, an electroless plating process, CVD, ALD, PVD, the like, or a combination thereof. In one embodiment, the conductive materials of the bond pads 302 include copper, tungsten, aluminum, silver, gold, or a combination thereof.

In einigen Ausführungsformen sind die Bondpads 302 UBMs, die drei Schichten leitfähiger Materialien umfassen, wie etwa einer Schicht Titan, einer Schicht Kupfer und einer Schicht Nickel. Andere Anordnungen von Materialien und Schichten gibt, wie etwa eine Anordnung von Chrom/Chrom-Kupferlegierung/Kupfer/Gold, eine Anordnung von Titan/Titanwolfram/Kupfer oder eine Anordnung von Kupfer/Nickel/Gold können zum Bilden der Bondpads 302 verwendet werden. Alle geeigneten Materialien oder Materialschichten, die für die Bondpads 302 verwendet werden können, sollen im Anwendungsbereich dieser Anmeldung umfasst sein.In some embodiments, the bond pads 302 are UBMs that include three layers of conductive materials, such as a layer of titanium, a layer of copper, and a layer of nickel. Other arrangements of materials and layers exist, such as a chromium/chromium-copper alloy/copper/gold arrangement, a titanium/titanium-tungsten/copper arrangement, or a copper/nickel/gold arrangement may be used to form the bond pads 302 . Any suitable materials or material layers that can be used for the bond pads 302 are intended to be included within the scope of this application.

Das Substrat 300 ist elektrisch gekoppelt und physisch an der ersten Packagekomponente 100 über die Bondpads 302, die leitfähigen Verbinder 162 und die UBMs 160 befestigt. Das Substrat 300 kann über der ersten Packagekomponente 100 platziert werden und ein Aufschmelzprozess kann ausgeführt werden, um die leitfähigen Verbinder 162 aufzuschmelzen und die Bondpads 302 durch die leitfähigen Verbinder 162 mit den UBMs 160 zu bonden.The substrate 300 is electrically coupled and physically attached to the first package component 100 via the bond pads 302 , the conductive connectors 162 and the UBMs 160 . The substrate 300 may be placed over the first package component 100 and a reflow process may be performed to reflow the conductive connectors 162 and bond the bond pads 302 to the UBMs 160 through the conductive connectors 162 .

Eine Unterfüllung 164 kann dann zwischen der ersten Packagekomponente 100 und dem Substrat 300 gebildet werden und umschließt die Bondpads 302, die UBMs 160 und die leitfähigen Verbinder 162. Die Unterfüllung 164 kann Spannung verringern und die Verbindungen schützen, die aus dem Aufschmelzen des leitfähigen Verbinders 162 entstehen. Die Unterfüllung 164 kann durch einen Kapillarflussprozess gebildet werden, nachdem die erste Packagekomponente 100 an dem Substrat 300 befestigt ist, oder durch ein geeignetes Abscheidungsverfahren gebildet werden, bevor die erste Packagekomponente 100 befestigt ist.An underfill 164 can then be formed between the first package component 100 and the substrate 300 and encloses the bond pads 302, the UBMs 160, and the conductive connectors 162. The underfill 164 can reduce stress and protect the connections resulting from the reflow of the conductive connector 162 develop. The underfill 164 may be formed by a capillary flow process after the first package component 100 is attached to the substrate 300, or formed by a suitable deposition process before the first package component 100 is attached.

Durch Aufnehmen der Isolierschicht 132, die zwischen dem Verkapselungsmaterial 134 und jedem der Durchkontaktierungen 126, den integrierten Schaltungsdies 50 und der Metallisierungsstruktur 120 angeordnet ist, wird das Verwenden einer größeren Vielzahl an Materialien für das Verkapselungsmaterial 134 möglich. Die Isolierschicht 132 stellt beispielsweise eine elektrische Isolierung bereit, sodass für das Verkapselungsmaterial 134 elektrisch leitfähige Materialien verwendet werden können. Ferner kann die Isolierschicht 132 eine physische Pufferschicht bereitstellen, sodass für das Verkapselungsmaterial 134 Materialien mit hohen Wärmeausdehnungskoeffizienten verwendet werden können. Dadurch können Materialien mit hoher Wärmeleitfähigkeit für das Verkapselungsmaterial 134 verwendet werden, was die Wärmeableitung durch das Verkapselungsmaterial 134 erhöht. Dadurch wird die Vorrichtungsleistung verbessert und die Zahl der Vorrichtungsfehler verringert.By including the insulating layer 132 disposed between the encapsulation material 134 and each of the vias 126, the integrated circuit dies 50 and the metallization structure 120, the use of a wider variety of materials for the encapsulation material 134 becomes possible. The insulating layer 132 provides electrical insulation, for example, so that electrically conductive materials can be used for the encapsulation material 134 . Furthermore, the insulating layer 132 can provide a physical buffer layer so that the encapsulation material 134 can use materials with high coefficients of thermal expansion. As a result, materials with high thermal conductivity can be used for the encapsulation material 134, which increases the heat dissipation through the encapsulation material 134. This improves device performance and reduces device errors.

Die 14 und 15 illustrieren eine Ausführungsform, bei der die integrierten Schaltungsdies 50A direkt mit der Vorderseitenumverteilungsstruktur 124 gebondet sind (ohne die leitfähigen Verbinder 128 und die dazwischen angeordnete Unterfüllung 130). In 14 werden die integrierten Schaltungsdies 50A mit der in 4 illustrierten Vorderseitenumverteilungsstruktur 124 gebondet, und über der entstehenden Struktur werden eine Isolierschicht 132 und ein Verkapselungsmaterial 134 gebildet. Die integrierten Schaltungsdies 50A können die gleichen oder ähnliche sein, wie die oben besprochen integrierten Schaltungsdies 50. Die integrierten Schaltungsdies 50A sind mit der Vorderseite nach unten angeordnet, sodass die Vorderseiten der integrierten Schaltungsdies 50A den leitfähigen Pads 120B zugewandt sind und die Rückseiten der integrierten Schaltungsdies 50A von den leitfähigen Pads 120B weg weisen.the 14 and 15 12 illustrate an embodiment in which the integrated circuit dies 50A are bonded directly to the front face redistribution structure 124 (without the conductive connectors 128 and the underfill 130 disposed therebetween). In 14 the integrated circuit dies 50A with the in 4 illustrated front side redistribution structure 124, and an insulating layer 132 and an encapsulation material 134 are formed over the resulting structure. The integrated circuit dies 50A may be the same or similar to the integrated circuit dies 50 discussed above. The integrated circuit dies 50A are arranged face down such that the front faces of the integrated circuit dies 50A face the conductive pads 120B and the back faces of the integrated circuit dies 50A point away from the conductive pads 120B.

In einigen Ausführungsformen werden die integrierten Schaltungsdies 50A mit den leitfähigen Pads 120B der Metallisierungsstruktur 120 in einer Hybridverbindungseinrichtung gebondet. Beispielsweise kann eine Dielektrikumschicht 68 der integrierten Schaltungsdies 50A direkt mit der Dielektrikumschicht 118 der Vorderseitenumverteilungsstruktur 124 gebondet werden, und die Dieverbinder 66 der integrierten Schaltungsdies 50A können direkt mit den leitfähigen Pads 120B gebondet werden. In einer Ausführungsform kann die Bindung zwischen der Dielektrikumschicht 68 und der Dielektrikumschicht 118 eine Oxid-zu-Oxid-Bindung oder dergleichen sein. Der Hybridbondprozess bondet ferner die Dieverbinder 66 der integrierten Schaltungsdies 50A direkt mit den leitfähigen Pads 120B durch direktes Metall-zu-Metall-Bonden. Somit wird die elektrische Verbindung zwischen den integrierten Schaltungsdies 50A und der Vorderseitenumverteilungsstruktur 124 durch die physische Verbindung der Dieverbinder 66 mit den leitfähigen Pads 120B hergestellt.In some embodiments, the integrated circuit dies 50A are bonded to the conductive pads 120B of the metallization structure 120 in a hybrid connection facility. For example, a dielectric layer 68 of integrated circuit die 50A may be bonded directly to dielectric layer 118 of front side redistribution structure 124, and die connectors 66 of integrated circuit die 50A may be bonded directly to conductive pads 120B. In one embodiment, the bond between dielectric layer 68 and dielectric layer 118 may be an oxide-to-oxide bond or the like. The hybrid bonding process also bonds the die connectors 66 of the integrated circuit dies 50A directly to the conductive pads 120B through direct metal-to-metal bonding. Thus, the electrical connection between the integrated circuit dies 50A and the front face redistribution structure 124 is made through the physical connection of the die connectors 66 to the conductive pads 120B.

Beispielsweise kann der Hybridbondprozess mit einer Flächenbehandlung der Dielektrikumschicht 118 der Vorderseitenumverteilungsstruktur 124 und/oder der Dielektrikumschicht 68 der integrierten Schaltungsdies 50A beginnen. Die Flächenbehandlung kann eine Plasmabehandlung umfassen. Die Plasmabehandlung kann in einer Vakuumumgebung ausgeführt werden. Nach der Plasmabehandlung kann die Flächenbehandlung ferner einen Reinigungsprozess (z. B. eine Spülung mit entionisiertem Wasser oder dergleichen) umfassen, das auf die Dielektrikumschicht 118 und/oder die Dielektrikumschicht 68 der integrierten Schaltungsdies 50A angewendet werden kann. Der Hybridbondprozess kann dann mit der Ausrichtung der Dieverbinder 66 an den leitfähigen Pads 120B fortgesetzt werden. Als Nächstes umfasst das Hybridbondetnen Vorverbindungsschritt, bei dem die Dieverbinder 66 in physischen Kontakt mit den leitfähigen Pads 120B gebracht werden. Das Vorverbinden kann bei Zimmertemperatur ausgeführt werden (z. B. zwischen ca. 21 °C und ca. 25 °C). Der Hybridbondprozess wird durch Ausführen eines Temperns bei einer Temperatur von etwa 150°C bis etwa 400°C für eine Dauer von etwa 0,5 Stunden bis etwa 3 Stunden fortgesetzt. Das Tempern bewirkt, dass das Metall der Dieverbinder 66 (z. B. Kupfer) und das Metall der leitfähigen Pads 120B (z. B. Kupfer) miteinander diffundieren, wodurch die direkte Metall-zu-Metall-Verbindung gebildet werden. Das Tempern kann ferner kovalente Bindungen zwischen der Dielektrikumschicht 68 und der Dielektrikumschicht 118 bilden. In einigen Ausführungsformen können auch andere Verbindungsparameter und/oder -verfahren (z. B. Lötverbinden) verwendet werden.For example, the hybrid bonding process may begin with a surface treatment of the dielectric layer 118 of the front side redistribution structure 124 and/or the dielectric layer 68 of the integrated circuit die 50A. The surface treatment can include a plasma treatment. The plasma treatment can be carried out in a vacuum environment. After the plasma treatment, the surface treatment may further include a cleaning process (e.g., a deionized water rinse or the like) that may be applied to the dielectric layer 118 and/or the dielectric layer 68 of the integrated circuit die 50A. The hybrid bonding process can then continue with the alignment of the die connectors 66 to the conductive pads 120B. Next, hybrid bonding includes a pre-bonding step in which die connectors 66 are brought into physical contact with conductive pads 120B. Pre-bonding can be performed at room temperature (e.g., between about 21°C and about 25°C). The hybrid bonding process is continued by performing an anneal at a temperature of about 150°C to about 400°C for a period of about 0.5 hour to about 3 hours. The anneal causes the metal of die connectors 66 (e.g., copper) and the metal of conductive pads 120B (e.g., copper) to diffuse together, forming the direct metal-to-metal connection. The anneal may also form covalent bonds between dielectric layer 68 and dielectric layer 118 . In some embodiments, other bonding parameters and/or methods (e.g., solder bonding) may also be used.

Nachdem die integrierten Schaltungsdies 50A mit der Vorderseitenumverteilungsstruktur 124 gebondet sind, können die Isolierschicht 132 und das Verkapselungsmaterial 134 über den Durchkontaktierungen 126, den integrierten Schaltungsdies 50A und der Vorderseitenumverteilungsstruktur gebildet werden. Die Isolierschicht 132 kann aus den gleichen oder ähnlichen Materialien gebildet sein, wie mit Verweis auf 7 besprochen wurde. Ähnlich kann das Verkapselungsmaterial 134 aus den gleichen oder ähnlichen Materialien gebildet sein, wie mit Verweis auf 7 besprochen wurde.After the integrated circuit dies 50A are bonded to the front-side redistribution structure 124, the insulating layer 132 and encapsulation material 134 may be formed over the vias 126, the integrated circuit dies 50A, and the front-side redistribution structure. The insulating layer 132 may be formed from the same or similar materials as referred to in FIG 7 was discussed. Similarly, the encapsulation material 134 may be formed from the same or similar materials as referred to in FIG 7 was discussed.

15 illustriert die Struktur von 14, nachdem die oben mit Verweis auf die 8 bis 13 besprochenen Prozesse ausgeführt wurden. Das direkte Bonden der integrierten Schaltungsdies 50A mit der Vorderseitenumverteilungsstruktur 124 vereinfacht den Prozess des Bondens der integrierten Schaltungsdies 50A mit der Vorderseitenumverteilungsstruktur 124, eliminiert die Schritte, die zum Bilden der Unterfüllung 130 erforderlich sind, und verringert die Höhe der abschließenden Struktur. Weiterhin erlaubt das Umfassen der Isolierschicht 132, die zwischen dem Verkapselungsmaterial 134 und jedem der Durchkontaktierungen 126, den integrierten Schaltungsdies 50A und der Metallisierungsstruktur 120 angeordnet ist, das Verwenden einer größeren Vielzahl an Materialien für das Verkapselungsmaterial 134. Die Isolierschicht 132 stellt beispielsweise eine elektrische Isolierung bereit, sodass für das Verkapselungsmaterial 134 elektrisch leitfähige Materialien verwendet werden können. Ferner kann die Isolierschicht 132 eine physische Pufferschicht bereitstellen, sodass für das Verkapselungsmaterial 134 Materialien mit hohen Wärmeausdehnungskoeffizienten verwendet werden können. Dadurch können Materialien mit hoher Wärmeleitfähigkeit für das Verkapselungsmaterial 134 verwendet werden, was die Wärmeableitung durch das Verkapselungsmaterial 134 erhöht. Dadurch wird die Vorrichtungsleistung verbessert und die Zahl der Vorrichtungsfehler verringert. 15 illustrates the structure of 14 , after the above with reference to the 8th until 13 discussed processes have been carried out. Directly bonding integrated circuit dies 50A to frontside redistribution structure 124 simplifies the process of bonding integrated circuit dies 50A to frontside redistribution structure 124, eliminates the steps required to form underfill 130, and reduces the height of the final structure. Furthermore, including the insulating layer 132 disposed between the encapsulation material 134 and each of the vias 126, the integrated circuit die 50A, and the metallization structure 120 allows a greater variety of materials to be used for the encapsulation material 134. The insulating layer 132 provides electrical insulation, for example ready, so that electrically conductive materials can be used for the encapsulation material 134 . Furthermore, the insulating layer 132 can provide a physical buffer layer so that the encapsulation material 134 can use materials with high coefficients of thermal expansion. As a result, materials with high thermal conductivity can be used for the encapsulation material 134, which increases the heat dissipation through the encapsulation material 134. This improves device performance and reduces device errors.

Die 16 bis 18 illustrieren eine Ausführungsform, bei der mehrere integrierte Schaltungsdies 50B und 50C zusammen mit Verbindungsdies 70 mit der Vorderseitenumverteilungsstruktur 124 gebondet sind. In den 16 und 17 werden die integrierten Schaltungsdies 50B und 50C und die Verbindungsdies 70 mit der in 4 illustrierten Vorderseitenumverteilungsstruktur 124 gebondet und eine Isolierschicht 132 und ein Verkapselungsmaterial 134 über der entstehenden Struktur gebildet. Die integrierten Schaltungsdies 50B und 50C können mit den oben besprochenen integrierten Schaltungsdies 50 identisch oder ihnen ähnlich sein. Die integrierten Schaltungsdies 50B und 50C sind mit der Vorderseite nach unten angeordnet, sodass die Vorderseiten der integrierten Schaltungsdies 50B und 50C den leitfähigen Pads 120B zugewandt sind und die Rückseiten der integrierten Schaltungsdies 50B und 50C von den leitfähigen Pads 120B weg weisen. Ferner können die integrierten Schaltungsdies 50B Substratdurchkontaktierungen (TSVs) 67 (auch als Siliziumdurchkontaktierungen bezeichnet) umfassen, die sich durch das Halbleitersubstrat 52 der integrierten Schaltungsdies 50B erstrecken.the 16 until 18 12 illustrate an embodiment where multiple integrated circuit dies 50B and 50C are bonded to front face redistribution structure 124 along with interconnect dies 70. FIG. In the 16 and 17 the integrated circuit dies 50B and 50C and the connection dies 70 with the in 4 illustrated front side redistribution structure 124 and an insulating layer 132 and an encapsulation material 134 formed over the resulting structure. Integrated circuit die 50B and 50C may be identical or similar to integrated circuit die 50 discussed above. The integrated circuit dies 50B and 50C are arranged face down such that the front faces of the integrated circuit dies 50B and 50C face the conductive pads 120B and the back faces of the integrated circuit dies Circuit dies 50B and 50C facing away from conductive pads 120B. Furthermore, the integrated circuit dies 50B may include substrate vias (TSVs) 67 (also referred to as silicon vias) that extend through the semiconductor substrate 52 of the integrated circuit dies 50B.

Bei den Verbindungsdies 70 kann es sich um lokale Silizium-Interconnects (LSIs), große Integrationspackages, Interposer-Dies oder dergleichen handeln. Die Verbindungsdies 70 umfassen Substrate 72 mit leitfähigen Elementen, die in und/oder auf den Substraten 72 gebildet sind. Die Substrate 72 können Halbleitersubstrate, Dielektrikumschichten oder dergleichen sein. Die Verbindungsdies 70 können Substratdurchkontaktierungen (TSVs) 74 (auch als Siliziumdurchkontaktierungen bezeichnet) umfassen, die sich in oder durch das Substrat 72 erstrecken. In der in den 16 bis 18 illustrierten Ausführungsform erstrecken sich die TSVs 74 durch das Substrat 72 und sind sowohl auf der Vorder- als auch auf der Rückseite der Verbindungsdies 70 belichtet.The connection dies 70 may be local silicon interconnects (LSIs), large scale integration packages, interposer dies, or the like. Interconnect dies 70 include substrates 72 with conductive elements formed in and/or on substrates 72 . The substrates 72 may be semiconductor substrates, dielectric layers, or the like. Connection dies 70 may include substrate vias (TSVs) 74 (also referred to as silicon vias) that extend into or through substrate 72 . In the in the 16 until 18 In the illustrated embodiment, the TSVs 74 extend through the substrate 72 and are exposed on both the front and back sides of the connection dies 70. FIG.

Die integrierten Schaltungsdies 50B können mit der Vorderseitenumverteilungsstruktur 124 durch leitfähige Verbinder 128 und eine Unterfüllung 130 gebondet sein, und zwar durch Prozesse, die denen ähnlich oder gleich sind, die oben mit Verweis auf die 5 und 6 besprochen wurden. Die Verbindungsdies 70 können mit den integrierten Schaltungsdies 50B gebondet sein und die integrierten Schaltungsdies 50C können mit den Verbindungsdies 70 durch Hybridbondprozesse gebondet werden, die mit den oben mit Verweis auf 14 besprochenen identisch oder ähnlich sind. Speziell können die TSVs 74 der Verbindungsdies 70 mit den TSVs 67 der integrierten Schaltungsdies 50B durch Metall-zu-Metall-Bonden gebondet werden; die Substrate 72 der Verbindungsdies 70 können mit den Halbleitersubstraten 52 der integrierten Schaltungsdies 50B durch Oxid-zu-Oxid-Bonden gebondet werden; die Dieverbinder 66 der integrierten Schaltungsdies 50C können mit den TSVs 74 der Verbindungsdies 70 durch Metall-zu-Metall-Bonden gebondet werden; und die Dielektrikumschichten 68 der integrierten Schaltungsdies 50C können mit den Substraten 72 der Verbindungsdies 70 durch Oxid-zu-Oxid-Bonden gebondet werden. 16 illustriert eine Ausführungsform, bei der die integrierten Schaltungsdies 50B und 50C und die Verbindungsdies 70 die gleiche Breite aufweisen. 17 illustriert eine Ausführungsform, bei der die Verbindungsdies 70 eine geringere Breite als die integrierten Schaltungsdies 50B und die integrierten Schaltungsdies 50C eine gleiche oder geringere Breite als die Verbindungsdies 70 aufweisen können. Allgemeiner kann die Breite jedes der über die Vorderseitenumverteilungsstruktur 124 gestapelten Dies gleich oder geringer sein als die Breite eines darunter liegenden Dies, auf dem der Die gestapelt ist. Obwohl in den 16 und 17 in jedem Stapel drei Dies illustriert sind, kann eine beliebige Anzahl von Verbindungsdies 70 und integrierten Schaltungsdies 50B und 50C umfasst sein.The integrated circuit dies 50B may be bonded to the front face redistribution structure 124 through conductive connectors 128 and an underfill 130 by processes similar or identical to those described above with reference to FIG 5 and 6 were discussed. Connection dies 70 may be bonded to integrated circuit dies 50B and integrated circuit dies 50C may be bonded to connection dies 70 by hybrid bonding processes consistent with those referenced above with reference to FIG 14 discussed are identical or similar. Specifically, the TSVs 74 of interconnection dies 70 may be bonded to the TSVs 67 of integrated circuit dies 50B by metal-to-metal bonding; substrates 72 of interconnection dies 70 may be bonded to semiconductor substrates 52 of integrated circuit dies 50B by oxide-to-oxide bonding; die connectors 66 of integrated circuit dies 50C may be bonded to TSVs 74 of interconnection dies 70 by metal-to-metal bonding; and the dielectric layers 68 of the integrated circuit dies 50C may be bonded to the substrates 72 of the interconnection dies 70 by oxide-to-oxide bonding. 16 Figure 12 illustrates an embodiment where the integrated circuit dies 50B and 50C and the interconnection dies 70 have the same width. 17 Figure 11 illustrates an embodiment where interconnection dies 70 may have a smaller width than integrated circuit dies 50B and integrated circuit dies 50C may have an equal or lesser width than interconnection dies 70. FIG. More generally, the width of each of the dies stacked across the front side redistribution structure 124 may be equal to or less than the width of an underlying die on which the die is stacked. Although in the 16 and 17 While three dies are illustrated in each stack, any number of interconnection dies 70 and integrated circuit dies 50B and 50C may be included.

Nachdem die integrierten Schaltungsdies 50B und 50C und die Verbindungsdies 70 mit der Vorderseitenumverteilungsstruktur 124 gebondet sind, können die Isolierschicht 132 und das Verkapselungsmaterial 134 über den Durchkontaktierungen 126, den integrierten Schaltungsdies 50B und 50C, den Verbindungsdies 70 und der Vorderseitenumverteilungsstruktur 124 gebildet werden. Die Isolierschicht 132 kann aus den gleichen oder ähnlichen Materialien gebildet sein, wie mit Verweis auf 7 besprochen wurde. Ähnlich kann das Verkapselungsmaterial 134 aus den gleichen oder ähnlichen Materialien gebildet sein, wie mit Verweis auf 7 besprochen wurde.After integrated circuit dies 50B and 50C and interconnect dies 70 are bonded to front face redistribution structure 124, insulating layer 132 and encapsulation material 134 may be formed over vias 126, integrated circuit dies 50B and 50C, interconnect dies 70 and front face redistribution structure 124. The insulating layer 132 may be formed from the same or similar materials as referred to in FIG 7 was discussed. Similarly, the encapsulation material 134 may be formed from the same or similar materials as referred to in FIG 7 was discussed.

18 illustriert die Struktur von 16, nachdem die oben mit Verweis auf die 8 bis 13 besprochenen Prozesse ausgeführt wurden. Durch Aufnehmen der Isolierschicht 132, die sich zwischen dem Verkapselungsmaterial 134 und jedem der Durchkontaktierungen 126, den integrierten Schaltungsdies 50B und 50C, den Verbindungsdies 70 und der Metallisierungsstruktur 120 befindet, wird das Verwenden einer größeren Vielzahl an Materialien, die für das Verkapselungsmaterial 134 möglich. Die Isolierschicht 132 stellt beispielsweise eine elektrische Isolierung bereit, sodass für das Verkapselungsmaterial 134 elektrisch leitfähige Materialien verwendet werden können. Ferner kann die Isolierschicht 132 eine physische Pufferschicht bereitstellen, sodass für das Verkapselungsmaterial 134 Materialien mit hohen Wärmeausdehnungskoeffizienten verwendet werden können. Dadurch können Materialien mit hoher Wärmeleitfähigkeit für das Verkapselungsmaterial 134 verwendet werden, was die Wärmeableitung durch das Verkapselungsmaterial 134 erhöht. Dadurch wird die Vorrichtungsleistung verbessert und die Zahl der Vorrichtungsfehler verringert. Außerdem verbessert das Bereitstellen von gestapelten Dies, die von dem Verkapselungsmaterial 134 umschlossen sind, die Wärmeableitung von allen verkapselten Dies und sorgt für eine verbesserte Funktionalität der Packagestruktur. 18 illustrates the structure of 16 , after the above with reference to the 8th until 13 discussed processes have been carried out. By including the insulating layer 132 located between the encapsulation material 134 and each of the vias 126, the integrated circuit dies 50B and 50C, the connection dies 70 and the metallization structure 120, the use of a wider variety of materials available for the encapsulation material 134 becomes possible. The insulating layer 132 provides electrical insulation, for example, so that electrically conductive materials can be used for the encapsulation material 134 . Furthermore, the insulating layer 132 can provide a physical buffer layer so that the encapsulation material 134 can use materials with high coefficients of thermal expansion. As a result, materials with high thermal conductivity can be used for the encapsulation material 134, which increases the heat dissipation through the encapsulation material 134. This improves device performance and reduces device errors. Additionally, providing stacked dies surrounded by the encapsulation material 134 improves heat dissipation from all of the encapsulated dies and provides improved functionality of the package structure.

Die 19 bis 21 illustrieren eine Ausführungsform, bei der die Verbindungsdies 70A direkt mit der Vorderseitenumverteilungsstruktur 124 gebondet sind und die integrierten Schaltungsdies 50D direkt mit den Verbindungsdies 70A oder direkt mit den Verbindungsdies 70B gebondet sind, die direkt mit den Verbindungsdies 70A gebondet sind. In 19 sind die integrierten Schaltungsdies 50D mit den Verbindungsdies 70A gebondet, und die Stapel mit den integrierten Schaltungsdies 50D und den Verbindungsdies 70A sind mit der in 4 illustrierten Vorderseitenumverteilungsstruktur 124 gebondet. Über der entstehenden Struktur werden eine Isolierschicht 132 und ein Verkapselungsmaterial 134 gebildet. In 20 sind die integrierten Schaltungsdies 50D mit den Verbindungsdies 70B gebondet, die Verbindungsdies 70B sind mit den Verbindungsdies 70A gebondet, und die Stapel mit den integrierten Schaltungsdies 50D, den Verbindungsdies 70B und den Verbindungsdies 70A sind mit der in 4 illustrierten Vorderseitenumverteilungsstruktur 124 gebondet. Über der entstehenden Struktur werden eine Isolierschicht 132 und ein Verkapselungsmaterial 134 gebildet. Die integrierten Schaltungsdies 50D können die gleichen oder ähnliche sein, wie die oben besprochen integrierten Schaltungsdies 50. Die integrierten Schaltungsdies 50B und 50C sind mit der Vorderseite nach unten angeordnet, sodass die Vorderseiten der integrierten Schaltungsdies 50B und 50C den leitfähigen Pads 120B zugewandt sind und die Rückseiten der integrierten Schaltungsdies 50B und 50C von den leitfähigen Pads 120B weg weisen. Die Verbindungsdies 70A und 70B können mit den oben besprochenen Verbindungsdies 70 identisch oder diesen ähnlich sein.the 19 until 21 12 illustrate an embodiment where interconnect dies 70A are bonded directly to front face redistribution structure 124 and integrated circuit dies 50D are bonded directly to interconnect dies 70A or directly to interconnect dies 70B bonded directly to interconnect dies 70A. In 19 the integrated circuit dies 50D are bonded to the connection dies 70A, and the stacks are bonded to the integrated circuit dies 50D and the connection dies 70A are connected to the in 4 illustrated front side redistribution structure 124 bonded. An insulating layer 132 and an encapsulation material 134 are formed over the resulting structure. In 20 the integrated circuit dies 50D are bonded with the connection dies 70B, the connection dies 70B are bonded with the connection dies 70A, and the stacks with the integrated circuit dies 50D, the connection dies 70B and the connection dies 70A are connected with the in 4 illustrated front side redistribution structure 124 bonded. An insulating layer 132 and an encapsulation material 134 are formed over the resulting structure. Integrated circuit die 50D may be the same or similar to integrated circuit die 50 discussed above. Integrated circuit die 50B and 50C are arranged face down such that the front faces of integrated circuit die 50B and 50C face conductive pads 120B and the Backsides of integrated circuit dies 50B and 50C face away from conductive pads 120B. Connection dies 70A and 70B may be identical or similar to connection dies 70 discussed above.

Die Verbindungsdies 70A können mit der Vorderseitenumverteilungsstruktur 124 durch Hybridbondprozesse gebondet werden, die mit den oben mit Verweis auf 14 besprochenen identisch oder ähnlich sind. Speziell können die TSVs 74 der Verbindungsdies 70A mit den leitfähigen Pads 120B der Vorderseitenumverteilungsstruktur 124 durch Metall-zu-Metall-Bonden gebondet werden und die Substrate 72 der Verbindungsdies 70A können mit der Dielektrikumschicht 118 der Vorderseitenumverteilungsstruktur 124 durch Oxid-zu-Oxid-Bonden gebondet werden. Die Verbindungsdies 70B können mit den Verbindungsdies 70A durch Hybridbondprozesse gebondet werden, die den oben mit Verweis auf 14 besprochenen entsprechen oder diesem ähnlich sind. Speziell können die TSVs 74 der Verbindungsdies 70B mit den TSVs 74 der Verbindungsdies 70A durch Metall-auf-Metall-Bonden gebondet werden und die Substrate 72 der Verbindungsdies 70B können mit den Substraten 72 der Verbindungsdies 70A durch Oxid-auf-Oxid-Bonden gebondet werden. Die integrierten Schaltungsdies 50D können mit den Verbindungsdies 70A oder 70B durch Hybridbondprozess gebondet werden, die mit den oben mit Verweis auf 14 besprochenen identisch oder ähnlich sind. Speziell können die Dieverbinder 66 der integrierten Schaltungsdies 50D mit den TSVs 74 der Verbindungsdies 70A oder 70B durch Metall-zu-Metall-Bonden gebondet werden und die Dielektrikumschichten 68 der integrierten Schaltungsdies 50D können mit den Substraten 72 der Verbindungsdies 70A oder 70B durch Oxid-zu-Oxid-Bonden gebondet werden.Interconnect dies 70A may be bonded to front face redistribution structure 124 by hybrid bonding processes consistent with those referenced above 14 discussed are identical or similar. Specifically, the TSVs 74 of the interconnect dies 70A can be bonded to the conductive pads 120B of the front side redistribution structure 124 by metal-to-metal bonding and the substrates 72 of the interconnect dies 70A can be bonded to the dielectric layer 118 of the front side redistribution structure 124 by oxide-to-oxide bonding will. The connection dies 70B may be bonded to the connection dies 70A by hybrid bonding processes similar to those referenced above 14 correspond to or are similar to those discussed. Specifically, the TSVs 74 of interconnection dies 70B can be bonded to the TSVs 74 of interconnection dies 70A by metal-to-metal bonding, and the substrates 72 of interconnection dies 70B can be bonded to the substrates 72 of interconnection dies 70A by oxide-to-oxide bonding . The integrated circuit dies 50D can be bonded to the connection dies 70A or 70B by hybrid bonding processes similar to those referenced above 14 discussed are identical or similar. Specifically, the die connectors 66 of the integrated circuit dies 50D can be bonded to the TSVs 74 of the interconnection dies 70A or 70B by metal-to-metal bonding, and the dielectric layers 68 of the integrated circuit dies 50D can be bonded to the substrates 72 of the interconnection dies 70A or 70B by oxide-to-metal bonding -Oxide bonds are bonded.

19 illustriert eine Ausführungsform, bei der jeder der integrierten Schaltungsdies 50D und die Verbindungsdies 70A die gleiche Breite aufweisen und jeder der integrierten Schaltungsdies 50D durch einen einzigen Verbindungsdie 70A mit der Vorderseitenumverteilungsstruktur 124 gebondet ist. 20 illustriert eine Ausführungsform, bei der die Verbindungsdies 70B eine geringere Breite als die Verbindungsdies 70A haben können und die integrierten Schaltungsdies 50D eine gleiche oder geringere Breite als die Verbindungsdies 70B aufweisen können. Allgemeiner kann die Breite jedes der über die Vorderseitenumverteilungsstruktur 124 gestapelten Dies gleich oder geringer sein als die Breite eines darunter liegenden Dies, auf dem der Die gestapelt ist. In 20 ist ferner jeder der integrierten Schaltungsdies 50D durch einen Verbindungsdie 70B und einen Verbindungsdie 70A mit der Vorderseitenumverteilungsstruktur 124 gebondet. Obwohl in 19 zwei Dies in jedem Stapel und in 20 drei Dies in jedem Stapel illustriert sind, kann eine beliebige Anzahl von Verbindungsdies 70A, Verbindungsdies 70B und integrierten Schaltungsdies 50D umfasst sein. 19 12 illustrates an embodiment where each of the integrated circuit dies 50D and interconnection dies 70A have the same width, and each of the integrated circuit dies 50D is bonded to the front face redistribution structure 124 by a single interconnection die 70A. 20 12 illustrates an embodiment where interconnection dies 70B may have a smaller width than interconnection dies 70A and integrated circuit dies 50D may have an equal or lesser width than interconnection dies 70B. More generally, the width of each of the dies stacked across the front side redistribution structure 124 may be equal to or less than the width of an underlying die on which the die is stacked. In 20 Furthermore, each of the integrated circuit dies 50D is bonded to the front side redistribution structure 124 by a connection die 70B and a connection die 70A. Although in 19 two dies in each stack and in 20 As three dies are illustrated in each stack, any number of interconnection dies 70A, interconnection dies 70B and integrated circuit dies 50D may be included.

Nachdem die integrierten Schaltungsdies 50D und die Verbindungsdies 70A und 70B mit der Vorderseitenumverteilungsstruktur 124 gebondet sind, können die Isolierschicht 132 und das Verkapselungsmaterial 134 über den Durchkontaktierungen 126, den integrierten Schaltungsdies 50D, den Verbindungsdies 70A und 70B und der Vorderseitenumverteilungsstruktur 124 gebildet werden. Die Isolierschicht 132 kann aus den gleichen oder ähnlichen Materialien gebildet sein, wie mit Verweis auf 7 besprochen wurde. Ähnlich kann das Verkapselungsmaterial 134 aus den gleichen oder ähnlichen Materialien gebildet sein, wie mit Verweis auf 7 besprochen wurde.After integrated circuit dies 50D and interconnect dies 70A and 70B are bonded to front face redistribution structure 124, insulating layer 132 and encapsulation material 134 may be formed over vias 126, integrated circuit dies 50D, interconnect dies 70A and 70B, and front face redistribution structure 124. The insulating layer 132 may be formed from the same or similar materials as referred to in FIG 7 was discussed. Similarly, the encapsulation material 134 may be formed from the same or similar materials as referred to in FIG 7 was discussed.

21 illustriert die Struktur von 19, nachdem die oben mit Verweis auf die 8 bis 13 besprochenen Prozesse ausgeführt wurden. Durch Aufnehmen der Isolierschicht 132, die zwischen der Verkapselung 134 und jedem der Durchkontaktierungen 126, den integrierten Schaltungsdies 50D, den Verbindungsdies 70A und 70B und der Metallisierungsstruktur 120 angeordnet ist, wird das Verwenden einer größeren Vielzahl von Materialien für das Verkapselungsmaterial 134 möglich. Die Isolierschicht 132 stellt beispielsweise eine elektrische Isolierung bereit, sodass für das Verkapselungsmaterial 134 elektrisch leitfähige Materialien verwendet werden können. Ferner kann die Isolierschicht 132 eine physische Pufferschicht bereitstellen, sodass für das Verkapselungsmaterial 134 Materialien mit hohen Wärmeausdehnungskoeffizienten verwendet werden können. Dadurch können Materialien mit hoher Wärmeleitfähigkeit für das Verkapselungsmaterial 134 verwendet werden, was die Wärmeableitung durch das Verkapselungsmaterial 134 erhöht. Dadurch wird die Vorrichtungsleistung verbessert und die Zahl der Vorrichtungsfehler verringert. Außerdem verbessert das Bereitstellen von gestapelten Dies, die von dem Verkapselungsmaterial 134 umschlossen sind, die Wärmeableitung von allen verkapselten Dies und sorgt für eine verbesserte Funktionalität der Packagestruktur. 21 illustrates the structure of 19 , after the above with reference to the 8th until 13 discussed processes have been carried out. By including the insulating layer 132 disposed between the encapsulation 134 and each of the vias 126, the integrated circuit dies 50D, the connection dies 70A and 70B, and the metallization structure 120, the use of a wider variety of materials for the encapsulation material 134 becomes possible. The insulating layer 132 provides electrical insulation, for example, so that electrically conductive materials can be used for the encapsulation material 134 . Furthermore, the insulating layer 132 can provide a physical buffer layer so that the encapsulation material 134 can use materials with high coefficients of thermal expansion. As a result, materials with high thermal conductivity can be used for the encapsulation material 134, which the Heat dissipation through the encapsulation material 134 increased. This improves device performance and reduces device errors. Additionally, providing stacked dies surrounded by the encapsulation material 134 improves heat dissipation from all of the encapsulated dies and provides improved functionality of the package structure.

Die 22 bis 25 illustrieren eine Ausführungsform, bei der eine Isolierschicht 408 (in den 23 bis 25 illustriert) entlang der Seitenwände der Durchkontaktierungen 126 gebildet wird, ohne dass sie über dem Rest der Vorderseitenumverteilungsstruktur 125 oder den integrierten Schaltungsdies 50 gebildet wird. In 22 werden eine Maskenschicht 402 und ein Fotolack 404 über der in 3 illustrierten Vorderseitenumverteilungsstruktur 124 gebildet. Die Maskenschicht 402 kann beispielsweise Siliziumnitrid, Siliziumoxyntirid oder dergleichen umfassen. In einigen Ausführungsformen kann die Maskenschicht 402 eine Polymerschicht sein. Die Maskenschicht 402 kann beispielsweise durch Spin-Coating, Laminierung, CVD, ALD oder dergleichen gebildet werden. Der Fotolack 404 wird über der Maskenschicht 402 gebildet. Der Fotolack 404 kann durch Abscheiden einer lichtempfindlichen Schicht über der Maskenschicht 402 durch Spin-Coating oder dergleichen gebildet werden.the 22 until 25 illustrate an embodiment in which an insulating layer 408 (in Figs 23 until 25 illustrated) is formed along the sidewalls of the vias 126 without being formed over the rest of the front face redistribution structure 125 or the integrated circuit dies 50. FIG. In 22 a mask layer 402 and a photoresist 404 over the in 3 illustrated front side redistribution structure 124 is formed. The mask layer 402 may include, for example, silicon nitride, silicon oxynitride, or the like. In some embodiments, mask layer 402 may be a polymer layer. The mask layer 402 can be formed, for example, by spin coating, lamination, CVD, ALD, or the like. Photoresist 404 is formed over mask layer 402 . Photoresist 404 may be formed by depositing a photosensitive layer over mask layer 402 by spin coating or the like.

Der Fotolack 404 und die Maskenschicht 402 können dann strukturiert werden. Der Fotolack 404 kann strukturiert werden, indem der Fotolack 404 einer strukturierten Energiequelle (z. B. einer strukturierten Lichtquelle) ausgesetzt wird und der Fotolack 404 entwickelt wird, um einen belichteten oder unbelichteten Abschnitt des Fotolacks 404 zu entfernen. Es werden Öffnungen 406 gebildet, die die Maskenschicht 402 belichten und sich durch den Fotolack 404 erstrecken. Die Maskenschicht 402 kann unter Verwendung des Fotolacks 404 als Maske mit einem beliebigen akzeptablen Ätzprozess geätzt werden, wie etwa mit reaktivem Ionenätzen (RIE), neutralem Strahlätzen (NBE) oder einer Kombination davon. Die Maskenschicht 402 kann mit einem anisotropen Ätzprozess geätzt werden.Photoresist 404 and mask layer 402 can then be patterned. Photoresist 404 may be patterned by exposing photoresist 404 to a patterned energy source (e.g., a patterned light source) and developing photoresist 404 to remove an exposed or unexposed portion of photoresist 404 . Apertures 406 exposing mask layer 402 and extending through photoresist 404 are formed. The mask layer 402 may be etched using the photoresist 404 as a mask with any acceptable etching process, such as reactive ion etching (RIE), neutral beam etching (NBE), or a combination thereof. The mask layer 402 can be etched with an anisotropic etching process.

In 23 wird der Fotolack 404 entfernt und eine Isolierschicht 408 entlang der Seitenwände der Maskenschicht 402 in den Öffnungen 406 gebildet. Der Fotolack 404 kann durch einen annehmbaren Aschen- oder Stripping-Prozess entfernt werden, wie etwa durch Verwendung von Sauerstoffplasma oder dergleichen. Die Isolierschicht 408 kann aus Materialien gebildet sein, die auf die gleiche oder ähnliche Weise wie die Isolierschicht 132 abgeschieden werden. Nachdem die Isolierschicht 408 abgeschieden wurde, kann die Isolierschicht 408 geätzt werden, um die leitfähigen Pads 120A der Vorderseitenumverteilungsstruktur 124 zu belichten. Die Isolierschicht 408 kann eine Dicke 12 von etwa 10 nm bis etwa 100 nm aufweisen. Das Bilden der Isolierschicht 408 mit einer geringeren Dicke als dem vorgeschriebenen Bereich kann zu Schwierigkeiten bei dem Bilden der Isolierschicht 408 führen und kann unzureichend sein, um die Vorteile der Isolierschicht 408 zu erreichen (z. B. die Bereitstellung einer elektrischen Isolierung für nachfolgend gebildete Durchkontaktierungen, wie die Durchkontaktierungen 126, die weiter unten mit Verweis auf 24 besprochen werden). Ferner kann die Isolierschicht 408 aus einem Material bestehen, das eine geringere Wärmeleitfähigkeit aufweist als das Material eines nachfolgend gebildeten Verkapselungsmaterials (wie z. B. das Verkapselungsmaterial 134, die weiter unten mit Verweis auf 25 besprochen wird). Wenn die Isolierschicht 408 dicker als der vorgeschriebene Bereich gebildet ist, verringert sich die kombinierte Wärmeleitfähigkeit der Isolierschicht 408 und des Verkapselungsmaterials 134.In 23 the photoresist 404 is removed and an insulating layer 408 is formed along the sidewalls of the mask layer 402 in the openings 406 . Photoresist 404 may be removed by any acceptable ashing or stripping process, such as using oxygen plasma or the like. Insulating layer 408 may be formed from materials deposited in the same or similar manner as insulating layer 132 . After insulating layer 408 is deposited, insulating layer 408 may be etched to expose conductive pads 120A of front side redistribution structure 124 . The insulating layer 408 may have a thickness 12 of about 10 nm to about 100 nm. Forming insulating layer 408 with a thickness less than the prescribed range may result in difficulties in forming insulating layer 408 and may be insufficient to achieve the benefits of insulating layer 408 (e.g., providing electrical isolation for subsequently formed vias , such as vias 126, referenced below 24 be discussed). Furthermore, insulating layer 408 may be composed of a material that has a lower thermal conductivity than the material of a subsequently formed encapsulation material (such as encapsulation material 134, discussed below with reference to FIG 25 will be discussed). When insulating layer 408 is formed thicker than the prescribed range, the combined thermal conductivity of insulating layer 408 and encapsulation material 134 decreases.

In 24 sind auf den leitfähigen Pads 120A der Metallisierungsstruktur 120 Durchkontaktierungen 126 gebildet, die die Öffnungen 406 ausfüllen. Die Durchkontaktierungen 126 können sich von der obersten Dielektrikumschicht der Vorderseitenumverteilungsstruktur 124(z. B. der Dielektrikumschicht 118) weg erstrecken und sich zwischen Abschnitten der Isolierschicht 408 erstrecken, die an entgegengesetzten Seitenwänden jeder der Öffnungen 406 gebildet sind. Zum Bilden der Durchkontaktierungen 126 wird beispielsweise in den Öffnungen 406 über den leitfähigen Pads 120A und der Isolierschicht 408 sowie über der Maskenschicht 402 eine Seed-Schicht (nicht separat illustriert) gebildet. In einigen Ausführungsformen ist die Seed-Schicht eine Metallschicht, die eine einzige Schicht oder eine zusammengesetzte Schicht sein kann, die mehrere Unterschichten umfasst, die aus verschiedenen Materialien gebildet sind. In einer bestimmten Ausführungsform umfasst die Seed-Schicht eine Titanschicht und eine Kupferschicht über der Titanschicht. Die Seed-Schicht kann beispielsweise unter Verwendung von PVD oder dergleichen gebildet sein. In einigen Ausführungsformen, wie etwa wenn die Durchkontaktierungen 126 gleich breit oder schmaler als die darunter liegenden leitfähigen Pads 120A sind, kann auf eine separate Seed-Schicht verzichtet werden, und die leitfähigen Pads 120A können als Seed-Schicht dienen. Dann wird ein leitfähiges Material über der Seed-Schicht gebildet und füllt die Öffnungen 406. Das leitfähige Material kann durch Plattieren, wie etwa durch Elektroplattierung oder elektrolose Plattierung oder dergleichen gebildet werden. Das leitfähige Material kann ein Metall umfassen, wie etwa Kupfer, Titan, Wolfram, Aluminium oder dergleichen. Nachdem das leitfähige Material gebildet ist, kann ein Planarisierungsprozess auf dem leitfähigen Material und der Seed-Schicht ausgeführt werden. Der Planarisierungsprozess kann ein CMP, ein Schleifprozess oder dergleichen sein. Die verbleibenden Abschnitte der Seed-Schicht und des leitfähigen Materials bilden die Durchkontaktierungen 126. Die oberen Flächen der Durchkontaktierungen 126, der Isolierschicht 408 und der Maskenschicht 402 können nach dem Planarisierungsprozess (z. B. innerhalb von Prozessschwankungen) auf gleicher Höhe liegen.In 24 , vias 126 are formed on the conductive pads 120A of the metallization structure 120 filling the openings 406 . Vias 126 may extend away from the top dielectric layer of front side redistribution structure 124 (e.g., dielectric layer 118) and extend between portions of insulating layer 408 formed on opposite sidewalls of each of openings 406. To form vias 126, a seed layer (not separately illustrated) is formed in openings 406 over conductive pads 120A and insulating layer 408, and over mask layer 402, for example. In some embodiments, the seed layer is a metal layer, which can be a single layer or a composite layer that includes multiple sub-layers formed from different materials. In a particular embodiment, the seed layer includes a titanium layer and a copper layer over the titanium layer. The seed layer may be formed using PVD or the like, for example. In some embodiments, such as when vias 126 are as wide or narrower than underlying conductive pads 120A, a separate seed layer may be omitted and conductive pads 120A may serve as a seed layer. A conductive material is then formed over the seed layer and fills the openings 406. The conductive material may be formed by plating, such as electroplating or electroless plating or the like. The conductive material may include a metal such as copper, titanium, tungsten, aluminum, or the like. After the conductive material is formed, a planarization process can be performed on the conductive material and the seed layer. The planarization process can be a CMP, a grinding process or the like. The remaining portions of the seed layer and conductive material form vias 126. The top surfaces of vias 126, insulating layer 408, and mask layer 402 may be level after the planarization process (e.g., within process variations).

In 25 wird die Maskenschicht 402 entfernt, und es werden die oben mit Verweis auf die 5 bis 13 besprochenen Prozesse ausgeführt (wobei die zum Bilden der Isolierschicht 132 verwendeten Prozess weggelassen werden). Die Maskenschicht 402 kann durch einen Ätzprozess entfernt werden, wie etwa durch einen isotropen oder anisotropen Ätzprozess oder dergleichen. Durch Aufnehmen der Isolierschicht 408, die sich zwischen dem Verkapselungsmaterial 134 und den Durchkontaktierungen 126 befindet, wird das Verwenden einer größeren Vielzahl von Materialien für das Verkapselungsmaterial 134 möglich. Die Isolierschicht 408 stellt beispielsweise eine elektrische Isolierung bereit, sodass für das Verkapselungsmaterial 134 elektrisch leitfähige Materialien verwendet werden können. Ferner kann die Isolierschicht 408 eine physische Pufferschicht bereitstellen, sodass für das Verkapselungsmaterial 134 Materialien mit hohen Wärmeausdehnungskoeffizienten verwendet werden können. Dadurch können Materialien mit hoher Wärmeleitfähigkeit für das Verkapselungsmaterial 134 verwendet werden, was die Wärmeableitung durch das Verkapselungsmaterial 134 erhöht. Dadurch wird die Vorrichtungsleistung verbessert und die Zahl der Vorrichtungsfehler verringert. Außerdem verbessert das Bilden der Isolierschicht 408 nur entlang der Seitenwände der Durchkontaktierungen 126 die Präzision der Abscheidung der Isolierschicht 408 und verringert den Materialeinsatz für die Isolierschicht 408. Wenn die Isolierschicht 408 jedoch nur entlang der Seitenwände der Durchkontaktierungen 126 gebildet wird, können sich die Kosten im Vergleich zu Ausführungsformen, bei denen die Isolierschicht 132 gebildet wird, ebenfalls erhöhen.In 25 the mask layer 402 is removed and the data above with reference to FIG 5 until 13 are performed (omitting the processes used to form the insulating layer 132). The mask layer 402 may be removed by an etch process, such as an isotropic or anisotropic etch process or the like. The inclusion of insulating layer 408 located between encapsulation material 134 and vias 126 allows for the use of a wider variety of encapsulation material 134 materials. The insulating layer 408 provides electrical insulation, for example, such that electrically conductive materials can be used for the encapsulation material 134 . Furthermore, the insulating layer 408 can provide a physical buffer layer so that the encapsulation material 134 can use materials with high coefficients of thermal expansion. As a result, materials with high thermal conductivity can be used for the encapsulation material 134, which increases the heat dissipation through the encapsulation material 134. This improves device performance and reduces device errors. Also, forming the insulating layer 408 only along the sidewalls of the vias 126 improves the precision of the deposition of the insulating layer 408 and reduces the material usage for the insulating layer 408. However, if the insulating layer 408 is formed only along the sidewalls of the vias 126, the cost may increase compared to embodiments in which the insulating layer 132 is formed.

Die Ausführungsformen können verschiedene Vorteile bringen. Wenn die Isolierschicht beispielsweise über darunter liegenden Durchkontaktierungen, Umverteilungsstrukturen und integrierten Schaltungsdies gebildet wird, kann eine breitere Palette von Materialien für die über der Isolierschicht gebildetes Verkapselungsmaterial verwendet werden. Die Isolierschicht kann eine elektrische Isolierung und einen physischen Puffer zwischen dem Verkapselungsmaterial und den darunter liegenden Strukturen bilden, sodass die Verkapselung jeweils aus elektrisch leitfähigen Materialien bzw. Materialien mit höheren Wärmeausdehnungskoeffizienten gebildet werden kann. Dadurch können Materialien mit höherer Wärmeleitfähigkeit für die Verkapselung verwendet werden, was die Wärmeableitung durch das Verkapselungsmaterial verbessert, die Vorrichtungsleistung erhöht und Vorrichtungsfehler verringert.The embodiments can bring various advantages. For example, when the insulating layer is formed over underlying vias, redistribution structures, and integrated circuit die, a broader range of materials can be used for the encapsulation material formed over the insulating layer. The insulating layer can provide electrical insulation and a physical buffer between the encapsulation material and the underlying structures, so that the encapsulation can be formed from electrically conductive materials or materials with higher coefficients of thermal expansion, respectively. This allows materials with higher thermal conductivity to be used for the encapsulation, improving heat dissipation through the encapsulation material, increasing device performance, and reducing device failures.

Nach einer Ausführungsform umfasst eine Halbleitervorrichtung eine erste Umverteilungsstruktur; einen ersten Die, der sich über der ersten Umverteilungsstruktur befindet und mit dieser elektrisch gekoppelt ist; eine erste Durchkontaktierung, das sich über der ersten Umverteilungsstruktur befindet und mit dieser elektrisch gekoppelt ist; eine Isolierschicht, die sich entlang der ersten Umverteilungsstruktur, des ersten Dies und der ersten Durchkontaktierung erstreckt; und ein Verkapselungsmaterial über der Isolierschicht, wobei das Verkapselungsmaterial Abschnitte der ersten Durchkontaktierung und des ersten Dies umschließt, wobei das Verkapselungsmaterial leitfähige Füllmaterialien in einer Konzentration im Bereich von 70 bis etwa 95 Vol-% umfasst. In einer Ausführungsform weist das Verkapselungsmaterial eine Wärmeleitfähigkeit von mehr als 40 W/m•K auf. In einer Ausführungsform befindet sich eine obere Fläche des Verkapselungsmaterials auf gleicher Höhe mit einer oberen Fläche der ersten Durchkontaktierung und der oberen Fläche der Isolierschicht, und die obere Fläche des Verkapselungsmaterials befindet sich oberhalb der oberen Fläche des ersten Dies. In einer Ausführungsform erstreckt sich die Isolierschicht entlang der Seitenwände der ersten Durchkontaktierung, der oberen Fläche der ersten Umverteilungsstruktur sowie der oberen Fläche und den Seitenwänden des ersten Dies. In einer Ausführungsform ist der erste Die durch Oxid-zu-Oxid-Bindungen und Metall-zu-Metall-Bindungen an die erste Umverteilungsstruktur gebondet. In einer Ausführungsform ist der erste Die durch leitfähige Verbinder mit der ersten Umverteilungsstruktur gebondet, die Halbleitervorrichtung umfasst ferner eine erste Unterfüllung, die die leitfähigen Verbinder umschließt, und die Isolierschicht erstreckt sich entlang der Seitenwände der ersten Unterfüllung. In einer Ausführungsform weist die Isolierschicht eine Dicke von 10 nm bis 100 nm auf, und das Verkapselungsmaterial weist eine Wärmeleitfähigkeit von 40 W/m•K bis 100 W/m•K auf.According to one embodiment, a semiconductor device includes a first redistribution structure; a first die overlying and electrically coupled to the first redistribution structure; a first via located over and electrically coupled to the first redistribution structure; an insulating layer extending along the first redistribution structure, the first die, and the first via; and an encapsulation material over the insulating layer, the encapsulation material enclosing portions of the first via and the first die, the encapsulation material including conductive fillers in a concentration ranging from 70% to about 95% by volume. In one embodiment, the encapsulation material has a thermal conductivity greater than 40 W/m•K. In one embodiment, a top surface of the encapsulation material is level with a top surface of the first via and the top surface of the insulating layer, and the top surface of the encapsulation material is above the top surface of the first die. In one embodiment, the insulating layer extends along the sidewalls of the first via, the top surface of the first redistribution structure, and the top surface and sidewalls of the first die. In one embodiment, the first die is bonded to the first redistribution structure by oxide-to-oxide bonds and metal-to-metal bonds. In one embodiment, the first die is bonded to the first redistribution structure by conductive connectors, the semiconductor device further includes a first underfill enclosing the conductive connectors, and the insulating layer extends along sidewalls of the first underfill. In one embodiment, the insulating layer has a thickness of 10 nm to 100 nm and the encapsulation material has a thermal conductivity of 40 W/m•K to 100 W/m•K.

Nach einer anderen Ausführungsform umfasst eine Halbleitervorrichtung einen ersten integrierten Schaltungsdie; eine Vorderseitenumverteilungsstruktur auf einer Vorderseite des ersten integrierten Schaltungsdies; eine Rückseitenumverteilungsstruktur auf einer Rückseite des ersten integrierten Schaltungsdies; ein Formmaterial, das den ersten integrierten Schaltungsdie zwischen der Vorderseitenumverteilungsstruktur und der Rückseitenumverteilungsstruktur verkapselt, wobei das Formmaterial eine Wärmeleitfähigkeit von mehr als 40 W/m•K aufweist; eine Durchkontaktierung, die sich durch das Formmaterial erstreckt, wobei die Durchkontaktierung elektrisch mit der Vorderseitenumverteilungsstruktur und der Rückseitenumverteilungsstruktur gekoppelt ist; und eine Isolierschicht, die Seitenwände der Durchkontaktierung bedeckt, wobei die Isolierschicht die Durchkontaktierung von dem Formmaterial trennt. In einer Ausführungsform umfasst das Formmaterial leitfähige Partikel, die aus Kupfer (Cu), Silizium (Si), Silber (Ag), Gold (Au), Eisen (Fe) und Wolfram (W) ausgewählt sind, und das Formmaterial umfasst die leitfähigen Partikel in einer Konzentration von 70 bis 95 Vol-%. In einer Ausführungsform weist das Formmaterial eine Wärmeleitfähigkeit zwischen 40 W/m•K und 100 W/m•K auf, und die Isolierschicht weist eine Wärmeleitfähigkeit auf, die geringer ist als die Wärmeleitfähigkeit dem Formmaterial. In einer Ausführungsform umfasst die Isolierschicht mindestens eines der folgenden Materialien: Aluminiumnitrid (AlN), Bornitrid (BN), Berylliumoxid (BeO), Diamant oder Aluminiumoxid (Al2O3). In einer Ausführungsform umfasst die Halbleitervorrichtung ferner einen Verbindungsdie, der durch Metall-zu-Metall-Bindungen und Oxid-zu-Oxid-Bindungen mit dem ersten integrierten Schaltungsdie gebondet ist. In einer Ausführungsform steht das Formmaterial physisch mit der Vorderseitenumverteilungsstruktur, der Rückseitenumverteilungsstruktur und dem ersten integrierten Schaltungsdie in Kontakt. In einer Ausführungsform bedeckt die Isolierschicht eine obere Fläche der Vorderseitenumverteilungsstruktur und eine Rückseite und Seitenwände des ersten integrierten Schaltungsdies, und die Isolierschicht trennt die Vorderseitenumverteilungsstruktur und den ersten integrierten Schaltungsdie von dem Formmaterial.According to another embodiment, a semiconductor device comprises a first integrated circuit die; a front side redistribution structure on a front side of the first integrated circuit die; a backside redistribution structure on a backside of the first integrated circuit die; a molding material encapsulating the first integrated circuit die between the front side redistribution structure and the back side redistribution structure, the molding material having a thermal conductivity greater than 40 W/m•K; a via that is itself extending through the molding material, wherein the via is electrically coupled to the front redistribution structure and the back redistribution structure; and an insulating layer covering sidewalls of the via, the insulating layer separating the via from the molding material. In one embodiment, the molding material includes conductive particles selected from copper (Cu), silicon (Si), silver (Ag), gold (Au), iron (Fe), and tungsten (W), and the molding material includes the conductive particles in a concentration of 70 to 95% by volume. In one embodiment, the molding material has a thermal conductivity between 40 W/m•K and 100 W/m•K and the insulating layer has a thermal conductivity lower than the thermal conductivity of the molding material. In one embodiment, the insulating layer comprises at least one of the following materials: aluminum nitride (AlN), boron nitride (BN), beryllium oxide (BeO), diamond, or aluminum oxide (Al 2 O 3 ). In one embodiment, the semiconductor device further comprises an interconnection die bonded to the first integrated circuit die by metal-to-metal bonds and oxide-to-oxide bonds. In one embodiment, the molding material physically contacts the front side redistribution structure, the back side redistribution structure, and the first integrated circuit die. In one embodiment, the insulating layer covers a top surface of the front side redistribution structure and a back and sidewalls of the first integrated circuit die, and the insulating layer separates the front side redistribution structure and the first integrated circuit die from the molding material.

Nach einer weiteren Ausführungsform umfasst ein Verfahren das Bilden einer Durchkontaktierung über einer Umverteilungsstruktur; das Bonden eines Halbleiterdies mit der Umverteilungsstruktur, die zu der Durchkontaktierung benachbart ist; das Abscheiden einer Isolierschicht über der Durchkontaktierung, der Umverteilungsstruktur und dem Halbleiterdie, wobei die Isolierschicht die Durchkontaktierung, die Umverteilungsstruktur und den Halbleiterdie elektrisch voneinander isoliert; und das Vorbereiten einer Formmasse durch Mischen eines Epoxids und leitfähiger Füllmaterialien, wobei die leitfähigen Füllmaterialien 70 bis 95 Vol.-% des Formmaterials ausmachen; das Aufbringen dem Formmaterial über der Isolierschicht, wobei das Formmaterial eingerichtet ist, Wärme von dem Halbleiterdie zu leiten. In einer Ausführungsform wird die Isolierschicht durch einen konformen Abscheidungsprozess abgeschieden. In einer Ausführungsform umfasst das Verfahren ferner das Planarisieren des Formmaterials und der Isolierschicht, um die Durchkontaktierung zu belichten. In einer Ausführungsform umfasst das Bonden des Halbleiterdies mit der Umverteilungsstruktur das Aufschmelzen von leitfähigen Verbindern zwischen dem Halbleiterdie und der Umverteilungsstruktur und das Bilden eines Unterfüllungsmaterials, das die leitfähigen Verbinder umschließt, wobei die Isolierschicht auf den Seitenwänden des Unterfüllungsmaterials abgeschieden wird. In einer Ausführungsform umfasst das Bonden des Halbleiterdies mit der Umverteilungsstruktur das Bilden von Oxid-zu-Oxid-Bindungen und Metall-zu-Metall-Bindungen zwischen dem Halbleiterdie und der Umverteilungsstruktur. In einer Ausführungsform umfasst das Bonden des Halbleiterdies mit der Umverteilungsstruktur das Bonden eines Diestapels mit der Umverteilungsstruktur, wobei der Diestapel den Halbleiterdie und einen Verbindungsdie umfasst und die Isolierschicht ferner auf dem Verbindungsdie abgeschieden ist.According to another embodiment, a method includes forming a via over a redistribution structure; bonding a semiconductor die to the redistribution structure adjacent to the via; depositing an insulating layer over the via, the redistribution structure, and the semiconductor die, the insulating layer electrically isolating the via, the redistribution structure, and the semiconductor die from one another; and preparing a molding compound by mixing an epoxy and conductive fillers, the conductive fillers being 70 to 95% by volume of the molding material; applying the molding material over the insulating layer, the molding material configured to conduct heat away from the semiconductor die. In one embodiment, the insulating layer is deposited by a conformal deposition process. In one embodiment, the method further includes planarizing the molding material and the insulating layer to expose the via. In one embodiment, bonding the semiconductor die to the redistribution structure includes reflowing conductive interconnects between the semiconductor die and the redistribution structure and forming an underfill material enclosing the conductive interconnects, with the insulating layer being deposited on the sidewalls of the underfill material. In one embodiment, bonding the semiconductor die to the redistribution structure includes forming oxide-to-oxide bonds and metal-to-metal bonds between the semiconductor die and the redistribution structure. In one embodiment, bonding the semiconductor die to the redistribution structure includes bonding a diestack to the redistribution structure, wherein the diestack includes the semiconductor die and an interconnection die, and the insulating layer is further deposited on the interconnection die.

Obiges umschreibt Elemente mehrerer Ausführungsformen, mit denen Fachleute auf dem Gebiet die Aspekte dieser Offenbarung besser verstehen. Fachleute auf dem Gebiet sollten verstehen, dass sie diese Offenbarung leicht als Grundlage für das Design oder die Änderung anderer Prozesse und Strukturen verwenden können, um dieselben Zwecke auszuführen und/oder dieselben Vorteile der hierin eingeführten Ausführungsformen zu erreichen. Fachleute auf dem Gebiet sollten außerdem verstehen, dass solche entsprechenden Konstruktionen nicht vom Geist und Umfang dieser Offenbarung abweichen und dass sie verschiedene Änderungen, Ersetzungen und Abänderungen hieran vornehmen können, ohne vom Geist und Umfang dieser Offenbarung abzuweichen.The above delineates elements of several embodiments that will allow those skilled in the art to better understand aspects of this disclosure. Those skilled in the art should understand that they can readily use this disclosure as a basis for designing or modifying other processes and structures to carry out the same purposes and/or achieve the same advantages of the embodiments introduced herein. It should also be understood by those skilled in the art that such corresponding constructions do not depart from the spirit and scope of this disclosure and that they can make various changes, substitutions and modifications thereto without departing from the spirit and scope of this disclosure.

ZITATE ENTHALTEN IN DER BESCHREIBUNGQUOTES INCLUDED IN DESCRIPTION

Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.This list of documents cited by the applicant was generated automatically and is included solely for the better information of the reader. The list is not part of the German patent or utility model application. The DPMA assumes no liability for any errors or omissions.

Zitierte PatentliteraturPatent Literature Cited

  • US 63166350 [0001]US63166350 [0001]

Claims (20)

Halbleitervorrichtung, aufweisend: eine erste Umverteilungsstruktur; einen ersten Die, der sich über der ersten Umverteilungsstruktur befindet und mit dieser elektrisch gekoppelt ist; eine erste Durchkontaktierung, die sich über der ersten Umverteilungsstruktur befindet und mit dieser elektrisch gekoppelt ist; eine Isolierschicht, die sich entlang der ersten Umverteilungsstruktur, des ersten Dies und der ersten Durchkontaktierung erstreckt; und ein Verkapselungsmaterial über der Isolierschicht, wobei das Verkapselungsmaterial Abschnitte der ersten Durchkontaktierung und des ersten Dies umschließt, wobei das Verkapselungsmaterial leitfähige Füllmaterialien in einer Konzentration im Bereich von 70 bis etwa 95 Vol-% aufweist.A semiconductor device comprising: a first redistribution structure; a first die overlying and electrically coupled to the first redistribution structure; a first via located over and electrically coupled to the first redistribution structure; an insulating layer extending along the first redistribution structure, the first die, and the first via; and an encapsulation material over the insulating layer, the encapsulation material enclosing portions of the first via and the first die, the encapsulation material having conductive fillers in a concentration ranging from 70% to about 95% by volume. Halbleitervorrichtung nach Anspruch 1, wobei das Verkapselungsmaterial eine Wärmeleitfähigkeit von mehr als 40 W/m•K aufweist.semiconductor device claim 1 , wherein the encapsulation material has a thermal conductivity greater than 40 W/m•K. Halbleitervorrichtung nach Anspruch 1 oder 2, wobei eine obere Fläche des Verkapselungsmaterials mit einer oberen Fläche der ersten Durchkontaktierung und oberen Flächen der Isolierschicht auf gleicher Höhe liegt, und wobei die obere Fläche des Verkapselungsmaterials über einer oberen Fläche des ersten Dies liegt.semiconductor device claim 1 or 2 wherein a top surface of the encapsulation material is level with a top surface of the first via and top surfaces of the insulating layer, and wherein the top surface of the encapsulation material overlays a top surface of the first die. Halbleitervorrichtung nach einem der vorhergehenden Ansprüche 1 bis 3, wobei sich die Isolierschicht entlang der Seitenwände der ersten Durchkontaktierung, einer oberen Fläche der ersten Umverteilungsstruktur und einer oberen Fläche und Seitenwänden des ersten Dies erstreckt.A semiconductor device according to any one of the preceding Claims 1 until 3 , wherein the insulating layer extends along sidewalls of the first via, a top surface of the first redistribution structure, and a top surface and sidewalls of the first die. Halbleitervorrichtung nach einem der vorhergehenden Ansprüche 1 bis 4, wobei der erste Die durch Oxid-zu-Oxid-Bindungen und Metall-zu-Metall-Bindungen an die erste Umverteilungsstruktur gebunden ist.A semiconductor device according to any one of the preceding Claims 1 until 4 , where the first die is bonded to the first redistribution structure by oxide-to-oxide bonds and metal-to-metal bonds. Halbleitervorrichtung nach einem der vorhergehenden Ansprüche 1 bis 4, wobei der erste Die über leitfähige Verbinder mit der ersten Umverteilungsstruktur gebondet ist, wobei das Halbleitervorrichtung ferner eine erste Unterfüllung aufweist, die die leitfähigen Verbinder umschließt, und wobei sich die Isolierschicht entlang der Seitenwände der ersten Unterfüllung erstreckt.A semiconductor device according to any one of the preceding Claims 1 until 4 wherein the first die is bonded to the first redistribution structure via conductive connectors, the semiconductor device further includes a first underfill enclosing the conductive connectors, and wherein the insulating layer extends along sidewalls of the first underfill. Halbleitervorrichtung nach einem der vorhergehenden Ansprüche 1 bis 6, wobei die Isolierschicht eine Dicke im Bereich von 10 nm bis 100 nm aufweist und wobei das Verkapselungsmaterial eine Wärmeleitfähigkeit im Bereich von 40 W/m•K bis 100 W/m•K aufweist.A semiconductor device according to any one of the preceding Claims 1 until 6 , wherein the insulating layer has a thickness in the range from 10 nm to 100 nm and wherein the encapsulation material has a thermal conductivity in the range from 40 W/m•K to 100 W/m•K. Halbleitervorrichtung, aufweisend: einen ersten integrierten Schaltungsdie; eine Vorderseitenumverteilungsstruktur auf einer Vorderseite des ersten integrierten Schaltungsdies; eine Rückseitenumverteilungsstruktur auf einer Rückseite des ersten integrierten Schaltungsdies; ein Formmaterial, das den ersten integrierten Schaltungsdie zwischen der Vorderseitenumverteilungsstruktur und der Rückseitenumverteilungsstruktur verkapselt, wobei das Formmaterial eine Wärmeleitfähigkeit von mehr als 40 W/m•K aufweist; eine Durchkontaktierung, die sich durch die Formmasse erstreckt, wobei die Durchkontaktierung elektrisch mit der Vorderseitenumverteilungsstruktur und der Rückseitenumverteilungsstruktur gekoppelt ist; und eine Isolierschicht, die Seitenwände der Durchkontaktierung bedeckt, wobei die Isolierschicht die Durchkontaktierung von dem Formmaterial trennt.A semiconductor device comprising: a first integrated circuit die; a front side redistribution structure on a front side of the first integrated circuit die; a backside redistribution structure on a backside of the first integrated circuit die; a molding material encapsulating the first integrated circuit die between the front side redistribution structure and the back side redistribution structure, the molding material having a thermal conductivity greater than 40 W/m•K; a via extending through the molding compound, the via being electrically coupled to the front redistribution structure and the back redistribution structure; and an insulating layer covering sidewalls of the via, the insulating layer separating the via from the molding material. Halbleitervorrichtung nach Anspruch 8, wobei das Formmaterial leitfähige Partikel aufweist, die aus Kupfer (Cu), Silizium (Si), Silber (Ag), Gold (Au), Eisen (Fe) und Wolfram (W) ausgewählt sind, und wobei das Formmaterial die leitfähigen Partikel in einer Konzentration im Bereich von 70 bis 95 Vol-% aufweist.semiconductor device claim 8 , wherein the molding material has conductive particles selected from copper (Cu), silicon (Si), silver (Ag), gold (Au), iron (Fe) and tungsten (W), and wherein the molding material has the conductive particles in a concentration in the range of 70 to 95% by volume. Halbleitervorrichtung nach Anspruch 8 oder 9, wobei das Formmaterial eine Wärmeleitfähigkeit im Bereich von 40 W/m•K bis 100 W/m•K aufweist und wobei die Isolierschicht eine Wärmeleitfähigkeit aufweist, die geringer ist als die Wärmeleitfähigkeit dem Formmaterial.semiconductor device claim 8 or 9 , wherein the molding material has a thermal conductivity in the range of 40 W/m•K to 100 W/m•K and wherein the insulating layer has a thermal conductivity lower than the thermal conductivity of the molding material. Halbleitervorrichtung nach einem der vorhergehenden Ansprüche 8 bis 10, wobei die Isolierschicht mindestens eines der folgenden Elemente aufweist: Aluminiumnitrid (AlN), Bornitrid (BN), Berylliumoxid (BeO), Diamant oder Aluminiumoxid (Al2O3).A semiconductor device according to any one of the preceding Claims 8 until 10 , wherein the insulating layer comprises at least one of the following elements: aluminum nitride (AlN), boron nitride (BN), beryllium oxide (BeO), diamond or aluminum oxide (Al 2 O 3 ). Halbleitervorrichtung nach einem der vorhergehenden Ansprüche 8 bis 11, ferner aufweisend einen Verbindungsdie, der durch Metall-zu-Metall-Bindungen und Oxid-zu-Oxid-Bindungen an den ersten integrierten Schaltungsdie gebunden ist.A semiconductor device according to any one of the preceding Claims 8 until 11 , further comprising an interconnect die bonded to the first integrated circuit die by metal-to-metal bonds and oxide-to-oxide bonds. Halbleitervorrichtung nach einem der vorhergehenden Ansprüche 8 bis 12, wobei das Formmaterial mit der Vorderseitenumverteilungsstruktur, die Rückseitenumverteilungsstruktur und den ersten integrierten Schaltungsdie physisch in Kontakt steht.A semiconductor device according to any one of the preceding Claims 8 until 12 wherein the molding material is in physical contact with the front side redistribution structure, the back side redistribution structure and the first integrated circuit die. Halbleitervorrichtung nach einem der vorhergehenden Ansprüche 8 bis 13, wobei die Isolierschicht eine obere Fläche der Vorderseitenumverteilungsstruktur und eine Rückseite und Seitenwände des ersten integrierten Schaltungsdies bedeckt, und die Isolierschicht die Vorderseitenumverteilungsstruktur und den ersten integrierten Schaltungsdie von dem Formmaterial trennt.A semiconductor device according to any one of the preceding Claims 8 until 13 wherein the insulating layer covers a top surface of the front side redistribution structure and a back side and sidewalls of the first integrated circuit, and the insulating layer covers the front side redistribution structure and the first integrated circuit which separates from the mold material. Verfahren, umfassend: Bilden einer Durchkontaktierung über einer Umverteilungsstruktur; Bonden eines Halbleiterdies an die Umverteilungsstruktur, die zu der Durchkontaktierung benachbart ist; Abscheiden einer Isolierschicht über der Durchkontaktierung, der Umverteilungsstruktur und dem Halbleiterdie, wobei die Isolierschicht die Durchkontaktierung, die Umverteilungsstruktur und den Halbleiterdie elektrisch voneinander isoliert; Vorbereiten einer Formmasse durch Mischen eines Epoxids und leitfähiger Füllmaterialien, wobei die leitfähigen Füllmaterialien 70 bis 95 Vol.-% des Formmaterials ausmachen; Aufbringen dem Formmaterial über der Isolierschicht, wobei das Formmaterial eingerichtet ist, Wärme von dem Halbleiterdie zu leiten.Method comprising: forming a via over a redistribution structure; bonding a semiconductor die to the redistribution structure adjacent to the via; depositing an insulating layer over the via, the redistribution structure, and the semiconductor die, the insulating layer electrically isolating the via, the redistribution structure, and the semiconductor die from one another; preparing a molding compound by mixing an epoxy and conductive fillers, the conductive fillers being 70 to 95% by volume of the molding material; applying the molding material over the insulating layer, the molding material configured to conduct heat away from the semiconductor die. Verfahren nach Anspruch 15, wobei die Isolierschicht durch einen konformen Abscheidungsprozess abgeschieden wird.procedure after claim 15 , wherein the insulating layer is deposited by a conformal deposition process. Verfahren nach Anspruch 15 oder 16, ferner umfassend das Planarisieren des Formmaterials und der Isolierschicht, um die Durchkontaktierung zu belichten.procedure after claim 15 or 16 , further comprising planarizing the molding material and the insulating layer to expose the via. Verfahren nach einem der vorhergehenden Ansprüche 15 bis 17, wobei das Bonden des Halbleiterdies an die Umverteilungsstruktur umfasst: Aufschmelzen von leitfähigen Verbindern zwischen dem Halbleiterdie und der Umverteilungsstruktur; und Bilden eines Unterfüllungsmaterials, das die leitfähigen Verbinder umschließt, wobei die Isolierschicht auf Seitenwänden des Unterfüllungsmaterials abgeschieden wird.Method according to any of the preceding Claims 15 until 17 wherein bonding the semiconductor die to the redistribution structure comprises: reflowing conductive connectors between the semiconductor die and the redistribution structure; and forming an underfill material enclosing the conductive connectors, wherein the insulating layer is deposited on sidewalls of the underfill material. Verfahren nach einem der vorhergehenden Ansprüche 15 bis 17, wobei das Bonden des Halbleiterdies an die Umverteilungsstruktur das Bilden von Oxid-zu-Oxid-Bindungen und Metall-zu-Metall-Bindungen zwischen dem Halbleiterdie und der Umverteilungsstruktur umfasst.Method according to any of the preceding Claims 15 until 17 wherein bonding the semiconductor die to the redistribution structure comprises forming oxide-to-oxide bonds and metal-to-metal bonds between the semiconductor die and the redistribution structure. Verfahren nach einem der vorhergehenden Ansprüche 15 bis 19, wobei das Bonden des Halbleiterdies an die Umverteilungsstruktur das BondenB eines Diestapels an die Umverteilungsstruktur umfasst, wobei der Diestapel den Halbleiterdie und einen Verbindungsdie umfasst, wobei die Isolierschicht ferner auf dem Verbindungsdie abgeschieden wird.Method according to any of the preceding Claims 15 until 19 wherein bonding the semiconductor die to the redistribution structure comprises bonding a diestack to the redistribution structure, the diestack comprising the semiconductor die and an interconnection die, wherein the insulating layer is further deposited on the interconnection die.
DE102021120389.9A 2021-03-26 2021-08-05 SEMICONDUCTOR PACKAGE AND METHOD TO MAKE THESE Pending DE102021120389A1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163166350P 2021-03-26 2021-03-26
US63/166,350 2021-03-26
US17/391,258 US11842946B2 (en) 2021-03-26 2021-08-02 Semiconductor package having an encapsulant comprising conductive fillers and method of manufacture
US17/391,258 2021-08-02

Publications (1)

Publication Number Publication Date
DE102021120389A1 true DE102021120389A1 (en) 2022-09-29

Family

ID=82561777

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102021120389.9A Pending DE102021120389A1 (en) 2021-03-26 2021-08-05 SEMICONDUCTOR PACKAGE AND METHOD TO MAKE THESE

Country Status (5)

Country Link
US (2) US11842946B2 (en)
KR (1) KR102670221B1 (en)
CN (1) CN114843230A (en)
DE (1) DE102021120389A1 (en)
TW (1) TWI800213B (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11842946B2 (en) * 2021-03-26 2023-12-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package having an encapsulant comprising conductive fillers and method of manufacture
US20230113020A1 (en) * 2021-10-13 2023-04-13 Nanya Technology Corporation Semiconductor device with re-fill layer

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6856007B2 (en) * 2001-08-28 2005-02-15 Tessera, Inc. High-frequency chip packages
US8349721B2 (en) * 2008-03-19 2013-01-08 Stats Chippac, Ltd. Semiconductor device and method of forming insulating layer on conductive traces for electrical isolation in fine pitch bonding
US8378480B2 (en) * 2010-03-04 2013-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy wafers in 3DIC package assemblies
US11244896B2 (en) * 2019-01-27 2022-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and manufacturing method thereof
US8797057B2 (en) 2011-02-11 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Testing of semiconductor chips with microbumps
US9842798B2 (en) * 2012-03-23 2017-12-12 STATS ChipPAC Pte. Ltd. Semiconductor device and method of forming a PoP device with embedded vertical interconnect units
US9443783B2 (en) 2012-06-27 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC stacking device and method of manufacture
US9299649B2 (en) 2013-02-08 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. 3D packages and methods for forming the same
US8993380B2 (en) 2013-03-08 2015-03-31 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for 3D IC package
US8941248B2 (en) * 2013-03-13 2015-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device package and method
US9461355B2 (en) * 2013-03-29 2016-10-04 Intel Corporation Method apparatus and material for radio frequency passives and antennas
US9583411B2 (en) * 2014-01-17 2017-02-28 Invensas Corporation Fine pitch BVA using reconstituted wafer with area array accessible for testing
US9281254B2 (en) 2014-02-13 2016-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming integrated circuit package
US9425126B2 (en) 2014-05-29 2016-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy structure for chip-on-wafer-on-substrate
US9496189B2 (en) 2014-06-13 2016-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked semiconductor devices and methods of forming same
US9478443B2 (en) * 2014-08-28 2016-10-25 Taiwan Semiconductor Manufacturing Company Limited Semiconductor package and method of forming the same
US9502364B2 (en) * 2014-08-28 2016-11-22 Taiwan Semiconductor Manufacturing Company Limited Semiconductor package and method of forming the same
CN204348702U (en) 2014-10-14 2015-05-20 昆腾微电子股份有限公司 A kind of chip
US9461018B1 (en) 2015-04-17 2016-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out PoP structure with inconsecutive polymer layer
US9666502B2 (en) 2015-04-17 2017-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. Discrete polymer in fan-out packages
US20170098628A1 (en) 2015-10-05 2017-04-06 Mediatek Inc. Semiconductor package structure and method for forming the same
US9735131B2 (en) 2015-11-10 2017-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-stack package-on-package structures
KR101912278B1 (en) 2015-12-21 2018-10-29 삼성전기 주식회사 Electronic component package and manufactruing method of the same
US9887134B2 (en) 2016-02-10 2018-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices, methods of manufacture thereof, and methods of singulating semiconductor devices
KR102052899B1 (en) * 2016-03-31 2019-12-06 삼성전자주식회사 Electronic component package
KR102052900B1 (en) * 2016-10-04 2019-12-06 삼성전자주식회사 Fan-out semiconductor package
US10468345B2 (en) * 2017-05-19 2019-11-05 Taiwan Semiconductor Manufacturing Company Ltd. 3D IC decoupling capacitor structure and method for manufacturing the same
US10354923B2 (en) * 2017-05-31 2019-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method for atomic layer deposition of a dielectric over a substrate
US11251157B2 (en) * 2017-11-01 2022-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Die stack structure with hybrid bonding structure and method of fabricating the same and package
US10741404B2 (en) * 2017-11-08 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method of manufacturing the same
KR102061852B1 (en) * 2017-12-18 2020-01-02 삼성전자주식회사 Semiconductor package
KR102551747B1 (en) * 2018-09-13 2023-07-06 삼성전자주식회사 Semiconductor package
KR20200042663A (en) * 2018-10-16 2020-04-24 삼성전자주식회사 Semiconductor package
US11646242B2 (en) 2018-11-29 2023-05-09 Qorvo Us, Inc. Thermally enhanced semiconductor package with at least one heat extractor and process for making the same
US11374136B2 (en) * 2019-09-27 2022-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and forming method thereof
US11842946B2 (en) * 2021-03-26 2023-12-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package having an encapsulant comprising conductive fillers and method of manufacture

Also Published As

Publication number Publication date
TW202238890A (en) 2022-10-01
US20240071865A1 (en) 2024-02-29
TWI800213B (en) 2023-04-21
KR20220134432A (en) 2022-10-05
KR102670221B1 (en) 2024-05-28
US20220310480A1 (en) 2022-09-29
US11842946B2 (en) 2023-12-12
CN114843230A (en) 2022-08-02

Similar Documents

Publication Publication Date Title
DE102017117815B4 (en) Structure of a semiconductor package and manufacturing method
DE102018116729B3 (en) Semiconductor device package and method
DE102020101431B4 (en) Semiconductor device and manufacturing process
DE102020104147B4 (en) SEMICONDUCTOR COMPONENTS AND METHOD FOR THE PRODUCTION THEREOF
DE102020120137B4 (en) SEMICONDUCTOR PACKAGE AND METHOD
DE102019123272B4 (en) Connection structure and method of forming the same
DE102020112959A1 (en) INTEGRATED CIRCUIT PACKAGE AND PROCEDURE
DE102021102836A1 (en) INTEGRATED CIRCUIT PACKAGE AND METHOD
DE102020113988B4 (en) INTEGRATED CIRCUIT PACKAGE AND METHOD
DE102020130996A1 (en) SEMICONDUCTOR PACKAGE AND METHOD FOR MANUFACTURING IT
DE102020119181A1 (en) SEMICONDUCTOR PACKAGES AND PROCESS FOR THEIR PRODUCTION
DE102021102227A1 (en) Heat dissipation in semiconductor packages and method of forming the same
US20240071865A1 (en) Semiconductor package having an encapulant comprising conductive fillers and method of manufacture
DE102019114074A1 (en) INTEGRATED CIRCUIT PACKAGE AND METHOD
DE102021107792A1 (en) SEMICONDUCTOR PACKAGES AND PROCESSES
DE102020124229A1 (en) SEMICONDUCTOR DEVICE AND METHOD
DE102019114984B4 (en) PACKAGE FOR INTEGRATED CIRCUITS AND PROCESSES
DE102021112540B4 (en) ANTENNA DEVICE AND METHOD
DE102021119243A1 (en) SHAPED THIS INTO SEMICONDUCTOR PACKAGES AND THEIR MANUFACTURING PROCESSES
DE102021109273A1 (en) SEMICONDUCTOR DIE-PACKAGE AND METHOD OF PRODUCTION
DE102020131125A1 (en) Semiconductor package and method of making the same
DE102020108481B4 (en) Semiconductor die package and manufacturing process
DE102023100773A1 (en) INTEGRATED CIRCUIT PACKAGE AND METHOD
DE102023100013A1 (en) SEMICONDUCTOR DEVICE AND METHOD
DE102023103380A1 (en) SEMICONDUCTOR PACKAGES WITH MIXED BOND TYPES AND THEIR TRAINING METHODS

Legal Events

Date Code Title Description
R012 Request for examination validly filed