DE102018202132A1 - Semiconductor structure with a substantially straight contact profile - Google Patents

Semiconductor structure with a substantially straight contact profile Download PDF

Info

Publication number
DE102018202132A1
DE102018202132A1 DE102018202132.5A DE102018202132A DE102018202132A1 DE 102018202132 A1 DE102018202132 A1 DE 102018202132A1 DE 102018202132 A DE102018202132 A DE 102018202132A DE 102018202132 A1 DE102018202132 A1 DE 102018202132A1
Authority
DE
Germany
Prior art keywords
oxidized
layer
interlevel dielectric
oxidized layer
block
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102018202132.5A
Other languages
German (de)
Other versions
DE102018202132B4 (en
Inventor
Ronald Naumann
Matthias Zinke
Robert Seidel
Tobias Barchewitz
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries US Inc
Original Assignee
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Inc filed Critical GlobalFoundries Inc
Publication of DE102018202132A1 publication Critical patent/DE102018202132A1/en
Application granted granted Critical
Publication of DE102018202132B4 publication Critical patent/DE102018202132B4/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Die vorliegende Erfindung betrifft Halbleiterstrukturen und insbesondere eine Halbleiterstruktur mit einem im Wesentlichen geraden Kontaktprofil und Verfahren zur Herstellung. Die Struktur umfasst ein Blockmaterial mit einer oberen oxidierten Schicht an einer Grenzfläche zu einem isolierenden Material; und eine Zwischenverbindungskontaktstruktur mit einem im Wesentlichen geraden Profil durch die oxidierte Schicht des Blockmaterials.The present invention relates to semiconductor structures, and more particularly to a semiconductor structure having a substantially straight contact profile and method of manufacture. The structure comprises a block material having an upper oxidized layer at an interface to an insulating material; and an interconnect contact structure having a substantially straight profile through the oxidized layer of the block material.

Description

GEBIET DER ERFINDUNGFIELD OF THE INVENTION

Die vorliegende Erfindung betrifft Halbleiterstrukturen und insbesondere eine Halbleiterstruktur mit einem im Wesentlichen geraden Kontaktprofil und Verfahren zur Herstellung.The present invention relates to semiconductor structures, and more particularly to a semiconductor structure having a substantially straight contact profile and method of manufacture.

HINTERGRUNDBACKGROUND

Halbleitervorrichtungen umfassen viele verschiedene Verdrahtungsschichten. Diese Verdrahtungsschichten sind in einem Zwischenebenen-Dielektrikumsmaterial gebildet und können Verdrahtungsstrukturen, Zwischenverbindungskontakte, passive Vorrichtungen und aktive Vorrichtungen umfassen. Die Zwischenverbindungskontakte werden in verschiedenen Verdrahtungsschichten von der Die bereitgestellt, um die unterschiedlichen Strukturen zu verbinden, beispielsweise unterschiedliche Verdrahtungsstrukturen usw.Semiconductor devices include many different wiring layers. These wiring layers are formed in an interlevel dielectric material and may include wiring structures, interconnect contacts, passive devices, and active devices. The interconnection contacts are provided in various wiring layers of the die to connect the different structures, for example, different wiring structures, etc.

In der Herstellung der Halbleitervorrichtungen wird typischerweise eine Haftschicht auf einer Bodenfläche des Zwischenebenen-Dielektrikumsmaterials, z.B. eines SiCOH-Vollsubstrat-Materials, über einer Verdrahtungsstruktur gebildet. Die Haftschicht weist jedoch eine von dem Zwischenebenen-Dielektrikumsmaterial verschiedene Ätzrate auf, was zu einem sich verengenden Durchkontaktierungsprofil führt. Mit anderen Worten, da sich die Ätzraten für das Zwischenebenen-Dielektrikumsmaterial und die Haftschicht unterscheiden, werden diese Materialien mit unterschiedlicher Ätzrate geätzt, was zu einem sich verengenden Profil innerhalb der Haftschicht führt. Das sich verengende Durchkontaktierungsprofil ergibt wiederrum Zwischenverbindungskontakte mit sich verengenden Profilen. Diese sich verengende Profile der Zwischenverbindungskontakte führen zu Problemen in dem elektrischen Leistungsvermögen, einschließlich einer Bildung von Poren in dem Metallmaterial, z.B. Kupfer, sowie einem Time Dependent Gate Oxide Breakdown (TDDB).In the manufacture of the semiconductor devices, an adhesive layer is typically deposited on a bottom surface of the interlevel dielectric material, e.g. SiCOH bulk substrate material formed over a wiring pattern. However, the adhesive layer has a different etch rate from the interlevel dielectric material, resulting in a narrowing via profile. In other words, as the etch rates for the interlevel dielectric material and the adhesion layer differ, these materials are etched at a different etch rate, resulting in a narrowing profile within the adhesion layer. The narrowing via profile results in turn interconnection contacts with narrowing profiles. These constricting profiles of interconnect contacts cause problems in electrical performance, including formation of pores in the metal material, e.g. Copper, as well as a Time Dependent Gate Oxide Breakdown (TDDB).

Wie auch bekannt ist, kann das Ätzen dieser verschiedenen Materialien schwer zu steuern sein, da es nicht möglich ist, die Dicke der Haftschicht beim Ätzen zu messen. Verschiedene Dicken der Haftschicht rufen unterschiedliche sich verengende Durchkontaktierungsprofile hervor.As is also known, the etching of these various materials can be difficult to control since it is not possible to measure the thickness of the adhesive layer during etching. Different thicknesses of the adhesive layer cause different narrowing via profiles.

ZUSAMMENFASSUNGSUMMARY

Eine Struktur umfasst in einem Aspekt der Erfindung: ein Blockmaterial mit einer oberen oxidierten Schicht an einer Grenzfläche zu einem isolierendem Material; und eine Zwischenverbindungskontaktstruktur mit einem im Wesentlichen geraden Profil durch die oxidierte Schicht des Blockmaterials.A structure in one aspect of the invention comprises: a block material having an upper oxidized layer at an interface to an insulating material; and an interconnect contact structure having a substantially straight profile through the oxidized layer of the block material.

Eine Struktur umfasst in einem Aspekt der Erfindung: eine Verdrahtungsschicht, die in einem Isolatormaterial gebildet ist; ein Blockmaterial mit einer oberen Oberfläche, die aus einem oxidierten Material gebildet ist; ein Zwischenebenen-Dielektrikumsmaterial direkt auf der oberen Oberfläche; und einen Kontakt, der sich zu der Verdrahtungsschicht durch das Blockmaterial, das oxidierte Material und das Zwischenebenen-Dielektrikumsmaterial erstreckt, wobei der Kontakt innerhalb des oxidierten Materials ein im Wesentlichen gerades Profil aufweist.A structure in one aspect of the invention comprises: a wiring layer formed in an insulator material; a block material having an upper surface formed of an oxidized material; an interlevel dielectric material directly on the top surface; and a contact extending to the wiring layer through the block material, the oxidized material and the interlevel dielectric material, wherein the contact within the oxidized material has a substantially straight profile.

Ein Verfahren umfasst in einem Aspekt der Erfindung: ein Bilden eines Blocklermaterials über einer Verdrahtungsstruktur; ein Oxidieren des Blockiermaterials zur Bildung einer oberen oxidierten Schicht; ein Bilden eines Zwischenebenen-Dielektrikumsmaterials über der oxidierten Schicht; ein Ätzen einer Durchkontaktierung in das Zwischenebenen-Dielektrikumsmaterial, die oxidierte Schicht und das Blockiermaterial, um die Verdrahtungsstruktur freizulegen, wobei die Durchkontaktierung durch die oxidierte Schicht ein im Wesentlichen gerades Durchkontaktierungsprofil aufweist; und ein Bilden eines Kontakts innerhalb der Durchkontaktierung, wobei der Kontakt durch die oxidierte Schicht ein im Wesentlichen gerades Profil aufweist.One method, in one aspect of the invention, comprises: forming a blocker material over a wiring structure; oxidizing the blocking material to form an upper oxidized layer; forming an interlevel dielectric material over the oxidized layer; etching a via into the interlevel dielectric material, the oxidized layer, and the blocking material to expose the wiring structure, the via through the oxidized layer having a substantially straight via profile; and forming a contact within the via, wherein the contact through the oxidized layer has a substantially straight profile.

Figurenlistelist of figures

Die vorliegende Erfindung wird in der folgenden detaillierten Beschreibung mit Bezug auf die Mehrzahl von Figuren anhand nicht beschränkender Beispiele der beispielhaften Ausführungsformen der vorliegenden Erfindung beschrieben.

  • 1 zeigt eine Struktur und entsprechende Herstellungsprozesse gemäß Aspekten der vorliegenden Erfindung.
  • 2 zeigt unter anderen Merkmalen eine Durchkontaktierung mit einem im Wesentlichen geraden Profil und entsprechende Herstellungsprozesse gemäß Aspekten der vorliegenden Erfindung.
  • 3 zeigt unter anderen Merkmalen einen Zwischenverbindungskontakt mit einem im Wesentlichen geraden Profil und entsprechende Herstellungsprozesse gemäß Aspekten der vorliegenden Erfindung.
The present invention will be described in the following detailed description with reference to the plurality of figures by way of non-limiting examples of the exemplary embodiments of the present invention.
  • 1 shows a structure and corresponding manufacturing processes in accordance with aspects of the present invention.
  • 2 shows, among other features, a via having a substantially straight profile and corresponding manufacturing processes in accordance with aspects of the present invention.
  • 3 shows, among other features, an interconnect contact having a substantially straight profile and corresponding manufacturing processes in accordance with aspects of the present invention.

DETAILLIERTE BESCHREIBUNGDETAILED DESCRIPTION

Die vorliegende Erfindung betrifft Halbleitervorrichtungen und insbesondere eine Halbleiterstruktur mit einem im Wesentlichen geraden Kontaktprofil und Verfahren zur Herstellung. Insbesondere stellt die vorliegende Erfindung ein im Wesentlichen gerades oder vertikales Zwischenverbindungskontaktprofil innerhalb eines oxidierten Films in einer Blockierschicht unterhalb eines Zwischenebenen-Dielektrikumsmaterials bereit. Unter Verwendung des oxidierten Films stellt die vorliegende Erfindung vorteilhafterweise einen besser steuerbaren Durchkontaktierungsätzprozess bereit, wobei bessere elektrische Parameterwerte des Zwischenverbindungskontakts resultieren, wie z.B. eine Verringerung von Poren und des Time Dependent Gate Oxide Breakdown (TDDB).The present invention relates to semiconductor devices, and more particularly to a semiconductor structure having a substantially straight contact profile and methods of manufacture. In particular, the present invention provides a substantially straight or vertical interconnect contact profile within an oxidized film in a blocking layer below an intermediate plane. Dielectric material ready. Advantageously, using the oxidized film, the present invention provides a more controllable via etch process, resulting in better electrical parameter values of interconnect contact, such as pore reduction and Time Dependent Gate Oxide Breakdown (TDDB).

In Ausführungsformen wird eine Sauerstoffbehandlung an einer oberen Oberfläche einer BLoK-Schicht, z.B. ein dielektrisches Isolatormaterial mit niedriger Dielektrizitätskonstante, bereitgestellt. Diese Sauerstoffbehandlung verbessert eine Steuerung der Verengung, z.B. Ätzung, an der Grenzfläche zwischen einem Zwischenebenen-Dielektrikumsmaterial und der BLoK-Schicht. Insbesondere weist eine oxidierte Schicht der BLoK-Schicht durch die Bereitstellung der Sauerstoffbehandlung eine zu der Zwischenebenen-Dielektrikumsschicht ähnliche Ätzrate auf. Das sich ergebende Durchkontaktierungsprofil wird wiederum an der Grenzfläche zwischen den zwei Materialien ein gerades oder im Wesentlichen gerades Profil aufweisen, z.B. 90° gemessen relativ zu der horizontalen Oberfläche des Dielektrikums, da die oxidierte Schicht und die Zwischenebenen-Dielektrikumsschicht ähnliche Ätzraten aufweisen. Durch die Umsetzung der hierin beschriebenen Prozesse ist es zusätzlich möglich, die Haftschicht, die auf dem Boden der Zwischenebenen-Dielektrikumsschicht gebildet wird, zu eliminieren, da diese typischerweise während des Ätzprozesses ein sich verengendes Durchkontaktierungsprofil hervorruft.In embodiments, an oxygen treatment is applied to an upper surface of a BLoK layer, e.g. a low dielectric constant dielectric insulator material. This oxygen treatment improves control of the constriction, e.g. Etching, at the interface between an interlevel dielectric material and the BLoK layer. In particular, by providing the oxygen treatment, an oxidized layer of the BLoK layer has an etch rate similar to the interlevel dielectric layer. The resulting via profile will in turn have a straight or substantially straight profile at the interface between the two materials, e.g. 90 ° measured relative to the horizontal surface of the dielectric, since the oxidized layer and the interlevel dielectric layer have similar etch rates. In addition, by implementing the processes described herein, it is possible to eliminate the adhesive layer formed on the bottom of the interlevel dielectric layer, as it typically causes a narrowing via profile during the etch process.

Die Struktur der vorliegenden Erfindung kann auf viele Arten unter Verwendung einer Vielzahl von unterschiedlichen Geräten hergestellt werden. Im Allgemeinen werden dennoch die Verfahren und Geräte eingesetzt, die zur Bildung von Strukturen mit Dimensionen im Mikrometer- und Nanometerbereich verwendet werden. Die Verfahren, insbesondere Technologien, die zur Herstellung der Struktur der vorliegenden Erfindung eingesetzt werden, wurden aus der Integrierten Schaltungs (IC) -Technologie angepasst. Beispielsweise kann die Struktur auf Wafern gebildet und in Materialfilmen realisiert werden, die durch fotolithografische Prozesse auf der Oberseite eines Wafer strukturiert werden. Insbesondere verwendet die Herstellung der Struktur drei grundsätzliche Baublöcke: (i) eine Abscheidung von dünnen Materialfilmen auf einem Substrat, (ii) ein Anwenden einer strukturierten Maske auf einer Oberseite der Filme mittels fotolithografischer Bildgebung, und (iii) ein bezüglich der Maske selektives Ätzen der Filme.The structure of the present invention can be manufactured in many ways using a variety of different devices. In general, however, the methods and devices used to form structures with dimensions in the micrometer and nanometer range are used. The methods, particularly technologies used to fabricate the structure of the present invention, have been adapted from integrated circuit (IC) technology. For example, the structure may be formed on wafers and realized in material films which are patterned by photolithographic processes on top of a wafer. In particular, fabrication of the structure utilizes three basic building blocks: (i) deposition of thin films of material on a substrate, (ii) application of a patterned mask on top of the films by photolithographic imaging, and (iii) mask selective etching of the films Movies.

1 zeigt eine Struktur und entsprechende Herstellungsprozesse gemäß Aspekten der vorliegenden Erfindung. Insbesondere umfasst die Struktur 10 eine Verdrahtungsstruktur 12, die in einem Isolatormaterial 14 gebildet ist. In Ausführungsformen kann das Isolatormaterial 14 ein auf einem Oxid basierendes Material sein. Die Metallverdrahtungsstruktur 12 kann aus einem Kupfermaterial z.B. unter Verwendung herkömmlicher Lithografie-, Ätz- und Abscheidungsprozesse gebildet werden. 1 shows a structure and corresponding manufacturing processes in accordance with aspects of the present invention. In particular, the structure comprises 10 a wiring structure 12 in an insulator material 14 is formed. In embodiments, the insulator material 14 an oxide-based material. The metal wiring structure 12 can be formed from a copper material using, for example, conventional lithography, etching and deposition processes.

Beispielsweise wird ein Lack, der über dem Isolatormaterial 14 gebildet ist, zur Bildung der Verdrahtungsstruktur 12 einer Energie (Licht) ausgesetzt, um eine Struktur (Öffnung) zu bilden. Ein Ätzprozess mit einer selektiven Chemie, z.B. ein reaktives lonenätzen (RIE), wird verwendet, um durch die Öffnungen des Lacks in dem Isolatormaterial 14 wenigstens einen Graben zu bilden. Der Lack kann dann durch einen herkömmlichen Sauerstoffveraschungsprozess oder andere bekannte Abtragungen entfernt werden. Nach der Entfernung des Lacks kann das leitfähige Material durch einen beliebigen herkömmlichen Abscheidungsprozess, z.B. Elektroplattierungsprozesse, abgeschieden werden. Das restliche Material auf der Oberfläche des Isolatormaterials 14 kann durch herkömmliche chemischmechanische Polier (CMP) -Prozesse entfernt werden.For example, a paint that is above the insulator material 14 is formed, to form the wiring structure 12 exposed to an energy (light) to form a structure (opening). An etching process with selective chemistry, such as reactive ion etching (RIE), is used to pass through the openings of the resist in the insulator material 14 at least to form a trench. The varnish can then be removed by a conventional oxygen ashing process or other known ablation. After removal of the lacquer, the conductive material may be deposited by any conventional deposition process, eg, electroplating processes. The remaining material on the surface of the insulator material 14 can be removed by conventional chemical mechanical polishing (CMP) processes.

Mit weiterem Bezug auf 1 wird ein Blockmaterial 16 über dem Isolatormaterial 14 und der Verdrahtungsstruktur 12 gebildet. In Ausführungsformen ist das Blockmaterial 16 eine Dielektrikumsschicht mit einer niedrigen Dielektrizitätskonstante, z.B. ein Nitridmaterial. In spezielleren Ausführungsformen kann das Blockmaterial 16 ein NBLoK (NBLoK ist eine Marke von Applied Materials, Inc.) sein, welches ein mit Stickstoff dotiertes Silizium-Kohlenstoff-Material darstellt. In Ausführungsformen kann das Blockmaterial 16 abhängig von dem Technologieknoten durch einen beliebigen bekannten Abscheidungsprozess mit einer speziellen Dicke abgeschieden werden, z.B. durch chemische Gasphasenabscheidungs (CVD) -Prozesse. Anhand eines nicht beschränkenden Beispiels sollten die Dicke der Blockschicht und die Dicke der oxidierten Schicht aufeinander abgestimmt sein, so dass die verbleibende Blockdicke weiterhin ausreicht, um als Diffusionsbarriere zu fungieren.With further reference to 1 becomes a block material 16 over the insulator material 14 and the wiring structure 12 educated. In embodiments, the block material is 16 a dielectric layer with a low dielectric constant, eg a nitride material. In more specific embodiments, the block material 16 an NBLoK (NBLoK is a trademark of Applied Materials, Inc.) which is a nitrogen-doped silicon-carbon material. In embodiments, the block material 16 Dependent on the technology node by any known deposition process with a specific thickness are deposited, for example by chemical vapor deposition (CVD) processes. By way of a non-limiting example, the thickness of the block layer and the thickness of the oxidized layer should be matched so that the remaining block thickness is still sufficient to act as a diffusion barrier.

In Ausführungsformen wird das Blockmaterial 16 einer Sauerstoffbehandlung unterzogen, um eine oxidierte Schicht 18 zu bilden. In Ausführungsformen kann die oxidierte Schicht 18 an einer oberen Oberfläche des Blockmaterials angeordnet sein und sich abhängig vom Technologieknoten insbesondere um 5 nm bis ungefähr 25 nm erstrecken, obwohl hierin auch andere Dicken bereitgestellt werden. In spezielleren Beispielen kann die oxidierte Schicht 18 ungefähr 20% bis ungefähr 30% der Dicke des Blockmaterials 16 betragen. In einer speziellen Ausführungsform kann die oxidierte Schicht 18 für ein Blockmaterial 16 mit einer Dicke von 35 nm ungefähr 5 nm betragen.In embodiments, the block material becomes 16 subjected to an oxygen treatment to an oxidized layer 18 to build. In embodiments, the oxidized layer 18 in particular may be arranged on an upper surface of the block material and in particular extend from 5 nm to approximately 25 nm depending on the technology node, although other thicknesses are also provided herein. In more specific examples, the oxidized layer 18 about 20% to about 30% of the thickness of the block material 16 be. In a specific embodiment, the oxidized layer 18 for a block material 16 with a thickness of 35 nm about 5 nm.

Die Sauerstoffbehandlung kann in einer Sauerstoffatmosphäre bereitgestellt werden. Die Sauerstoffatmosphäre kann z.B. durch O2, NO2 oder CO2 in einem Trägergas in einer CVD-Kammer bereitgestellt werden. Die Sauerstoffbehandlung kann z.B. nach Beginn des Abscheidungsprozesses unter Verwendung der gleichen CVD-Kammer bereitgestellt werden, die für den Abscheidungsprozess verwendet wird. Die Sauerstoffbehandlung kann nach Beginn oder am Ende von dem Abscheidungsprozess des Blockmaterials 16 bereitgestellt werden. Auf diese Weise kann die Oxidation in situ bereitgestellt werden. Alternativ kann die Sauerstoffbehandlung vor Abscheidung eines Zwischenebenen-Dielektrikumsmaterials bereitgestellt werden, z.B. als Sauerstoffvorbehandlung vor einer SiCOH-Abscheidung, entweder in einem externen Gerät oder innerhalb der Abscheidungskammer. Als ein Beispiel kann die Sauerstoffbehandlung nach dem Abscheidungsprozess unter Verwendung eines abseitigen Plasmageräts bereitgestellt werden. In Ausführungsformen sollte die Sauerstoffbehandlung die darunterliegenden Metallmerkmale nicht beeinflussen, wie z.B. die Verdrahtungsstruktur 12. The oxygen treatment may be provided in an oxygen atmosphere. The oxygen atmosphere may be provided, for example, by O 2 , NO 2 or CO 2 in a carrier gas in a CVD chamber. For example, the oxygen treatment may be provided after the beginning of the deposition process using the same CVD chamber used for the deposition process. The oxygen treatment may begin after the beginning or at the end of the deposition process of the block material 16 to be provided. In this way, the oxidation can be provided in situ. Alternatively, the oxygen treatment may be provided prior to depositing an interlevel dielectric material, eg, as an oxygen pretreatment prior to SiCOH deposition, either in an external device or within the deposition chamber. As an example, the oxygen treatment may be provided after the deposition process using a plasma remote device. In embodiments, the oxygen treatment should not affect the underlying metal features, such as the wiring structure 12 ,

Mit weiterem Bezug auf 1 wird ein Zwischenebenen-Dielektrikumsmaterial 20 über dem Blockmaterial 16 abgeschieden. In spezielleren Ausführungsformen kann das Zwischenebenen-Dielektrikumsmaterial 20 ein SiCOH-Vollsubstrat darstellen, das direkt auf der oxidierten Schicht 18 unter Verwendung eines herkömmlichen großflächigen Abscheidungsprozesses abgeschieden wird, beispielsweise durch CVD. Demgemäß würde der Sauerstoffbehandlungsprozess in dieser letzteren Umsetzung vor der Abscheidung des Zwischenebenen-Dielektrikumsmaterials 20 auftreten. In Ausführungsformen sind die Ätzraten des Zwischenebenen-Dielektrikumsmaterials 20 und der oxidierten Schicht 18 ähnlich. Auf dem Zwischenebenen-Dielektrikumsmaterial 20 wird ein Stapel aus Hartmasken 22, 24 abgeschieden. In Ausführungsformen stellt die Hartmaske 22 z.B. eine ILD-Hartmaske 22 dar und die Hartmaske 24 stellt eine TiN-Hartmaske dar.With further reference to 1 becomes an interlevel dielectric material 20 over the block material 16 deposited. In more specific embodiments, the interlevel dielectric material 20 represent a SiCOH bulk substrate directly on top of the oxidized layer 18 is deposited using a conventional large-scale deposition process, for example by CVD. Accordingly, the oxygen treatment process in this latter reaction would be prior to the deposition of the interlevel dielectric material 20 occur. In embodiments, the etch rates of the interlevel dielectric material are 20 and the oxidized layer 18 similar. On the interlevel dielectric material 20 becomes a stack of hard masks 22 . 24 deposited. In embodiments, the hard mask represents 22 eg an ILD hardmask 22 and the hard mask 24 represents a TiN hardmask.

2 zeigt eine Durchkontaktierung 26, die innerhalb der Struktur aus 1 gebildet ist. In Ausführungsformen kann die Durchkontaktierung 26 durch einen herkömmlichen Dual- oder Single-Damascene-Prozess gebildet werden. Es sind hierzu angesichts der Kenntnisse des Fachmanns keine weiteren Erläuterungen erforderlich. Die Ätzrate des Zwischenebenen-Dielektrikumsmaterials 20 und der oxidierten Schicht 18 weisen im Wesentlichen gleiche Ätzraten auf. Der Abschnitt der innerhalb der oxidierten Schicht 18 gebildeten Durchkontaktierung weist ein im Wesentlichen gerades Profil 28 auf (unabhängig von der Dicke der oxidierten Schicht). In Ausführungsformen entspricht das im Wesentlichen gerade Profil 28 im Wesentlichen 90°, wie relativ zu der horizontalen Oberfläche des Dielektrikumsmaterials oder der darunterliegenden Verdrahtungsstruktur 12 gemessen wird. Der Ätzprozess kann unter Verwendung herkömmlicher Ätzzyklen, beispielsweise RIE-Prozesse, in Gegenwart des Zwischenebenen-Dielektrikumsmaterials 20 und, zusammen mit anderen Schichten, die zusammen geätzt werden, um die darunter liegende Verdrahtungsstruktur 12 freizulegen, in Gegenwart der oxidierten Schicht 18 durchgeführt werden. 2 shows a via 26 that look inside the structure 1 is formed. In embodiments, the via 26 formed by a conventional dual or single damascene process. In view of the knowledge of the person skilled in the art, no further explanation is required. The etch rate of the interlevel dielectric material 20 and the oxidized layer 18 have substantially the same etching rates. The section of inside the oxidized layer 18 formed via has a substantially straight profile 28 on (regardless of the thickness of the oxidized layer). In embodiments, this corresponds to a substantially straight profile 28 substantially 90 °, as relative to the horizontal surface of the dielectric material or the underlying wiring structure 12 is measured. The etching process may be performed using conventional etching cycles, such as RIE processes, in the presence of the interlevel dielectric material 20 and, along with other layers that are etched together, around the underlying wiring structure 12 in the presence of the oxidized layer 18 be performed.

3 zeigt unter anderen Merkmalen einen Zwischenverbindungskontakt 30 mit einem im Wesentlichen geraden Profil, der in der Durchkontaktierung 26 gebildet ist. Vor der Abscheidung des Zwischenverbindungsmaterials können die Hartmasken durch bekannte Abtragungsprozesse entfernt werden. Der Zwischenverbindungskontakt 30 wird z.B. innerhalb der Durchkontaktierung durch herkömmliche Abscheidungsprozesse gebildet, gefolgt von einem chemisch-mechanischen Polieren (CMP). In Ausführungsformen kann die Abscheidung von Wolfram einen CVD-Prozess darstellen, die Abscheidung von Aluminium kann einen Plasma-Gasphasenabscheidungs (plasma vapor deposition, PVD) -Prozess darstellen und andere Metall- oder Metallverbindungsmaterialien können durch einen Elektroplattierungsprozess abgeschieden werden. Das gerade Profil ergibt sich daraus, dass das Zwischenverbindungsmaterial innerhalb der Durchkontaktierung mit dem geraden Profil 28 abgeschieden wird. 3 shows, among other features, an interconnect contact 30 with a substantially straight profile, in the feedthrough 26 is formed. Prior to the deposition of the interconnect material, the hardmasks can be removed by known ablation processes. The interconnection contact 30 is formed, for example, within the via by conventional deposition processes, followed by chemical mechanical polishing (CMP). In embodiments, the deposition of tungsten may represent a CVD process, the deposition of aluminum may be a plasma vapor deposition (PVD) process, and other metal or metal compound materials may be deposited by an electroplating process. The straight profile results from the fact that the interconnect material within the via with the straight profile 28 is deposited.

Das/die oben beschriebene/-n Verfahren wird/werden in der Herstellung von integrierten Schaltungschips verwendet. Die sich ergebenen integrierten Schaltungschips können durch den Hersteller in der Form von unbearbeiteten Wafern (insbesondere als ein einzelner Wafer, der mehrere nicht verpackte Chips aufweist) als ein reiner Die oder in eingepackter Form vertrieben werden. In letzterem Fall ist der Chip in einem Einzelchipgehäuse (z.B. ein Plastikträger mit Leitungen, die an einem Motherboard oder einem anderen Träger höherer Ordnung befestigt sind) oder in einem Mehrchipgehäuse angebracht (z.B. ein Keramikträger mit Oberflächenzwischenverbindungen und/oder vergrabenen Zwischenverbindungen), In jedem Fall wird der Chip dann mit anderen Chips, diskreten Schaltungselementen und/oder anderen Signal-verarbeitenden Vorrichtungen als Teil eines (a) Zwischenprodukts, wie z.B. eines Motherboards, oder (b) als Teil eines Endprodukts integriert. Das Endprodukt kann ein beliebiges Produkt sein, das integrierte Schaltungschips umfasst, im Bereich von Spielzeuggeräten und anderen low-end-Geräten bis hin zu fortgeschrittenen Computerprodukten mit einer Anzeige, einer Tastatur oder anderen Eingabevorrichtung und einen Zentralprozessor.The method (s) described above are used in the manufacture of integrated circuit chips. The resulting integrated circuit chips may be distributed by the manufacturer in the form of raw wafers (especially as a single wafer having a plurality of unpackaged chips) as a pure die or in a packaged form. In the latter case, the chip is mounted in a single chip package (eg, a plastic carrier with leads attached to a motherboard or other higher order carrier) or in a multi-chip package (eg, a ceramic carrier having surface interconnects and / or buried interconnects), in any case For example, the chip is then integrated with other chips, discrete circuit elements, and / or other signal processing devices as part of an (a) intermediate, such as a motherboard, or (b) integrated as part of a final product. The final product can be any product that includes integrated circuit chips, ranging from toy and other low-end devices to advanced computer products with a display, keyboard or other input device, and a central processor.

Die Beschreibung in der verschiedenen Ausführungsform in der vorliegenden Erfindung erfolgt zu Darstellungszwecken und soll nicht vollständig oder auf die beschriebenen Ausführungsformen beschränkend sein. Es sind dem Fachmann viele Modifizierungen und Variationen ersichtlich, ohne vom Rahmen und Wesen der beschriebenen Ausführungsformen abzuweichen. Die hierin verwendete Terminologie wurde ausgewählt, um die Prinzipien der Ausführungsformen, der praktischen Anwendung oder der technischen Verbesserung gegenüber Technologien am besten zu erklären, die sich im Markt befinden, oder um anderen als dem Fachmann das Verständnis der hierin beschriebenen Ausführungsformen zu ermöglichen.The description in the various embodiments in the present invention is presented for purposes of illustration and is not intended to be exhaustive or limited to the embodiments described. Many modifications and variations will be apparent to those skilled in the art without departing from the scope and spirit of the described embodiments. The terminology used herein has been selected to best explain the principles of embodiment, practice, or technical improvement over technologies that are in the marketplace, or to enable other than those skilled in the art to understand the embodiments described herein.

Claims (20)

Struktur, umfassend: ein Blockmaterial mit einer oberen oxidierten Schicht an einer Grenzfläche zu einem isolierenden Material; und eine Zwischenverbindungskontaktstruktur mit einem im Wesentlichen geraden Profil durch die oxidierte Schicht des Blockmaterials.Structure comprising: a block material having an upper oxidized layer at an interface to an insulating material; and an interconnect contact structure having a substantially straight profile through the oxidized layer of the block material. Struktur nach Anspruch 1, wobei sich die Zwischenverbindungskontaktstruktur durch das isolierende Material erstreckt.Structure after Claim 1 wherein the interconnect contact structure extends through the insulating material. Struktur nach Anspruch 2, wobei das isolierende Material ein Dielektrikumsmaterial darstellt, das aus SiCOH gebildet ist.Structure after Claim 2 wherein the insulating material is a dielectric material formed of SiCOH. Struktur nach Anspruch 1, wobei die oxidierte Schicht ungefähr 20% bis 30% einer Dicke des Blockmaterials darstellt.Structure after Claim 1 wherein the oxidized layer represents about 20% to 30% of a thickness of the block material. Struktur nach Anspruch 1, wobei sich die Zwischenverbindungskontaktstruktur zu einer darunterliegenden Verdrahtungsstruktur erstreckt.Structure after Claim 1 wherein the interconnect contact structure extends to an underlying wiring structure. Struktur nach Anspruch 1, wobei das isolierende Material und die oxidierte Schicht im Wesentlichen gleiche Ätzraten aufweisen.Structure after Claim 1 wherein the insulating material and the oxidized layer have substantially equal etching rates. Struktur nach Anspruch 6, wobei das Blockmaterial aus einem Nitridmaterial gebildet ist.Structure after Claim 6 wherein the block material is formed of a nitride material. Struktur nach Anspruch 6, wobei das Blockmaterial aus einem mit Stickstoff dotierten Silizium-Kohlenstoff gebildet ist.Structure after Claim 6 wherein the block material is formed of a nitrogen-doped silicon carbon. Struktur nach Anspruch 8, wobei die isolierende Schicht ein SiCOH-Vollsubstrat darstellt.Structure after Claim 8 wherein the insulating layer is a SiCOH bulk substrate. Struktur, umfassend: eine Verdrahtungsschicht, die in einem Isolatormaterial gebildet ist; ein Blockmaterial, das eine oberseitige Oberfläche umfasst, die aus einem oxidierten Material gebildet ist; ein Zwischenebenen-Dielektrikumsmaterial direkt auf der oberseitigen Oberfläche; und einen Kontakt, der sich zu der Verdrahtungsschicht durch das Blockmaterial, das oxidierte Material und das Zwischenebenen-Dielektrikumsmaterial erstreckt, wobei der Kontakt innerhalb des oxidierten Materials ein im Wesentlichen gerades Profil aufweist.Structure comprising: a wiring layer formed in an insulator material; a block material comprising an upper surface formed of an oxidized material; an interlevel dielectric material directly on the top surface; and a contact extending to the wiring layer through the block material, the oxidized material, and the interlevel dielectric material, wherein the contact within the oxidized material has a substantially straight profile. Struktur nach Anspruch 10, wobei das Zwischenebenen-Dielektrikumsmaterial aus einem SiCOH-Vollsubstrat gebildet ist.Structure after Claim 10 wherein the interlevel dielectric material is formed from a SiCOH bulk substrate. Struktur nach Anspruch 10, wobei das oxidierte Material ungefähr 20% bis 30% von einer Dicke des Blockmaterials beträgt.Structure after Claim 10 wherein the oxidized material is about 20% to 30% of a thickness of the block material. Struktur nach Anspruch 10, wobei das Zwischenebenen-Dielektrikumsmaterial und das oxidierte Material im Wesentlichen gleiche Ätzraten aufweisen.Structure after Claim 10 wherein the interlevel dielectric material and the oxidized material have substantially equal etch rates. Struktur nach Anspruch 13, wobei das Blockmaterial aus einem Tretmaterial gebildet ist.Structure after Claim 13 , wherein the block material is formed of a footing material. Struktur nach Anspruch 14, wobei das Blockmaterial aus einem mit Stickstoff dotiertem Silizium-Kohlenstoff gebildet ist.Structure after Claim 14 wherein the block material is formed of a nitrogen-doped silicon carbon. Struktur nach Anspruch 14, wobei das oxidierte Material eine Dicke von ungefähr 12 nm bis 25 nm aufweist.Structure after Claim 14 wherein the oxidized material has a thickness of about 12 nm to 25 nm. Verfahren, umfassend: ein Bilden eines Blockiermaterials über einer Verdrahtungsstruktur; ein Oxidieren des Blockiermaterials, um eine obere oxidierte Schicht zu bilden; ein Bilden eines Zwischenebenen-Dielektrikumsmaterials über der oxidierten Schicht; ein Ätzen einer Durchkontaktierung in das Zwischenebenen-Dielektrikumsmaterial, die oxidierte Schicht und das Blockiermaterial, um die Verdrahtungsstruktur freizulegen, wobei die Durchkontaktierung durch die oxidierte Schicht ein im Wesentlichen gerades Durchkontaktierungsprofll aufweist; und ein Bilden eines Kontakts innerhalb der Durchkontaktierung, wobei der Kontakt durch die oxidierte Schicht ein im Wesentlichen gerades Profil aufweist.Method, comprising: forming a blocking material over a wiring structure; oxidizing the blocking material to form an upper oxidized layer; forming an interlevel dielectric material over the oxidized layer; etching a via in the interlevel dielectric material, the oxidized layer and the blocking material to expose the wiring structure, the via through the oxidized layer having a substantially straight via profile; and forming a contact within the via, the contact through the oxidized layer having a substantially straight profile. Verfahren nach Anspruch 17, wobei das Zwischenebenen-Dielektrikumsmaterial und die oxidierte Schicht im Wesentlichen gleiche Ätzprofile aufweisen.Method according to Claim 17 wherein the interlevel dielectric material and the oxidized layer have substantially equal etch profiles. Verfahren nach Anspruch 18, wobei das Oxidieren in einer Abscheidungskammer durchgeführt wird, die zur Bildung des Blockiermaterials verwendet wird.Method according to Claim 18 wherein the oxidizing is carried out in a deposition chamber which is used to form the blocking material. Verfahren nach Anspruch 18, wobei das Oxidieren mit einem Plasmaprozess durchgeführt wird.Method according to Claim 18 wherein the oxidizing is carried out with a plasma process.
DE102018202132.5A 2017-11-20 2018-02-12 Process for manufacturing a semiconductor structure with a substantially straight contact profile Active DE102018202132B4 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/817,801 2017-11-20
US15/817,801 US20190157213A1 (en) 2017-11-20 2017-11-20 Semiconductor structure with substantially straight contact profile

Publications (2)

Publication Number Publication Date
DE102018202132A1 true DE102018202132A1 (en) 2019-05-23
DE102018202132B4 DE102018202132B4 (en) 2022-09-01

Family

ID=66336631

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102018202132.5A Active DE102018202132B4 (en) 2017-11-20 2018-02-12 Process for manufacturing a semiconductor structure with a substantially straight contact profile

Country Status (4)

Country Link
US (2) US20190157213A1 (en)
CN (1) CN109817566A (en)
DE (1) DE102018202132B4 (en)
TW (1) TW201924011A (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114597167B (en) * 2022-05-10 2022-08-02 合肥晶合集成电路股份有限公司 Metal interconnection structure and manufacturing method thereof

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010000115A1 (en) * 1999-09-29 2001-04-05 Greco Stephen E. Dual damascene flowable oxide insulation structure and metallic barrier
DE10240176A1 (en) * 2002-08-30 2004-04-29 Advanced Micro Devices, Inc., Sunnyvale A dielectric layer stack with a low dielectric constant including an etching indicator layer for use in dual damascene technology
US20050233591A1 (en) * 2004-03-31 2005-10-20 Applied Materials, Inc. Techniques promoting adhesion of porous low K film to underlying barrier layer
US20110237085A1 (en) * 2003-03-07 2011-09-29 Francimar Campana Schmitt Methods of modifying interlayer adhesion

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6593247B1 (en) 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
US6251770B1 (en) * 1999-06-30 2001-06-26 Lam Research Corp. Dual-damascene dielectric structures and methods for making the same
DE10260619B4 (en) 2002-12-23 2011-02-24 Globalfoundries Inc. Process for producing a cover layer with antireflective properties on a low-k dielectric
US6893959B2 (en) 2003-05-05 2005-05-17 Infineon Technologies Ag Method to form selective cap layers on metal features with narrow spaces
JP4571785B2 (en) * 2003-05-30 2010-10-27 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
US7030031B2 (en) 2003-06-24 2006-04-18 International Business Machines Corporation Method for forming damascene structure utilizing planarizing material coupled with diffusion barrier material
US7102232B2 (en) 2004-04-19 2006-09-05 International Business Machines Corporation Structure to improve adhesion between top CVD low-k dielectric and dielectric capping layer
US7223654B2 (en) * 2005-04-15 2007-05-29 International Business Machines Corporation MIM capacitor and method of fabricating same
US8017522B2 (en) 2007-01-24 2011-09-13 International Business Machines Corporation Mechanically robust metal/low-κ interconnects
JP5168273B2 (en) * 2007-02-21 2013-03-21 富士通セミコンダクター株式会社 Semiconductor device and manufacturing method thereof
US7615482B2 (en) * 2007-03-23 2009-11-10 International Business Machines Corporation Structure and method for porous SiCOH dielectric layers and adhesion promoting or etch stop layers having increased interfacial and mechanical strength
US8357608B2 (en) * 2010-08-09 2013-01-22 International Business Machines Corporation Multi component dielectric layer
US8685867B1 (en) * 2010-12-09 2014-04-01 Novellus Systems, Inc. Premetal dielectric integration process
CN103187359B (en) * 2011-12-29 2015-07-08 中芯国际集成电路制造(上海)有限公司 Forming method of metal interconnecting wire
TWI520351B (en) * 2013-03-11 2016-02-01 華亞科技股份有限公司 Stack capcaitor and manufacturing method thereof
CN105226008B (en) * 2014-06-27 2018-07-10 中芯国际集成电路制造(上海)有限公司 The forming method of interconnection structure
CN105336674B (en) * 2014-07-28 2018-03-30 中芯国际集成电路制造(上海)有限公司 Interconnection structure and forming method thereof
US9777025B2 (en) * 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9985122B2 (en) 2015-05-19 2018-05-29 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structures

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010000115A1 (en) * 1999-09-29 2001-04-05 Greco Stephen E. Dual damascene flowable oxide insulation structure and metallic barrier
DE10240176A1 (en) * 2002-08-30 2004-04-29 Advanced Micro Devices, Inc., Sunnyvale A dielectric layer stack with a low dielectric constant including an etching indicator layer for use in dual damascene technology
US20110237085A1 (en) * 2003-03-07 2011-09-29 Francimar Campana Schmitt Methods of modifying interlayer adhesion
US20050233591A1 (en) * 2004-03-31 2005-10-20 Applied Materials, Inc. Techniques promoting adhesion of porous low K film to underlying barrier layer

Also Published As

Publication number Publication date
US11127683B2 (en) 2021-09-21
TW201924011A (en) 2019-06-16
US20190157213A1 (en) 2019-05-23
DE102018202132B4 (en) 2022-09-01
CN109817566A (en) 2019-05-28
US20190229063A1 (en) 2019-07-25

Similar Documents

Publication Publication Date Title
DE102016100002B4 (en) Method of manufacturing a semiconductor device
DE102016117486B4 (en) MANUFACTURING METHOD FOR A SEMICONDUCTOR DEVICE
DE102016100766B4 (en) STRUCTURING OF CONTACT THROUGH MULTI-PHOTOLITHOGRAPHY AND MULTILATERALITY
DE69323628T2 (en) CHIP CONNECTION WITH GAS-PERMEABLE ETCH LAYER
DE69025300T2 (en) Integrated circuit with a planarized dielectric layer
DE69226411T2 (en) Manufacture of a conductive area in electronic devices
DE112007000215B4 (en) A method of manufacturing a porous silicon dielectric semiconductor device
DE102004037089A1 (en) A technique for making a passivation layer prior to depositing a barrier layer in a copper metallization layer
DE102019217879B4 (en) Upper electrode connection structure and manufacturing method
DE102015107271A1 (en) Etch stop layer in integrated circuits
DE112006000811B4 (en) Etching process for CD reduction of ARC material
DE102004005697B4 (en) Manufacturing Method for a Resistant Via Structure and Related Via Structure
DE69424388T2 (en) Process and dielectric structure to facilitate metal overetching without damaging the intermediate dielectric
DE102007046846A1 (en) Sidewall protection layer
DE3783608T2 (en) PLANARIZATION METHOD FOR THE PRODUCTION OF CONTACT HOLES IN SILICON BODIES.
DE102018202253B4 (en) Method for etching a deep trench isolation structure with an air gap ending in an interlevel dielectric material and associated structures
DE102019216082A1 (en) SCALED GATE CONTACT AND SOURCE / DRAIN CAP
DE10244570A1 (en) Filling a damascene structure involves coating damascene structure by liner providing poor step coverage, depositing tungsten by chemical vapor deposition, and performing metal isolation process
DE102010040071B4 (en) A method for restoring surface properties of sensitive low ε dielectrics in microstructure devices using in-situ surface modification
DE112004001530T5 (en) Sealed pores in damascene structures with low-k material
DE102018221806A1 (en) BACK END OF LINE STRUCTURES WITH AIR COLUMN
DE102008054068A1 (en) Narrowing metal cavities in a metallic layer stack of a semiconductor device by providing a dielectric barrier layer
DE102013100709A1 (en) Apparatus and methods for polishing, etching and cleaning with a gas mixed liquid
DE102006036797B4 (en) Method for producing a single damascene structure with a disposable template
DE102019130124A1 (en) FUNCTIONAL COMPONENT WITHIN A CONNECTING STRUCTURE OF A SEMICONDUCTOR DEVICE AND METHOD FOR MAKING SAME

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES U.S. INC., SANTA CLARA, US

Free format text: FORMER OWNER: GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

R082 Change of representative

Representative=s name: GRUENECKER PATENT- UND RECHTSANWAELTE PARTG MB, DE

R016 Response to examination communication
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final