DE102017222404A1 - SECONDARY MIRROR - Google Patents

SECONDARY MIRROR Download PDF

Info

Publication number
DE102017222404A1
DE102017222404A1 DE102017222404.5A DE102017222404A DE102017222404A1 DE 102017222404 A1 DE102017222404 A1 DE 102017222404A1 DE 102017222404 A DE102017222404 A DE 102017222404A DE 102017222404 A1 DE102017222404 A1 DE 102017222404A1
Authority
DE
Germany
Prior art keywords
mirror
wafer
front side
process step
frame structure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
DE102017222404.5A
Other languages
German (de)
Inventor
Mathias Müller
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Blickfeld GmbH
Original Assignee
Blickfeld GmbH
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Blickfeld GmbH filed Critical Blickfeld GmbH
Priority to DE102017222404.5A priority Critical patent/DE102017222404A1/en
Priority to PCT/EP2018/083288 priority patent/WO2019115263A1/en
Publication of DE102017222404A1 publication Critical patent/DE102017222404A1/en
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B26/00Optical devices or arrangements for the control of light using movable or deformable optical elements
    • G02B26/08Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light
    • G02B26/0816Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light by means of one or more reflecting elements
    • G02B26/0833Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light by means of one or more reflecting elements the reflecting element being a micromechanical device, e.g. a MEMS mirror, DMD
    • G02B26/0858Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light by means of one or more reflecting elements the reflecting element being a micromechanical device, e.g. a MEMS mirror, DMD the reflecting means being moved or deformed by piezoelectric means
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B3/00Devices comprising flexible or deformable elements, e.g. comprising elastic tongues or membranes
    • B81B3/0064Constitution or structural means for improving or controlling the physical properties of a device
    • B81B3/0067Mechanical properties
    • B81B3/007For controlling stiffness, e.g. ribs
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00436Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
    • B81C1/00555Achieving a desired geometry, i.e. controlling etch rates, anisotropy or selectivity
    • B81C1/00626Processes for achieving a desired geometry not provided for in groups B81C1/00563 - B81C1/00619
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01SRADIO DIRECTION-FINDING; RADIO NAVIGATION; DETERMINING DISTANCE OR VELOCITY BY USE OF RADIO WAVES; LOCATING OR PRESENCE-DETECTING BY USE OF THE REFLECTION OR RERADIATION OF RADIO WAVES; ANALOGOUS ARRANGEMENTS USING OTHER WAVES
    • G01S7/00Details of systems according to groups G01S13/00, G01S15/00, G01S17/00
    • G01S7/48Details of systems according to groups G01S13/00, G01S15/00, G01S17/00 of systems according to group G01S17/00
    • G01S7/481Constructional features, e.g. arrangements of optical elements
    • G01S7/4817Constructional features, e.g. arrangements of optical elements relating to scanning
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B26/00Optical devices or arrangements for the control of light using movable or deformable optical elements
    • G02B26/08Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B26/00Optical devices or arrangements for the control of light using movable or deformable optical elements
    • G02B26/08Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light
    • G02B26/0816Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light by means of one or more reflecting elements
    • G02B26/0833Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light by means of one or more reflecting elements the reflecting element being a micromechanical device, e.g. a MEMS mirror, DMD
    • G02B26/085Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light by means of one or more reflecting elements the reflecting element being a micromechanical device, e.g. a MEMS mirror, DMD the reflecting means being moved or deformed by electromagnetic means
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B26/00Optical devices or arrangements for the control of light using movable or deformable optical elements
    • G02B26/08Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light
    • G02B26/10Scanning systems
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2201/00Specific applications of microelectromechanical systems
    • B81B2201/04Optical MEMS
    • B81B2201/042Micromirrors, not used as optical switches
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2203/00Basic microelectromechanical structures
    • B81B2203/01Suspended structures, i.e. structures allowing a movement
    • B81B2203/0118Cantilevers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0128Processes for removing material
    • B81C2201/013Etching
    • B81C2201/0132Dry etching, i.e. plasma etching, barrel etching, reactive ion etching [RIE], sputter etching or ion milling
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0174Manufacture or treatment of microstructural devices or systems in or on a substrate for making multi-layered devices, film deposition or growing
    • B81C2201/0191Transfer of a layer from a carrier wafer to a device wafer
    • B81C2201/0194Transfer of a layer from a carrier wafer to a device wafer the layer being structured
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2203/00Forming microstructural systems
    • B81C2203/03Bonding two components
    • B81C2203/032Gluing
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2203/00Forming microstructural systems
    • B81C2203/03Bonding two components
    • B81C2203/033Thermal bonding
    • B81C2203/036Fusion bonding

Abstract

Ein Spiegel (150) umfasst eine Spiegelvorderseite (151), die eine reflektierende Schicht umfasst. Der Spiegel (150) umfasst ebenfalls eine Spiegelrückseite (152). Die Spiegelrückseite (152) umfasst eine Rahmenstruktur (157) mit Rippen (158) und Hohlräumen (159). Die Spiegelvorderseite (151) und die Spiegelrückseite (152) sind nicht einstückig ausgebildet.A mirror (150) includes a mirror front side (151) that includes a reflective layer. The mirror (150) also includes a mirror backside (152). The mirror backside (152) comprises a frame structure (157) with ribs (158) and cavities (159). The mirror front side (151) and the mirror back side (152) are not formed in one piece.

Description

TECHNISCHES GEBIETTECHNICAL AREA

Verschiedene Ausführungsformen der Erfindung betreffen Techniken zum Herstellen eines Spiegels, z.B. für ein Abtastmodul, das zum resonanten Abtasten von Licht, z.B. zur Verwendung durch ein LIDAR-System, konfiguriert ist. Verschiedene Beispiele der Erfindung beziehen sich speziell auf einen zweiteiligen Produktionsprozess, bei dem eine Spiegelvorderseite und eine Spiegelrückseite getrennt erzeugt und anschließend gekoppelt werden.Various embodiments of the invention relate to techniques for producing a mirror, e.g. for a scanning module suitable for resonant scanning of light, e.g. for use by a LIDAR system. Various examples of the invention relate specifically to a two-part production process in which a mirror front side and a mirror back side are separately produced and subsequently coupled.

HINTERGRUNDBACKGROUND

Spiegel zum Abtasten von Licht (Abtastspiegel) sind in verschiedenen Verwendungsfällen erforderlich. Ein beispielhafter Verwendungsfall ist Abstandsmessung unter Verwendung von Licht (light detection and ranging; LIDAR). Gepulstes oder Dauerstrich-Laserlicht wird übertragen und nach Reflexion an einem Objekt erfasst. Zum Bereitstellen einer lateralen Auflösung kann das Licht unter Verwendung eines Abtastspiegels abgetastet werden.Mirrors for scanning light (scanning mirrors) are required in various applications. An exemplary use case is distance measurement using light (light detection and ranging; LIDAR). Pulsed or continuous laser light is transmitted and detected after reflection on an object. To provide lateral resolution, the light may be scanned using a scanning mirror.

Techniken zum Herstellen von Abtastspiegeln sind z.B. aus US 7,078,778 B2 bekannt. Derartige Techniken benutzen typischerweise Vorgehensweisen von mikroelektromechanischen Systemen (microelectromechanical systems; MEMSs). Hier wird der Spiegel durch einen Wafer, wie beispielsweise einen Siliziumwafer, definiert. Der Wafer wird unter Verwendung von einer oder mehreren der folgenden Techniken verarbeitet: Lithographie; Trockenätzen; Nassätzen; Abheben; usw.Techniques for producing scanning mirrors are for example US 7,078,778 B2 known. Such techniques typically employ microelectromechanical systems (MEMSs). Here, the mirror is defined by a wafer, such as a silicon wafer. The wafer is processed using one or more of the following techniques: lithography; dry; wet etching; Take off; etc.

Herkömmliche Techniken zum Herstellen eines Abtastspiegels unter Verwendung von MEMS-Techniken sehen sich bestimmten Einschränkungen und Nachteilen konfrontiert. Beispielsweise kann es in einigen Szenarien wünschenswert sein, einen Spiegel mit einem vergleichsweisen großen Durchmesser der jeweiligen reflektierenden Schicht herzustellen. Im Einzelnen kann dies die Implementierung von großen Emitteraperturen und/oder Detektoraperturen für die jeweilige Optik erleichtern. Dann ist es typischerweise erforderlich, wenn MEMS-Techniken benutzt werden, eine erhebliche Menge an Wafermaterial unter Verwendung von Ätzen zu entfernen. Eine typische MEMS-Technik stützt sich auf reaktives Ionentiefenätzen (DRIE = deep reactive ion beam etching). Ein beispielhafter DRIE-Prozess wird durch US 5,501,893 A beschrieben. Wenn ein derartiger DRIE-Prozess angewendet wird, um große Mengen an Material zu entfernen - wie typischerweise beim Herstellen von Spiegeln mit großen Durchmessern angetroffen wird -, werden jedoch bestimmte technische Einschränkungen angetroffen: beispielsweise kann Prozessgas/Ätzgas nicht ohne Weiteres bei den erforderlichen hohen Raten zugeführt werden. Dies kann zu Konzentrationsgradienten über dem Wafer führen; was dadurch zu inhomogenen Ätzraten über dem Wafer führt. Inhomogenes Ätzen ist hoch unerwünscht, weil Strukturen, die an unterschiedlichen Positionen über den Wafer positioniert sind, dann unterschiedlich verarbeitet werden. Dies macht es schwer, einen Prozess zu implementieren. Ein zweiter Nachteil von herkömmlichen DRIE-Prozessen, die in Verbindung mit MEMS-Techniken benutzt werden, ist, dass in das System eingeführte Wärme mit der Zunahme im Volumen von entferntem Material zunimmt. Dann kann, wenn Spiegel mit einem großen Durchmesser hergestellt werden, die Kühlungskapazität - beispielsweise von Rückseitenkühlung - nicht ausreichend sein, um den Temperaturanstieg zu begrenzen. Des Weiteren können Temperaturgradienten über den Wafer beobachtet werden, was erneut zu inhomogener Verarbeitung führt. Herkömmliche DRIE-Prozesse weisen Grenzen mit Bezug auf das Herstellen großer Spiegel auf, die vergleichsweise leichtgewichtig sind.Conventional techniques for fabricating a scanning mirror using MEMS techniques are faced with certain limitations and disadvantages. For example, in some scenarios, it may be desirable to make a mirror with a comparatively large diameter of the respective reflective layer. In particular, this can facilitate the implementation of large emitter apertures and / or detector apertures for the particular optics. Then, when using MEMS techniques, it is typically necessary to remove a substantial amount of wafer material using etching. A typical MEMS technique relies on deep reactive ion beam etching (DRIE). An exemplary DRIE process is accomplished US 5,501,893 A described. However, when such a DRIE process is used to remove large quantities of material - as is typically found in the manufacture of large diameter mirrors - certain technical limitations are encountered: for example, process gas / etching gas may not readily operate at the required high rates be supplied. This can lead to concentration gradients over the wafer; This leads to inhomogeneous etch rates over the wafer. Inhomogeneous etching is highly undesirable because structures positioned at different positions over the wafer are then processed differently. This makes it hard to implement a process. A second disadvantage of conventional DRIE processes used in conjunction with MEMS techniques is that heat introduced into the system increases with the increase in volume of removed material. Then, when producing mirrors with a large diameter, the cooling capacity - for example, of backside cooling - may not be sufficient to limit the temperature rise. Furthermore, temperature gradients can be observed across the wafer, again resulting in inhomogeneous processing. Conventional DRIE processes have limitations in terms of making large mirrors that are relatively lightweight.

ZUSAMMENFASSUNGSUMMARY

Daher existiert ein Bedarf an fortgeschrittenen Techniken zum Herstellen von Spiegeln unter Verwendung von MEMS-Techniken. Im Einzelnen existiert ein Bedarf an fortgeschrittenen Techniken zum Herstellen von MEMS-Spiegeln mit einem vergleichsweisen großen Durchmesser des jeweiligen reflektierenden Materials.Therefore, there exists a need for advanced techniques for producing mirrors using MEMS techniques. In particular, there is a need for advanced techniques for fabricating MEMS mirrors having a comparatively large diameter of the respective reflective material.

Diesem Bedarf wird durch die Merkmale der unabhängigen Ansprüche entsprochen. Die Merkmale der abhängigen Ansprüche definieren Ausführungsformen.This need is met by the features of the independent claims. The features of the dependent claims define embodiments.

Ein Verfahren zum Herstellen eines Spiegels umfasst das Herstellen einer Spiegelvorderseite und das Herstellen einer Spiegelrückseite. Die Spiegelrückseite umfasst eine Rahmenstruktur. Die Rahmenstruktur umfasst Rippen und Hohlräume. Das Verfahren umfasst ebenfalls das Koppeln der Spiegelvorderseite und der Spiegelrückseite, um den Spiegel zu erhalten.A method of manufacturing a mirror includes forming a mirror front surface and forming a mirror back surface. The mirror back comprises a frame structure. The frame structure includes ribs and cavities. The method also includes coupling the mirror front and the mirror back to obtain the mirror.

MEMS-Techniken können zum Herstellen des Spiegels benutzt werden. Die Spiegelvorderseite und die Spiegelrückseite können durch einen oder mehrere Wafers definiert werden. Beispielsweise kann eine Glas-MEMS-Technik benutzt werden.MEMS techniques can be used to make the mirror. The mirror front and mirror back can be defined by one or more wafers. For example, a glass MEMS technique can be used.

Beispielsweise kann die Rahmenstruktur eine Bahnstruktur implementieren. Beispielsweise kann ein Bereich der Rahmenstruktur nicht weniger als 50 % des Bereiches der Spiegelvorderseite, optional nicht weniger als 80 %, ferner optional nicht weniger als 95 % abdecken. Dadurch kann eine Struktursteifigkeit der Spiegelvorderseite durch die Rahmenstruktur bereitgestellt werden.For example, the frame structure may implement a track structure. For example, an area of the frame structure may cover not less than 50% of the area of the front of the mirror, optionally not less than 80%, further optionally not less than 95%. This can be a Structural rigidity of the mirror front side are provided by the frame structure.

Ein Spiegel umfasst eine Spiegelvorderseite und eine Spiegelrückseite. Die Spiegelvorderseite umfasst eine reflektierende Schicht. Die Spiegelrückseite umfasst eine Rahmenstruktur. Die Rahmenstruktur umfasst Rippen und Hohlräume. Die Spiegelvorderseite und die Spiegelrückseite sind nicht einstückig ausgebildet.A mirror includes a mirror front and a mirror back. The mirror front comprises a reflective layer. The mirror back comprises a frame structure. The frame structure includes ribs and cavities. The mirror front and the mirror back are not formed in one piece.

Die Spiegelvorderseite und die Spiegelrückseite können somit zwei Teile sein, die miteinander gekoppelt sind.The mirror front and the mirror back can thus be two parts that are coupled together.

Das Verfahren kann ferner ein Isolieren der Spiegel von umgebenden Wafermaterial nach dem Koppeln umfassen. Die Spiegelvorderseite und die Spiegelrückseite können aus mindestens einem Wafer einschließlich dem umgebenden Wafermaterial hergestellt werden.The method may further comprise isolating the mirrors of surrounding wafer material after coupling. The mirror front side and the mirror back side can be made from at least one wafer including the surrounding wafer material.

Das Verfahren kann ferner ein Isolieren des Spiegels von umgebenden Wafermaterial nach dem Koppeln umfassen. In anderen Beispielen kann das Verfahren ein Isolieren der Spiegelvorderseite von umgebenden Wafermaterial und ein Isolieren der Spiegelrückseite von umgebenden Wafermaterial vor dem Koppeln umfassen.The method may further comprise isolating the mirror from surrounding wafer material after coupling. In other examples, the method may include insulating the mirror front side from surrounding wafer material and insulating the mirror backside from surrounding wafer material prior to coupling.

Ein Spiegel umfasst eine Spiegelvorderseite und eine Spiegelrückseite. Die Spiegelvorderseite umfasst eine reflektierende Schicht. Die Spiegelrückseite umfasst eine Rahmenstruktur. Die Rahmenstruktur umfasst Rippen und Hohlräume. Mindestens eine Rippe der Rippen erstreckt sich über einen Außenumfang der Spiegelvorderseite hinaus.A mirror includes a mirror front and a mirror back. The mirror front comprises a reflective layer. The mirror back comprises a frame structure. The frame structure includes ribs and cavities. At least one rib of the ribs extends beyond an outer periphery of the mirror front side.

Im Einzelnen würde es möglich sein, dass sich die mindestens eine Rippe über einen Außenumfang der reflektierenden Schicht hinaus erstreckt.In particular, it would be possible for the at least one rib to extend beyond an outer circumference of the reflective layer.

Der Spiegel kann ein mesoskopischer Spiegel sein. Der Spiegel kann einen Durchmesser nicht kleiner als 4 Millimeter, optional nicht kleiner als 6 Millimeter, ferner optional nicht kleiner als 8 Millimeter aufweisen.The mirror can be a mesoscopic mirror. The mirror may have a diameter not smaller than 4 millimeters, optionally not smaller than 6 millimeters, further optionally not smaller than 8 millimeters.

Eine Abtasteinheit umfasst den Spiegel und eine elastische Halterung. Die Abtasteinheit kann ferner einen Aktuator umfassen, der konfiguriert ist, um den Spiegel durch Anregen eines Eigenmodus der elastischen Halterung resonant abzutasten. Beispielsweise kann ein Torsionseigenmodus der elastischen Halterung resonant angeregt werden.A scanning unit includes the mirror and an elastic mount. The scanning unit may further include an actuator configured to resonantly scan the mirror by exciting a self-mode of the resilient mount. For example, a torsion eigenmode of the elastic mount may be resonantly excited.

Ein LIDAR-System umfasst eine derartige Abtasteinheit. Das LIDAR-System kann konfiguriert sein, um den Abstand zu einem Zielobjekt beispielsweise durch Implementieren der Zeit von Lichtmessungen von Laserlicht zu bestimmen.A LIDAR system comprises such a scanning unit. The LIDAR system may be configured to determine the distance to a target object, for example, by implementing the time of light measurements of laser light.

Es versteht sich, dass die oben erwähnten Merkmale und diejenigen, die noch nachstehend zu erläutern sind, nicht nur in den jeweiligen angegebenen Kombinationen, sondern ebenfalls in anderen Kombinationen oder in Alleinstellung verwendet werden können, ohne vom Umfang der Erfindung abzuweichen.It is understood that the above-mentioned features and those to be explained below can be used not only in the respective combinations indicated, but also in other combinations or in isolation, without departing from the scope of the invention.

Figurenlistelist of figures

  • 1 veranschaulicht schematisch eine Abtasteinheit, die einen Spiegel und eine elastische Halterung gemäß verschiedenen Beispielen umfasst. 1 schematically illustrates a scanning unit that includes a mirror and an elastic mount according to various examples.
  • 2 ist eine perspektivische Ansicht einer Abtasteinheit gemäß verschiedenen Beispielen. 2 FIG. 12 is a perspective view of a scanner unit according to various examples. FIG.
  • 3 ist eine weitere perspektivische Ansicht der Abtasteinheit gemäß 2. 3 is another perspective view of the scanning unit according to 2 ,
  • 4 ist eine weitere perspektivische Ansicht der Abtasteinheit gemäß 2. 4 is another perspective view of the scanning unit according to 2 ,
  • 5 ist ein Ablaufdiagramm eines Verfahrens zum Herstellen eines Spiegels und einer elastischen Halterung einer Abtasteinheit gemäß verschiedenen Beispielen. 5 FIG. 10 is a flowchart of a method of manufacturing a mirror and resilient mount of a scanner unit according to various examples. FIG.
  • 6 veranschaulicht einen Prozessschritt zum Herstellen einer Spiegelrückseite gemäß verschiedenen Beispielen. 6 FIG. 12 illustrates a process step of producing a mirror back according to various examples. FIG.
  • 7 veranschaulicht schematisch einen Prozessschritt zum Herstellen der Spiegelrückseite, der dem Prozessschritt von 6 folgt. 7 schematically illustrates a process step for producing the mirror back, the process step of 6 follows.
  • 8 veranschaulicht schematisch einen Prozessschritt zum Herstellen der Spiegelrückseite, der dem Prozessschritt von 7 folgt. 8th schematically illustrates a process step for producing the mirror back, the process step of 7 follows.
  • 9 veranschaulicht schematisch einen Prozessschritt zum Anfertigen der Spiegelrückseite, der dem Prozessschritt von 8 folgt. 9 schematically illustrates a process step for making the mirror back, the process step of 8th follows.
  • 10 veranschaulicht eine Anordnung von Spiegelrückseiten auf Waferebene gemäß verschiedenen Beispielen. 10 FIG. 12 illustrates an array of wafer-level mirror backs according to various examples. FIG.
  • 11 veranschaulicht schematisch einen Prozessschritt zum Herstellen einer Spiegelvorderseite gemäß verschiedenen Beispielen. 11 schematically illustrates a process step for producing a mirror front according to various examples.
  • 12 veranschaulicht schematisch einen Prozessschritt zum Herstellen der Spiegelvorderseite, der dem Prozessschritt gemäß 11 folgt. 12 schematically illustrates a process step for producing the mirror front, the process step according to 11 follows.
  • 13 veranschaulicht schematisch einen Prozessschritt zum Herstellen der Spiegelvorderseite, der dem Prozessschritt gemäß 12 folgt. 13 schematically illustrates a process step for producing the mirror front, the process step according to 12 follows.
  • 14 veranschaulicht schematisch einen Prozessschritt zum Herstellen der Spiegelvorderseite, der dem Prozessschritt gemäß 13 folgt. 14 schematically illustrates a process step for producing the mirror front, the process step according to 13 follows.
  • 15 veranschaulicht schematisch einen Prozessschritt zum Herstellen eines Spiegels durch Koppeln einer Spiegelvorderseite und einer Spiegelrückseite, wobei der Prozessschritt gemäß 15 den Prozessschritten gemäß 9 und 14 folgt. 15 schematically illustrates a process step for producing a mirror by coupling a mirror front side and a mirror back, wherein the process step according to 15 according to the process steps 9 and 14 follows.
  • 16 veranschaulicht schematisch einen Prozessschritt zum Herstellen des Spiegels, der dem Prozessschritt gemäß 15 folgt. 16 schematically illustrates a process step for producing the mirror, the process step according to 15 follows.
  • 17 veranschaulicht schematisch den Spiegel, der von dem Prozessschritt gemäß 16 erhalten wird. 17 schematically illustrates the mirror obtained from the process step according to 16 is obtained.
  • 18 veranschaulicht schematisch einen Prozessschritt zum Herstellen eines Spiegels, wobei der Prozessschritt gemäß 18 den Prozessschritten gemäß 9 und 11 folgt. 18 schematically illustrates a process step for producing a mirror, wherein the process step according to 18 according to the process steps 9 and 11 follows.
  • 19 veranschaulicht schematisch einen Prozessschritt zum Herstellen des Spiegels, wobei der Prozessschritt gemäß 19 dem Prozessschritt gemäß 18 folgt. 19 schematically illustrates a process step for producing the mirror, wherein the process step according to 19 according to the process step 18 follows.
  • 20 veranschaulicht schematisch einen Prozessschritt zum Herstellen des Spiegels, der dem Prozessschritt von 19 folgt. 20 schematically illustrates a process step for producing the mirror, the process step of 19 follows.
  • 21 veranschaulicht schematisch einen Prozessschritt zum Herstellen des Spiegels, der dem Prozessschritt gemäß 20 folgt. 21 schematically illustrates a process step for producing the mirror, the process step according to 20 follows.
  • 22 veranschaulicht schematisch einen Prozessschritt zum Herstellen des Spiegels, der dem Prozessschritt gemäß 21 folgt. 22 schematically illustrates a process step for producing the mirror, the process step according to 21 follows.
  • 23 veranschaulicht schematisch einen Spiegel, der von dem Prozessschritt gemäß 22 erhalten wird. 23 schematically illustrates a mirror derived from the process step according to 22 is obtained.
  • 24 veranschaulicht schematisch einen Prozessschritt zum Herstellen einer Spiegelrückseite gemäß verschiedenen Beispielen. 24 schematically illustrates a process step for producing a mirror back according to various examples.
  • 25 veranschaulicht schematisch einen Prozessschritt zum Herstellen der Spiegelrückseite, der dem Prozessschritt von 24 folgt. 25 schematically illustrates a process step for producing the mirror back, the process step of 24 follows.
  • 26 veranschaulicht schematisch einen Prozessschritt zum Herstellen der Spiegelrückseite, der dem Prozessschritt von 25 folgt. 26 schematically illustrates a process step for producing the mirror back, the process step of 25 follows.
  • 27 veranschaulicht schematisch einen Prozessschritt zum Herstellen der Spiegelrückseite, der dem Prozessschritt von 26 folgt. 27 schematically illustrates a process step for producing the mirror back, the process step of 26 follows.
  • 28 veranschaulicht schematisch einen Prozessschritt zum Herstellen der Spiegelrückseite, der dem Prozessschritt von 27 folgt. 28 schematically illustrates a process step for producing the mirror back, the process step of 27 follows.
  • 29 veranschaulicht schematisch einen Prozessschritt zum Herstellen einer Spiegelvorderseite gemäß verschiedenen Beispielen. 29 schematically illustrates a process step for producing a mirror front according to various examples.
  • 30 veranschaulicht schematisch einen Prozessschritt zum Herstellen der Spiegelvorderseite, der dem Prozessschritt von 29 folgt. 30 schematically illustrates a process step for producing the mirror front, the process step of 29 follows.
  • 31 veranschaulicht schematisch einen Prozessschritt zum Herstellen eines Spiegels, der den Prozessschritten von 28 und 30 folgt. 31 schematically illustrates a process step for producing a mirror, the process steps of 28 and 30 follows.
  • 32 veranschaulicht schematisch einen Prozessschritt zum Herstellen des Spiegels, der dem Prozessschritt von 31 folgt. 32 schematically illustrates a process step for producing the mirror, the process step of 31 follows.
  • 33 veranschaulicht schematisch einen Prozessschritt zum Herstellen des Spiegels, der dem Prozessschritt von 32 folgt. 33 schematically illustrates a process step for producing the mirror, the process step of 32 follows.
  • 34 veranschaulicht schematisch einen Prozessschritt zum Herstellen des Spiegels, der dem Prozessschritt von 33 folgt. 34 schematically illustrates a process step for producing the mirror, the process step of 33 follows.
  • 35 veranschaulicht schematisch einen Prozessschritt zum Herstellen des Spiegels, der dem Prozessschritt von 34 folgt. 35 schematically illustrates a process step for producing the mirror, the process step of 34 follows.
  • 36 veranschaulicht schematisch einen Prozessschritt zum Herstellen des Spiegels, der dem Prozessschritt von 35 folgt. 36 schematically illustrates a process step for producing the mirror, the process step of 35 follows.
  • 37 veranschaulicht schematisch einen Prozessschritt zum Herstellen des Spiegels, der dem Prozessschritt von 36 folgt. 37 schematically illustrates a process step for producing the mirror, the process step of 36 follows.
  • 38 veranschaulicht schematisch den Spiegel, der von dem Prozessschritt von 37 erhalten wird. 38 schematically illustrates the mirror derived from the process step of 37 is obtained.

AUSFÜHRLICHE BESCHREIBUNG VON AUSFÜHRUNGSFORMENDETAILED DESCRIPTION OF EMBODIMENTS

Im Folgenden werden Ausführungsformen der Erfindung ausführlich mit Bezugnahme auf die begleitenden Zeichnungen beschrieben. Es versteht sich, dass die folgende Beschreibung von Ausführungsformen nicht in einem einschränkenden Sinne zu nehmen ist. Der Umfang der Erfindung ist nicht bestimmt, durch die hier nachstehend beschriebenen Ausführungsformen oder durch die Zeichnungen eingeschränkt zu werden, die lediglich als veranschaulichend aufzufassen sind.Hereinafter, embodiments of the invention will be described in detail with reference to the accompanying drawings. It should be understood that the following description of embodiments is not to be taken in a limiting sense. The scope of the invention is not intended to be limited by the embodiments described hereinafter or by the drawings, which are to be considered as illustrative only.

Die Zeichnungen sind als schematische Darstellungen zu betrachten und in den Zeichnungen veranschaulichte Elemente sind nicht notwendigerweise maßstabsgetreu. Stattdessen werden die verschiedenen Elemente derart dargestellt, dass ihre Funktion und allgemeiner Zweck einem Fachmann ersichtlich werden. Jede Verbindung oder Koppelung zwischen Funktionsblöcken, Vorrichtungen, Komponenten oder anderen physikalischen oder funktionellen Einheiten, die in den Zeichnungen gezeigt oder hier beschrieben werden, können ebenfalls durch eine indirekte Verbindung oder Koppeln implementiert werden. Eine Kopplung zwischen Komponenten kann ebenfalls über eine drahtlose Verbindung aufgebaut werden. Funktionsblöcke können in Hardware, Firmware, Software oder einer Kombination davon implementiert werden.The drawings are to be considered as schematic representations and elements illustrated in the drawings are not necessarily to scale. Instead, the various elements are presented in such a way that their function and general purpose will become apparent to a person skilled in the art. Any connection or coupling between functional blocks, devices, components or other physical or functional units shown in the drawings or described herein may also be implemented by indirect connection or coupling. A coupling between components can also be established via a wireless connection. Function blocks can be implemented in hardware, firmware, software or a combination thereof.

Hier werden nachstehend Techniken mit Bezug auf Spiegel beschrieben. Die Spiegel können verwendet werden, um Licht, beispielsweise Laserlicht, abzulenken. Die Spiegel können beispielsweise durch eine elastische Halterung bewegt werden. Dadurch kann das Licht abgetastet werden. Folglich können sich die hier beschriebenen Techniken auf Abtastspiegel beziehen. Hereinafter, techniques related to mirrors will be described. The mirrors can be used to deflect light, such as laser light. The mirrors can be moved, for example, by an elastic holder. This allows the light to be scanned. Thus, the techniques described herein may refer to scanning mirrors.

Die hier beschriebenen Spiegel können in verschiedenen Verwendungsfällen Anwendung finden. Beispielfälle umfassen, sind jedoch nicht begrenzt auf: LIDAR mit lateraler Auflösung; Spektrometer; Projektoren; Endoskope; usw.The mirrors described herein may find application in a variety of applications. Example cases include, but are not limited to: LIDAR with lateral resolution; Spectrometer; projectors; endoscopes; etc.

Die hier beschriebenen Techniken können die Produktion von mesoskopischen Spiegeln erleichtern, d.h. von Spiegeln mit einem Durchmesser der jeweiligen reflektierenden Fläche, die an einem Übergangregime zwischen Mikrospiegeln (typischerweise mit Durchmessern im Submillimeter-Bereich) und makroskopischen Spiegeln (typischerweise mit Durchmessern der jeweiligen reflektierenden Schicht im Zentimeterregime, beispielsweise für Multi-Facetten-Polygonspiegel) gelegen ist.The techniques described herein may facilitate the production of mesoscopic mirrors, i. mirrors having a diameter of the respective reflective surface located at a transition regime between micromirrors (typically of sub-millimeter diameter diameter) and macroscopic mirrors (typically of the centimeter regime of each reflective layer, for example for multi-faceted polygon mirrors).

Die hier beschriebenen Techniken können die Produktion von großen Spiegeln erleichtern, die vergleichsweise leichtgewichtig sind. Im Einzelnen helfen die hier beschriebenen Techniken, Spiegel mit einer bahnartigen Rahmenstruktur von niedrigem Füllfaktor - folglich eine Struktursteifigkeit zu erzeugen -, die eine leichtgewichtige Struktur bereitstellt.The techniques described herein can facilitate the production of large mirrors that are relatively lightweight. Specifically, the techniques described herein help to provide mirrors with a web-like framing structure of low fill factor, thus creating structural rigidity, which provides a lightweight structure.

Derartige mesoskopische Spiegel können bestimmte Vorteile aufweisen: erstens können sie hinreichend leichtgewichtig gebaut werden- insbesondere im Vergleich mit einem makroskopischen Spiegel -, um unter Verwendung einer elastischen Spiegelhalterung resonant betätigt zu werden. Dies erleichtert resonantes Abtasten bei vergleichsweisen hohen Frequenzen, beispielsweise oberhalb 100 Hz bis zu kHz. Resonantes Abtasten durch elastische Verformung ist reibungslos und daher weisen jeweilige Abtastvorrichtungen typischerweise eine höhere mittlere Betriebsdauer zwischen Ausfällen (MTBF = mean time between failure) im Vergleich mit Abtastvorrichtungen unter Verwendung von Drehlagerungen usw. auf. Zweitens können mesoskopische Spiegel klein genug sein, um unter Verwendung von MEMS-Prozessen auf einem oder mehreren Wafern hergestellt zu werden. Beispielsweise können derartige mesoskopische Spiegel aus Silizium unter Verwendung von Standard-MEMS-Prozessen hergestellt werden. Im Einzelnen hilft dies im Vergleich mit makroskopischen Spiegeln eine automatisierte Produktion zu erleichtern und Produktionskosten zu verringern. Drittens können mesoskopische Spiegel vergleichsweise große Emitteraperturen und/oder Detektoraperturen der entsprechenden Optik unterstützen. Dies kann helfen, einen hohen Messsignalpegel beispielsweise durch Sammeln einer großen Anzahl von Photonen, die von einem Zielobjekt reflektiert werden, bei Anwendung in einem LIDAR-Verwendungsfall zu implementieren. Dies findet insbesondere im Vergleich mit Mikrospiegeln Anwendung.Such mesoscopic mirrors may have certain advantages: first, they may be made sufficiently lightweight, particularly in comparison with a macroscopic mirror, to be resonantly actuated using an elastic mirror mount. This facilitates resonant sampling at comparatively high frequencies, for example above 100 Hz up to kHz. Resonant strain detection by elastic deformation is smooth, and therefore, respective sensing devices typically have a higher mean time between failure (MTBF) as compared to sensing devices using pivot bearings, etc. Second, mesoscopic mirrors may be small enough to be fabricated using MEMS processes on one or more wafers. For example, such mesoscopic mirrors may be made of silicon using standard MEMS processes. Specifically, this helps to facilitate automated production and reduce production costs compared to macroscopic mirrors. Third, mesoscopic mirrors can support comparatively large emitter apertures and / or detector apertures of the corresponding optics. This may help to implement a high measurement signal level, for example, by collecting a large number of photons reflected from a target object when used in a LIDAR use case. This applies in particular in comparison with micromirrors application.

Gemäß einigen Beispielen werden Techniken zum Herstellen von Spiegeln, genauer gesagt mesoskopischen Spiegeln, beschrieben. Gemäß Beispielen wird ein zweistufiger Produktionsprozess bereitgestellt. Hier können eine Spiegelvorderseite und eine Spiegelrückseite einzeln, z.B. auf unterschiedlichen Wafern, erzeugt werden. Dann werden die Spiegelvorderseite und die Spiegelrückseite gekoppelt, um den Spiegel zu erhalten. Ein derartiger zweistufiger Prozess kann bestimmte Vorteile gegenüber einem einstufigen Prozess bereitstellen, bei dem die Spiegelvorderseite und die Spiegelrückseite insitu erzeugt werden. Beispielsweise kann in einem derartigen zweistufigen Produktionsprozess die Menge an Material, die entfernt werden muss, durch Trockenätzen, wie beispielsweise DRIE, verringert werden. Dies kann durch Kombinieren des Trockenätzens mit Freisetzungsprozessen und nicht durch Ätzen des Materials erreicht werden. Häufig bieten insitu Produktionsprozesse nicht die Möglichkeit, Freisetzungsprozesse zu implementieren.According to some examples, techniques for producing mirrors, more specifically mesoscopic mirrors, are described. According to examples, a two-stage production process is provided. Here, a mirror front and a mirror back can be individually, e.g. on different wafers. Then, the mirror front side and the mirror back side are coupled to obtain the mirror. Such a two-step process can provide certain advantages over a one-step process in which the mirror front and the mirror back are created in-situ. For example, in such a two-stage production process, the amount of material that needs to be removed can be reduced by dry etching, such as DRIE. This can be achieved by combining dry etching with release processes rather than by etching the material. Frequently, insitu production processes do not offer the possibility to implement release processes.

Im Einzelnen hilft der zweistufige Produktionsprozess, den Spiegel mit einer Spiegelrückseite mit einer Rahmenstruktur zu versehen. Die Rahmenstruktur umfasst Rippen - manchmal auch als Stege bezeichnet - und entsprechende Hohlräume zwischen den Rippen. Im Einzelnen kann die Rahmenstruktur bei einem vergleichsweise niedrigen Füllfaktor erzeugt werden: der Füllfaktor definiert den Bereich der Rippen mit Bezug auf den Gesamtbereich, der durch die Rahmenstruktur abgedeckt wird. Bei niedrigen Füllfaktoren belegen die Rippen lediglich einen kleinen Bereich der Rahmenstruktur. In particular, the two-stage production process helps to provide the mirror with a mirror back with a frame structure. The frame structure includes ribs - sometimes referred to as webs - and corresponding cavities between the ribs. Specifically, the frame structure can be created at a comparatively low fill factor: the fill factor defines the area of the ribs with respect to the total area covered by the frame structure. At low fill factors, the ribs occupy only a small area of the frame structure.

Der durch die hier beschriebenen Techniken erzeugte Spiegel kann einen Füllfaktor der Rahmenstruktur aufweisen, der nicht größer als 20%, optional nicht größer als 5%, ferner optional nicht größer als 2% ist. Dies kann bei einem Durchmesser der reflektierenden Schicht des Spiegels - die typischerweise vollständig oder vorwiegend durch die Rahmenstruktur abgedeckt wird - nicht kleiner als 4 mm, optional nicht kleiner als 6 mm, ferner optional nicht kleiner als 8 mm sein. Dies trifft Vorsorge für eine große Apertur der entsprechenden Optik.The mirror produced by the techniques described herein may have a frame structure fill factor not greater than 20%, optionally not greater than 5%, and optionally not greater than 2%. This may not be less than 4 mm, optionally not less than 6 mm, further optionally not less than 8 mm, for a mirror reflecting layer diameter which is typically completely or predominantly covered by the frame structure. This makes provision for a large aperture of the corresponding optics.

Die Rahmenstruktur, die durch die Spiegelrückfläche bereitgestellt wird, trägt die Spiegelvorderfläche und das reflektierende Material. Dadurch kann der Spiegel schnell beschleunigt werden, wie es typischerweise der Fall für resonantes Abtasten ist, wobei der Spiegel zwischen Anschlagpositionen pendelt und daher eine periodische Beschleunigung aufweist. Im Einzelnen kann die Rahmenstruktur der Spiegelvorderseite Steifigkeit verleihen, um dadurch die Verformung der reflektierenden Schicht der Spiegelvorderseite beim Beschleunigen zu verringern. Dies verleiht der entsprechenden Optik Stabilität; unerwünschte Ablenkung oder Divergenz des Lichtes wird vermieden. Zur gleichen Zeit ist, wo der Füllfaktor der Rahmenstruktur begrenzt ist, das Massenträgheitsmoment der Spiegelrückseite begrenzt. Dies erleichtert, dass die hohe Resonanz der Eigenmoden des Spiegels resonant angetrieben wird. Abhängig von den zum Abtasten verwendeten Eigenmoden können unterschiedliche Bewegungsmuster des Spiegels implementiert werden. Folglich können die hier beschriebenen Techniken eindimensionales oder zweidimensionales Abtasten erleichtern. Das Abtasten kann sich auf die wiederholte Übertragung von Licht bei unterschiedlichen Emitterwinkeln beziehen.The frame structure provided by the mirror back surface supports the mirror front surface and the reflective material. This allows the mirror to be accelerated rapidly, as is typically the case for resonant scanning, with the mirror in between Stop positions oscillates and therefore has a periodic acceleration. More specifically, the frame structure can impart rigidity to the mirror front surface, thereby reducing the deformation of the mirror front reflective layer during acceleration. This gives the corresponding optics stability; unwanted deflection or divergence of the light is avoided. At the same time, where the fill factor of the frame structure is limited, the mass moment of inertia of the mirror backside is limited. This facilitates that the high resonance of the eigenmodes of the mirror is resonantly driven. Depending on the eigenmodes used for scanning, different motion patterns of the mirror can be implemented. Thus, the techniques described herein may facilitate one-dimensional or two-dimensional scanning. The scanning may refer to the repeated transmission of light at different emitter angles.

In verschiedenen Beispielen kann Laserlicht abgetastet werden. Beispielsweise kann kohärentes oder inkohärentes Laserlicht verwendet werden. Polarisiertes oder unpolarisiertes Laserlicht kann verwendet werden. Gepulstes Laserlicht kann verwendet werden. Laserimpulse mit einer vollen Breite bei halbem Maximum im Bereich von Femtosekunden oder Pikosekunden oder Nanosekunden können verwendet werden. Beispielsweise kann eine Impulsdauer im Bereich von 0,5 - 3 Nanosekunden verwendet werden. Das Laserlicht kann eine Wellenlänge im Bereich von 700 - 1800 Nanometer, beispielsweise 1550 Nanometer oder 950 Nanometer aufweisen. Der Einfachheit halber wird hier nachstehend primär auf Laserlicht Bezug genommen; die hier beschriebenen verschiedenen Techniken könnten ebenfalls für Licht verwendet werden, das von anderen Lichtquellen, z.B. Breitbandlichtquellen oder RGB-Lichtquellen, herrührt. RGB-Lichtquellen können sich auf Lichtquellen im sichtbaren Spektrum beziehen, wobei der Farbraum durch Überlagerung von unterschiedlichen Farben - z.B. rot, grün oder blau - abgedeckt wird.In various examples, laser light can be scanned. For example, coherent or incoherent laser light can be used. Polarized or unpolarized laser light can be used. Pulsed laser light can be used. Laser pulses of full width at half maximum in the range of femtoseconds or picoseconds or nanoseconds can be used. For example, a pulse duration in the range of 0.5-3 nanoseconds can be used. The laser light can have a wavelength in the range of 700 - 1800 Nanometers, for example 1550 Nanometer or 950 Have nanometer. For the sake of simplicity, laser light will be primarily referred to hereinafter; the various techniques described herein could also be used for light derived from other light sources, eg, broadband light sources or RGB light sources. RGB light sources can refer to light sources in the visible spectrum, whereby the color space is covered by superposition of different colors - eg red, green or blue.

In den hier beschriebenen verschiedenen Beispielen kann Licht unter Verwendung eines Spiegels abgetastet werden, der auf einer elastischen Halterung angebracht ist. Die elastische Halterung kann ein oder mehrere Federelemente umfassen. Das eine oder mehrere Federelemente können eine Form-induzierte und/oder Material-induzierte Elastizität bereitstellen und können daher nicht biegesteif implementiert werden. Daher können das eine oder mehrere Federelemente ebenfalls als Trägerelemente bezeichnet werden. Der Spiegel kann mit einem beweglichen Ende von mindestens einem des einen oder mehrerer Federelemente gekoppelt sein. Torsion und/oder transversale Bewegung des einen oder mehrerer Federelemente kann Drehung und/oder Neigung, d.h. im Allgemeinen Ablenkung, des Spiegels auslösen. Dadurch können unterschiedliche Abtastwinkel implementiert werden. Resonanzbewegung, d.h. oszillierende Bewegung, des einen oder mehrerer Federelemente ist möglich.In the various examples described herein, light may be scanned using a mirror mounted on an elastic mount. The elastic holder may comprise one or more spring elements. The one or more spring elements can provide shape-induced and / or material-induced elasticity and therefore can not be rigidly implemented. Therefore, the one or more spring elements may also be referred to as support elements. The mirror may be coupled to a moveable end of at least one of the one or more spring members. Twist and / or transverse movement of the one or more spring elements may include rotation and / or inclination, i. generally distraction, trigger the mirror. As a result, different scanning angles can be implemented. Resonant motion, i. oscillating movement of the one or more spring elements is possible.

Es ist möglich, dass das eine oder mehrere Federelemente eine Länge im Bereich von 2 Millimeter - 8 Millimeter, z.B. im Bereich von 3 Millimeter - 6 Millimeter aufweisen. Das eine oder mehrere Federelemente können von linearer Form in einer Ruheposition sein. Das eine oder mehrere Federelemente können einen Durchmesser von z.B. 50 - 250 Mikrometer aufweisen. Das eine oder mehrere Federelemente können aus Silizium hergestellt werden.It is possible that the one or more spring elements have a length in the range of 2 millimeters - 8 millimeters, e.g. ranging from 3 millimeters to 6 millimeters. The one or more spring elements may be of linear shape in a rest position. The one or more spring elements may have a diameter of e.g. 50-250 microns. The one or more spring elements may be made of silicon.

In verschiedenen Beispielen würde es möglich sein, dass das eine oder mehrere Federelemente durch MEMS-Techniken erzeugt werden. Folglich kann Lithographie und/oder Ätzen auf einen Wafer angewendet werden, um das eine oder mehrere Federelemente herzustellen. Beispielsweise kann ein DRIE-Prozess verwendet werden. Silizium-auf-Isolator-Wafers (SOI-Wafers) können verwendet werden, um Stopps zum Ätzen zu definieren.In various examples, it would be possible for the one or more spring elements to be produced by MEMS techniques. Thus, lithography and / or etching may be applied to a wafer to produce the one or more spring elements. For example, a DRIE process may be used. Silicon on insulator wafers (SOI wafers) can be used to define stops for etching.

In den hier beschriebenen verschiedenen Beispielen können sich das eine oder mehrere Federelemente von der Spiegelrückseite erstrecken. Folglich können das eine oder mehrere Federelemente einen signifikanten Winkel mit einer reflektierenden Schicht auf der Spiegelvorderseite, z.B. im Bereich von 30° - 90°, optional 45°, einschließen. In anderen Beispielen würde es ebenfalls möglich sein, dass das eine oder mehrere Federelemente in der Ebene angeordnet sind, die durch die reflektierende Schicht von der Spiegelvorderseite definiert wird.In the various examples described herein, the one or more spring elements may extend from the mirror back. Thus, the one or more spring elements may have a significant angle with a reflecting layer on the front of the mirror, e.g. in the range of 30 ° - 90 °, optionally 45 °. In other examples, it would also be possible for the one or more spring elements to be disposed in the plane defined by the reflective layer from the front of the mirror.

Bei Anwendung in Verbindung mit einer LIDAR-Technik kann eine jeweilige Abtastvorrichtung einen Spiegel umfassen, der sowohl zum Emittieren von Laserlicht als auch zum Erfassen von reflektiertem Laserlicht verwendet werden kann. Folglich kann die Detektorapertur durch den Spiegel definiert werden. Derartige Techniken werden manchmal als räumliches Filtern bezeichnet: mittels räumlichen Filterns kann ein besonders großes Signal-Rausch-Verhältnis erreicht werden, weil Licht für die bestimmte Richtung selektiv erfasst wird, in die Laserlicht emittiert wurde. Dadurch wird Hintergrundrauschen von anderen Bereichen unterdrückt. Mittels des großen Signal-Rausch-Verhältnisses werden Fernbereichsmessungen möglich.When used in conjunction with a LIDAR technique, a particular scanning device may include a mirror that can be used both to emit laser light and to detect reflected laser light. Consequently, the detector aperture can be defined by the mirror. Such techniques are sometimes referred to as spatial filtering: by means of spatial filtering, a particularly large signal-to-noise ratio can be achieved because light is selectively detected for the particular direction in which laser light was emitted. This suppresses background noise from other areas. By means of the large signal-to-noise ratio, long range measurements become possible.

1 veranschaulicht Aspekte mit Bezug auf eine Abtasteinheit 100. Die Abtasteinheit 100 umfasst eine Basis 141. Die Basis 141 definiert ein Bezugskoordinatensystem. Beispielsweise könnten die Basis 141 und eine Lichtquelle für Licht 180 (in 1 nicht veranschaulicht) an festen Positionen im Bezugskoordinatensystem angeordnet werden. Die Abtasteinheit 100 umfasst ebenfalls ein Federelement 111. Das Federelement 111 kann für resonantes Abtasten von einem Spiegel 150 verformt werden. Das Federelement 111 stellt Elastizität bereit. Das Federelement 111 ist mit dem Spiegel 150 über eine Schnittstelle 142 gekoppelt. Die Basis 141, das Federelement 111 und die Schnittstelle 142 implementieren eine elastische Halterung 119. Durch Verformung des Federelements 111 wird der Spiegel 150 bewegt, um dadurch unterschiedlichen Ablenkwinkel 181 des Lichts 180 zu implementieren. 1 illustrates aspects related to a scanner 100 , The scanning unit 100 includes a base 141 , The base 141 defines a reference coordinate system. For example, the base could 141 and a light source for light 180 (in 1 not illustrated) at fixed positions in the Reference coordinate system can be arranged. The scanning unit 100 also includes a spring element 111 , The spring element 111 can be used for resonant scanning of a mirror 150 be deformed. The spring element 111 provides elasticity. The spring element 111 is with the mirror 150 via an interface 142 coupled. The base 141 , the spring element 111 and the interface 142 implement an elastic mount 119 , By deformation of the spring element 111 becomes the mirror 150 moved to thereby different deflection angle 181 of the light 180 to implement.

Beispielsweise kann die elastische Halterung 119 konfiguriert sein, um eine Drehung des Spiegels 150 gegenüber der Basis 141 bzw. dem Bezugskoordinatensystem und z.B. entlang einer Mittelachse der elastischen Halterung 119 zu erleichtern. Dies wird durch Torsion des Federelements 111 erreicht. Beispielsweise kann der Torsionsmodus der elastischen Halterung 119 resonant angeregt werden. Alternativ oder zusätzlich könnte die Torsion schrittweise, d.h. nicht resonant, implementiert werden. Mittels der Torsion wird das Licht 180 um einen sich ändernden Winkel 181 abgelenkt.For example, the elastic holder 119 be configured to turn the mirror 150 opposite the base 141 or the reference coordinate system and, for example, along a central axis of the elastic holder 119 to facilitate. This is done by torsion of the spring element 111 reached. For example, the torsional mode of the elastic holder 119 resonantly excited. Alternatively or additionally, the torsion could be implemented stepwise, ie non-resonant. The torsion turns the light 180 around a changing angle 181 distracted.

1 veranschaulicht ebenfalls einen Aktuator 172. Der Aktuator 172 ist konfiguriert, um die Bewegung des Federelements 111 anzuregen. Beispielsweise kann ein Eigenmodus der elastischen Halterung 119 angeregt werden. Als allgemeine Regel können unterschiedliche Arten und Typen von Aktuatoren 172 in den verschiedenen hier beschriebenen Techniken verwendet werden, um beispielsweise die Torsion des Federelements 111 zu betätigen. Beispielsweise können piezoelektrische Aktuatoren verwendet werden. Beispielsweise können piezoelektrische Biegeaktuatoren verwendet werden. Alternativ oder zusätzlich würde es möglich sein, einen magnetischen Aktuator zu verwenden, der konfiguriert ist, ein Magnetfeld im Bereich eines Magneten zu erzeugen, der an der elastischen Halterung befestigt ist. Eine AC-Komponente des Magnetfelds, die auf die Resonanzkurve des Torsionsmodus der elastischen Halterung 119 abgestimmt ist, erleichtert resonantes Abtasten von Licht bei hohen Wiederholungsraten, z.B. im Bereich von 100 Hz - 2 kHz. 1 also illustrates an actuator 172 , The actuator 172 is configured to control the movement of the spring element 111 to stimulate. For example, a self-mode of the elastic holder 119 be stimulated. As a general rule, different types and types of actuators 172 be used in the various techniques described herein, for example, the torsion of the spring element 111 to press. For example, piezoelectric actuators can be used. For example, piezoelectric bending actuators can be used. Alternatively or additionally, it would be possible to use a magnetic actuator that is configured to generate a magnetic field in the region of a magnet that is attached to the elastic mount. An AC component of the magnetic field acting on the resonance curve of the torsion mode of the elastic mount 119 is tuned, facilitates resonant scanning of light at high repetition rates, eg in the range of 100 Hz - 2 kHz.

Eine Steuereinheit 171 ist konfiguriert, um den Betrieb des Aktuators 172 zu steuern. Eine geschlossene Regelkreissteuerung der Bewegung des Spiegels 150 kann mittels der Steuereinheit 171 implementiert werden.A control unit 171 is configured to control the operation of the actuator 172 to control. A closed-loop control of the movement of the mirror 150 can by means of the control unit 171 be implemented.

2 veranschaulicht Aspekte mit Bezug auf die Abtasteinheit 100. 2 ist eine perspektivische Ansicht einer beispielhaften strukturellen Implementierung der Abtasteinheit 100. Die Abtasteinheit 100 kann aus Silizium, z.B. unter Verwendung von MEMS-Techniken, erzeugt werden. 2 illustrates aspects related to the scanner 100 , 2 FIG. 12 is a perspective view of an exemplary structural implementation of the scanner unit. FIG 100 , The scanning unit 100 can be made of silicon, eg using MEMS techniques.

In dem Beispiel von 2 umfasst die Abtasteinheit 100 einen Spiegel 150. Der Spiegel 150 umfasst eine reflektierende Schicht auf einer Spiegelvorderseite (die Betrachtung der Spiegelvorderseite und der reflektierenden Schicht wird in der Perspektive von 2 blockiert). Der Spiegel 150 umfasst ebenfalls eine Spiegelrückseite 152. Die Spiegelrückseite 152 ist gegenüber der Spiegelvorderseite angeordnet. Wie in 2 veranschaulicht, umfasst die Spiegelrückseite eine Rahmenstruktur, die Rippen und Hohlräume umfasst. Dadurch kann das Massenträgheitsmoment des Spiegels 150 durch geeignete geometrische Implementierung der Rahmenstruktur maßgeschneidert werden. Dadurch kann die Eigenfrequenz des Torsionseigenmodus der elastischen Halterung 119 abgestimmt werden. Die Rahmenstruktur stellt ebenfalls eine Steifigkeit gegen eine Verformung der reflektierenden Schicht der Spiegelvorderseite aufgrund der Beschleunigung während des resonanten Abtastens bereit.In the example of 2 includes the scanning unit 100 a mirror 150 , The mirror 150 includes a reflective layer on a mirror front side (the viewing of the mirror front and the reflective layer is in the perspective of 2 blocked). The mirror 150 also includes a mirror back 152 , The mirror back 152 is located opposite the mirror front. As in 2 illustrated, the mirror back comprises a frame structure comprising ribs and cavities. As a result, the moment of inertia of the mirror 150 be tailored by suitable geometric implementation of the frame structure. Thereby, the natural frequency of the torsion own mode of the elastic holder 119 be matched. The frame structure also provides rigidity against deformation of the mirror-front reflective layer due to acceleration during resonant scanning.

In dem Beispiel von 2 erstreckt sich eine Gesamtzahl von vier Federelementen 111-1, 111-2, 111-3, 111-4 zwischen dem Schnittstellenelement 142 und der Basis 141. Im Beispiel von 2 wird eine Zwischenkopplung 155 bereitgestellt, die jedoch optional ist. Die Federelemente 111-1, 111-2, 111-3, 111-4 erstrecken sich von der Spiegelrückseite 152 weg. Die Schnittstelle 142 umfasst einen Endanschlag 153, um eine übermäßige Ablenkung des Spiegels 140 durch Ineingriffnahme mit einem entsprechenden Endanschlag (in 2 nicht gezeigt) zu vermeiden.In the example of 2 extends a total of four spring elements 111 - 1 . 111 - 2 . 111 - 3 . 111 - 4 between the interface element 142 and the base 141 , In the example of 2 becomes an intermediate coupling 155 provided, which is optional. The spring elements 111 - 1 . 111 - 2 . 111 - 3 . 111 - 4 extend from the mirror back 152 path. the interface 142 includes an end stop 153 to avoid excessive distraction of the mirror 140 by engaging with a corresponding end stop (in 2 not shown).

Die Basis 141, das Schnittstellenelement 142 und die Federelemente 111-1, 111-2, 111-3, 111-4 können einstückig ausgebildet werden. Sie können von einem einzigen Wafer erzeugt werden.The base 141 , the interface element 142 and the spring elements 111 - 1 . 111 - 2 . 111 - 3 . 111 - 4 can be formed in one piece. They can be produced by a single wafer.

2 veranschaulicht ebenfalls eine Flächennormale 151A der reflektierenden Schicht des Spiegels 150. Von 2 ist ersichtlich, dass die Flächennormale 151A einen Winkel von ungefähr 45° mit einer Mittelachse 119A der elastischen Halterung 119 umschließt, die durch die Federelemente 111-1, 111-2, 111-3, 111-4 gebildet wird. Dadurch wird eine Periskop-artige Abtastung von Licht 180 durch Torsion der elastischen Halterung 190 erleichtert, wenn Licht auf die reflektierende Schicht parallel zu der Mittelachse 119A trifft. 2 also illustrates a surface normal 151A the reflective layer of the mirror 150 , From 2 it can be seen that the surface normal 151A an angle of about 45 ° with a central axis 119A the elastic holder 119 encloses, by the spring elements 111 - 1 . 111 - 2 . 111 - 3 . 111 - 4 is formed. This will produce a periscope-like scan of light 180 by torsion of the elastic holder 190 relieved when light is on the reflective layer parallel to the central axis 119A meets.

Der Winkel zwischen der Flächennormale 151A und den Federelementen 111-1, 111-2, 111-3, 111-4 kann durch laterales Strukturieren des Wafers definiert werden, der die elastische Halterung 119 definiert.The angle between the surface normal 151A and the spring elements 111 - 1 . 111 - 2 . 111 - 3 . 111 - 4 can be defined by laterally structuring the wafer, which is the elastic mount 119 Are defined.

2 veranschaulicht ebenfalls Aspekte mit Bezug auf die Dynamik der elastischen Halterung 119. Im Einzelnen veranschaulicht 2 Aspekte mit Bezug auf die Torsion der elastischen Halterung 119. Im unteren Teil der 2 wird eine Schnittansicht entlang der Achse A - A veranschaulicht. Von der Schnittansicht ist ersichtlich, dass die Federelemente 111-1 - 111-4 mit einer vierfachen Drehsymmetrie mit Bezug auf die Mittelachse 119A angeordnet sind, d.h. insbesondere an den Rändern eines fiktiven Quadrats, das in der Zeichnungsebene der Schnittansicht angeordnet ist. Eine derartige Anordnung mildert nichtlineare Wirkungen. Eine Torsion 501 wird in der Schnittansicht veranschaulicht. Hier veranschaulichen die durchgezogenen Linien die Ruheposition der Federelemente 111-1 - 111-4 und die gestrichelten Linien veranschaulichen die betätigte Position der Federelemente 111-1 - 111-4, die durch einen Torsionswinkel 502 gekennzeichnet wird. 2 also illustrates aspects related to the dynamics of the elastic mount 119 , Illustrated in detail 2 Aspects with Regarding the torsion of the elastic holder 119 , In the lower part of the 2 A sectional view along the axis A - A is illustrated. From the sectional view it can be seen that the spring elements 111 - 1 - 111 - 4 with a fourfold rotational symmetry with respect to the central axis 119A are arranged, ie in particular at the edges of a notional square, which is arranged in the plane of the sectional view. Such an arrangement mitigates nonlinear effects. A twist 501 is illustrated in the sectional view. Here, the solid lines illustrate the rest position of the spring elements 111 - 1 - 111 - 4 and the dashed lines illustrate the actuated position of the spring elements 111 - 1 - 111 - 4 passing through a torsion angle 502 is marked.

Hier kann, wenn Torsion auf die elastische Halterung 119 angewendet wird, der Torsionswinkel 502 der Federelemente 111-1 - 111-4 ungefähr gleich dem Abtastwinkel sein, um den der Ablenkwinkel 181 gegenüber der Ruheposition geändert wird.Here, if torsion on the elastic mount 119 is applied, the torsion angle 502 the spring elements 111 - 1 - 111 - 4 be approximately equal to the scan angle to the deflection angle 181 changed to the rest position.

Von 2 ist ersichtlich, dass die Federelemente 111-1, 111-2 in einer Ebene 988 in die Ruheposition angeordnet sind. Die Federelemente 111-3, 111-4 sind in einer fernen Ebene 989 angeordnet.From 2 it can be seen that the spring elements 111 - 1 . 111 - 2 in a plane 988 are arranged in the rest position. The spring elements 111 - 3 . 111 - 4 are in a distant plane 989 arranged.

Die Gesamtlänge 119B der elastischen Halterung 119 kann im Bereich von 2 Millimeter - 10 Millimeter, d.h. ungefähr gleich dem Durchmesser des Spiegels 150 sein.The total length 119B the elastic holder 119 can be in the range of 2 millimeters - 10 millimeters, ie approximately equal to the diameter of the mirror 150 his.

3 - 4 sind weitere prospektive Ansichten der Abtasteinheit 100 gemäß dem Beispiel von 2. 3 - 4 are further prospective views of the scanning unit 100 according to the example of 2 ,

3 veranschaulicht die Spiegelvorderseite 151 mit einer darauf abgelagerten reflektierenden Schicht 151A. 3 illustrates the mirror front 151 with a reflective layer deposited thereon 151A ,

Der Außenumfang 151B der reflektierenden Schicht 151A wird in 4 veranschaulicht.The outer circumference 151B the reflective layer 151A is in 4 illustrated.

4 veranschaulicht Aspekte mit Bezug auf die Rahmenstruktur 157 der Spiegelrückseite 152. Wie in 4, veranschaulicht, umfasst die Rahmenstruktur 157 Rippen 158 und Hohlräume 159, die zwischen angrenzenden Rippen 158 ausgebildet sind. 4 illustrates aspects related to the frame structure 157 the mirror back 152 , As in 4 , The frame structure includes 157 ribs 158 and cavities 159 between adjacent ribs 158 are formed.

Wie in 4 veranschaulicht, implementiert die Rahmenstruktur 157 eine bahnartige Struktur. Die Rahmenstruktur 147 deckt im Beispiel von 4 im Wesentlichen den gesamten Bereich der Spiegelvorderseite 151 ab.As in 4 illustrates implements the frame structure 157 a web-like structure. The frame structure 147 covers in the example of 4 essentially the entire area of the mirror front 151 from.

Die in 4 veranschaulichte spezifische Ausgestaltung der Rahmenstruktur 157 ist lediglich ein Beispiel. Als eine allgemeine Regel ist es jedoch möglich, dass die Rahmenstruktur 157 gemäß dem Beispiel der Ausgestaltung von 4 sowohl radiale Rippen 158, die sich von einer Mitte der Rahmenstruktur an der Spiegelrückseite 152 weg erstrecken, sowie auch umfängliche Rippen 158, die sich um die Mitte der Rahmenstruktur 157 und der Spiegelrückseite 152 bei einem festen Radius erstrecken, umfasst. Die elastische Halterung 119 ist an dem Spiegel 150 in der Mitte der Spiegelrückseite 152 befestigt.In the 4 illustrated specific embodiment of the frame structure 157 is just an example. As a general rule, however, it is possible for the frame structure 157 according to the example of the embodiment of 4 both radial ribs 158 extending from a center of the frame structure at the back of the mirror 152 away, as well as circumferential ribs 158 , which are around the middle of the frame structure 157 and the mirror back 152 extend at a fixed radius includes. The elastic holder 119 is at the mirror 150 in the middle of the mirror back 152 attached.

Des Weiteren erstrecken sich von den mehreren Rippen 158 der Rahmenstruktur 157 die Rippen 151-1, 158-2, 158-3, 158-4 über den Außenumfang 151B der reflektierenden Schicht 151A der Spiegelvorderseite 151 hinaus. Dies weist bestimmte Vorteile in Verbindung mit dem Verfahren zur Produktion des Spiegels 150 auf. Im Einzelnen ist es durch diese verlängerten Rippen 151-1, 158-2, 158-3 möglich, den Spiegel 150 zweckmäßigerweise von dem umgebenden Wafermaterial in einer MEMS-Technik zur Produktion zu isolieren. Diese und weitere Techniken werden in Verbindung mit den folgenden FIGUREN erläutert.Furthermore, they extend from the multiple ribs 158 the frame structure 157 Ribs 151 - 1 . 158 - 2 . 158 - 3 . 158 - 4 over the outer circumference 151B the reflective layer 151A the mirror front 151 out. This has certain advantages in connection with the method for the production of the mirror 150 on. Specifically, it is through these extended ribs 151 - 1 . 158 - 2 . 158 - 3 possible, the mirror 150 expediently to isolate from the surrounding wafer material in a MEMS technique for production. These and other techniques will be discussed in conjunction with the following FIGURES.

5 ist ein Ablaufdiagramm eines Verfahrens zum Herstellen eines Spiegels gemäß verschiedenen Beispielen. Beispielsweise kann das Verfahren gemäß 5 verwendet werden, um den Spiegel 150 gemäß einer der 1 - 4 herzustellen. Das Verfahren gemäß 5 kann MEMS-Techniken benutzen. Der Spiegel kann aus einem oder mehreren Wafern, e.g. einem monokristallinen Wafer oder einem polykristallinen Wafer, angefertigt werden. Beispielgemäß kann mehr als ein einzelner Wafer in Verbindung mit dem Produktionsverfahren von 5 benutzt werden. Ein oder mehrere Wafers, die in Verbindung mit dem Produktionsverfahren von 5 benutzt werden, können aus Silizium, SOI oder einem anderen Halbleitermaterial, z.B. Galliumarsenid, usw. Glaswafers, z.B. einem Pyrex-Wafer, Borofloat-Wafer oder dergleichen, hergestellt werden. 5 FIG. 10 is a flowchart of a method of manufacturing a mirror according to various examples. FIG. For example, the method according to 5 used to the mirror 150 according to one of 1 - 4 manufacture. The method according to 5 can use MEMS techniques. The mirror may be made of one or more wafers, eg a monocrystalline wafer or a polycrystalline wafer. For example, more than a single wafer may be used in conjunction with the production process of 5 to be used. One or more wafers associated with the production process of 5 can be made of silicon, SOI or other semiconductor material, eg gallium arsenide, etc., glass wafers, eg a pyrex wafer, borofloate wafers or the like.

Typische Siliziumwafers, die in den Prozessen verwendet werden, können 500 µm dick und 200 mm oder 300 mm im lateralen Durchmesser sein.Typical silicon wafers used in the processes may be 500 μm thick and 200 mm or 300 mm in lateral diameter.

5 ist ein zweistufiges Produktionsverfahren. Im Einzelnen wird bei Block 1001 eine Spiegelvorderseite und bei Block 1002 eine Spiegelrückseite erzeugt. Beispielsweise kann die Spiegelvorderseite durch einen ersten Wafer und die Spiegelrückseite durch einen unterschiedlichen zweiten Wafer definiert werden. 5 is a two-stage production process. In detail, at block 1001 a mirror front and at block 1002 generates a mirror back. For example, the mirror front side can be defined by a first wafer and the mirror back side by a different second wafer.

Als Nächstes werden bei Block 1003 die Spiegelvorderseite und die Spiegelrückseite miteinander gekoppelt. Dadurch wird der Spiegel definiert.Next will be at block 1003 the mirror front and the mirror back coupled together. This defines the mirror.

Im Einzelnen kann die Spiegelvorderseite eine reflektierende Schicht aufweisen, um dadurch konfiguriert zu sein, Licht abzulenken. Andererseits kann die Spiegelrückseite eine Rahmenstruktur mit Rippen und Hohlräumen aufweisen, um dadurch der Spiegelvorderseite eine Strukturfestigkeit zu verleihen, was beim resonanten Abtasten des Spiegels in einer oszillierenden Bewegung hilfreich ist.Specifically, the mirror front side may have a reflective layer to thereby be configured to deflect light. on the other hand For example, the mirror back may have a frame structure with ribs and cavities to provide structural rigidity to the mirror front, which aids in resonantly scanning the mirror in an oscillatory motion.

In Block 1001 können eine oder mehrere der folgenden MEMS-Techniken benutzt werden: Ätzen; Trockenätzen; Ionenstrahlätzen; reaktives Ionenstrahlätzen; DRIE; Nassätzen; Lithographie; Photoresistbelichtung unter Verwendung einer Maske; Abheben; Materialablagerung; Schleifen; Anbringen an einem Glaswafer; und/oder Polieren.In block 1001 For example, one or more of the following MEMS techniques may be used: etching; dry; ion beam etching; reactive ion beam etching; DRIE; wet etching; Lithograph; Photoresist exposure using a mask; Take off; Material deposition; Grind; Attaching to a glass wafer; and / or polishing.

Gleichermaßen können eine oder mehrere derartige MEMS-Techniken im Block 1002 benutzt werden.Similarly, one or more such MEMS techniques may be used in the block 1002 to be used.

In Block 1001 und/oder Block 1002 können Freisetzungsprozesse benutzt werden. Freisetzungsprozesse helfen, die Menge an Material zu verringern, die durch Ätzen entfernt werden muss. Anstatt großflächiges Ätzen des Materials einzusetzen - was Wärme einführt und erhebliche Mengen an Ätzgas erfordert - werden Gräben geätzt. Gräben können tiefer als weiter sein. Beispielsweise kann eine Breite eines Grabens nicht größer als 20 % einer Tiefe des Grabens sein. Material innerhalb einer durch einen Graben gebildeten geschlossenen Schleife kann dann von dem umgebenden Wafermaterial freigesetzt werden, weil es nicht in irgendeiner Art und Weise durch das umgebende Wafermaterial verbunden ist. Dies entspricht dem, eine Freisetzung des Wafermaterials zu implementieren. Beispielsweise kann die durch einen Graben geschlossene Schleife mit einem Umfang eines Hohlraums der Rahmenstruktur ausgerichtet werden. Somit kann die Rahmenstruktur unter Verwendung des Freisetzungsprozesses erzeugt werden.In block 1001 and / or block 1002 Release processes can be used. Release processes help to reduce the amount of material that must be removed by etching. Instead of using large area etching of the material - which introduces heat and requires significant amounts of etching gas - trenches are etched. Trenches can be deeper than further. For example, a width of a trench may not be greater than 20% of a trench depth. Material within a closed loop formed by a trench may then be released from the surrounding wafer material because it is not connected in any way by the surrounding wafer material. This corresponds to implementing a release of the wafer material. For example, the loop closed by a trench can be aligned with a circumference of a cavity of the frame structure. Thus, the frame structure can be generated using the release process.

Als allgemeine Regel sind verschiedene Optionen verfügbar, um die Freisetzung zu implementieren. Dies beruht auf der hohen Flexibilität, die von dem zweistufigen Produktionsprozess erhalten wird. In einem Szenario wird die Freisetzung unter Verwendung von Aussparungen in einem Glaswafer implementiert, auf dem der Wafer, der die Spiegelvorderseite und/oder die Spiegelrückseite definiert, getragen wird. In einem anderen Szenario wird die Freisetzung unter Verwendung von Waferschleifen implementiert. Schleifen, wie hier verwendet, kann Dünnermachen und/oder Polieren umfassen.As a general rule, various options are available to implement the release. This is due to the high flexibility that is obtained from the two-stage production process. In one scenario, the release is implemented using recesses in a glass wafer on which the wafer defining the mirror front and / or mirror back is supported. In another scenario, the release is implemented using wafer loops. Grinding, as used herein, may involve thinning and / or polishing.

Typischerweise wird bei Block 1001 und/oder bei Block 1002 eine große Zahl von Spiegelvorderseiten und/oder Spiegelrückseiten durch Parallelverarbeitung eines Wafers hergestellt. Folglich kann eine Anordnung von Spiegelvorderseitenstrukturen bei dem ersten Wafer verarbeitet werden und/oder eine Anordnung von Spiegelrückseitenstrukturen kann bei dem zweiten Wafer verarbeitet werden. Durch Implementieren von großen Waferdurchmessern, wie beispielsweise 150 Millimeter oder 300 Millimeter, kann der Produktionsdurchsatz erhöht werden. Schließlich müssen einzelne Spiegelvorderseiten und einzelne Spiegelrückseiten von dem jeweiligen Wafer isoliert werden. Eine derartige Isolierung, die den Übergang von Waferebenen-Verarbeitung auf Vorrichtungsebenen-Verarbeitung markiert, kann im Allgemeinen vor oder nach der Kopplung der Spiegelvorderseite und der Spiegelrückseite bei Block 1003 stattfinden. Im Einzelnen würde es möglich sein, dass die Kopplung der Spiegelvorderseite und der Spiegelrückseite bei Block 1003 auf Waferebene implementiert wird. Dann kann das Isolieren der mehreren Spiegel nach dem Ausführen von Block 1003 stattfinden.Typically, at block 1001 and / or at block 1002 a large number of mirror front sides and / or mirror backs produced by parallel processing of a wafer. Thus, an array of mirror front side structures may be processed at the first wafer and / or an array of mirror back side structures may be processed at the second wafer. By implementing large wafer diameters, such as 150 millimeters or 300 millimeters, the production throughput can be increased. Finally, individual mirror front sides and individual mirror backs must be isolated from the respective wafer. Such isolation, which marks the transition from wafer level processing to device level processing, may generally occur before or after the mirror front and mirror backside coupling at block 1003 occur. Specifically, it would be possible for the coupling of the mirror front and mirror back to block 1003 implemented at the wafer level. Then, isolating the multiple mirrors after running block 1003 occur.

Als allgemeine Regel kann die Waferebenen-Verarbeitung im Allgemeinen jedem Prozessschritt entsprechen, der beginnend von einem Szenario ausgeführt wird, bei dem mehreren Vorrichtungen auf einem Wafer, z.B. in einer Anordnung, angeordnet sind. Es gibt eine Tendenz, dass die Waferebenen-Verarbeitung eine Parallelverarbeitung der mehreren Vorrichtungen ausnutzt. In unterschiedlicher Weise kann die Vorrichtungsebenen-Verarbeitung einer einzelnen Verarbeitung einzelner Vorrichtungen entsprechen, d.h. beginnend von einem Szenario, bei dem mehrere Vorrichtungen nicht auf einem Wafer angeordnet sind. Es gibt eine Tendenz, dass sich die Vorrichtungsebenen-Verarbeitung auf eine serielle Verarbeitung der mehreren Vorrichtungen stützt. Der Übergang von Waferebenen-Verarbeitung auf Vorrichtungsebenen-Verarbeitung wird typischerweise durch Isolieren einer Vorrichtung von umgebenden Wafermaterial markiert.As a general rule, wafer level processing may generally correspond to any process step performed starting from a scenario where multiple devices on a wafer, e.g. in an arrangement, are arranged. There is a tendency that the wafer-level processing utilizes parallel processing of the plurality of devices. In various ways, the device level processing may correspond to a single processing of individual devices, i. starting from a scenario where multiple devices are not located on a wafer. There is a tendency that device level processing relies on serial processing of the multiple devices. The transition from wafer level processing to device level processing is typically marked by isolating a device from surrounding wafer material.

Das Isolieren des Spiegels auf Waferebene nach der Kopplung der Spiegelvorderseite und der Spiegelrückseite weist den Vorteil auf, dass die Kopplung der Spiegelvorderseite und der Spiegelrückseite effizient für eine große Zahl von Spiegeln parallel implementiert werden kann, und durch Ausnutzen von Positionierungsmarken, die bei einem großen Abstand mit Bezug zueinander angeordnet sind, wird eine hochgenaue Ausrichtung der Spiegelvorderseite mit der Spiegelrückseite möglich.Isolating the wafer-level mirror after coupling the mirror front side and the mirror back side has the advantage that the coupling of the mirror front side and the mirror back side can be efficiently implemented in parallel for a large number of mirrors, and by utilizing positioning marks at a large distance With respect to each other, a highly accurate alignment of the mirror front with the mirror back side is possible.

Im Allgemeinen können unterschiedliche Techniken zur Kopplung bei Block 1003 benutzt werden. Beispiele umfassen die Verwendung eines Epoxidklebstoffs und Silicium-Silicium-Waferbonden oder allgemeines Waferbonden. Direktes Waferbonden kann verwendet werden.In general, different techniques for coupling at block 1003 to be used. Examples include the use of an epoxy adhesive and silicon-silicon wafer bonding or general wafer bonding. Direct wafer bonding can be used.

Beispielsweise kann direktes Waferbonden durch Verwenden eines oder mehrerer Glaswafers unterstützt werden, an denen die Wafers, welche die Spiegelvorderseite und/oder die Spiegelrückseite definieren, befestigt sind.For example, direct wafer bonding may be assisted by using one or more glass wafers on which the wafers which support the wafer Mirror front and / or define the mirror back, are attached.

Der Gebrauch von Epoxidklebstoff weist den Vorteil auf, nicht auf hohe Verarbeitungstemperaturen angewiesen zu sein, was im Allgemeinen hilft, die Integrität beispielsweise von einer reflektierenden Schicht des Spiegels zu schützen. Der Epoxidklebstoff kann auf bestimmte Kontaktoberflächen der Spiegelvorderseite und der Spiegelrückseite angeordnet werden.The use of epoxy adhesive has the advantage of not having to rely on high processing temperatures, which generally helps to protect the integrity of, for example, a reflective layer of the mirror. The epoxy adhesive may be placed on certain contact surfaces of the mirror front and back of the mirror.

Eine oder mehrere der Spiegelvorderseite und der Spiegelrückseite können auf einem Handhabungswafer zum Ausführen der Kopplung bei Block 1003 platziert werden.One or more of the mirror front and the mirror back may be on a handling wafer to perform the coupling at block 1003 to be placed.

Um die Abtasteinheit herzustellen, wird bei Block 1004 die Spiegelhalterung optional hergestellt. Die Spiegelhalterung kann wiederum unter Verwendung einer MEMS-Technik erzeugt werden, wie oben in Verbindung mit Blöcken 1001 und 1002 erläutert. Die Spiegelhalterung kann ein oder mehrere Federelemente umfassen, wie beispielsweise in Verbindung mit der Spiegelhalterung 119 und den vorangehenden FIGUREN erläutert. Die Spiegelhalterung kann von einem unterschiedlichen Wafer im Vergleich mit dem verwendeten einen oder mehreren Wafern erzeugt werden, um die Spiegelvorderseite und die Spiegelrückseite bei Blöcken 1001 und 1002 herzustellen.To make the scanning unit, at block 1004 the mirror mount optionally manufactured. Again, the mirror mount can be created using a MEMS technique, as above in connection with blocks 1001 and 1002 explained. The mirror mount may include one or more spring elements, such as in conjunction with the mirror mount 119 and the preceding figures. The mirror mount may be generated from a different wafer compared to the one or more wafers used, the mirror front and the mirror back at blocks 1001 and 1002 manufacture.

Schließlich werden bei einem optionalen Block 1005 der von dem Block 1003 erhaltene Spiegel und die von dem Block 1004 erhaltene Spiegelhalterung miteinander gekoppelt. Typischerweise kann die Kopplung des Spiegels und der Spiegelhalterung bei Block 1005 auf Vorrichtungsebene ausgeführt werden, wobei es jedoch ebenfalls möglich sein würde, Block 1005 auf Waferebene auszuführen. Beispielsweise würde es möglich sein, die elastische Spiegelhalterung mit dem Spiegel unter Verwendung eines oder mehrerer zusammenhängender Einwölbungsmerkmale zu koppeln, die auf den jeweiligen Kontaktoberflächen der elastischen Halterung und der Spiegelrückseite bereitgestellt werden. Beispielsweise kann ein Mittelteil der Spiegelrückseite eine oder mehrere Kerben oder Aussparungen umfassen, um entsprechende Vorsprünge der elastischen Halterung aufzunehmen.Finally, at an optional block 1005 the one from the block 1003 obtained mirrors and those from the block 1004 obtained mirror holder coupled together. Typically, the coupling of the mirror and mirror mount at block 1005 be executed at the device level, but it would also be possible to block 1005 at the wafer level. For example, it would be possible to couple the elastic mirror mount to the mirror using one or more contiguous concavity features provided on the respective contact surfaces of the resilient mount and mirror back. For example, a center portion of the mirror back may include one or more notches or recesses to receive corresponding protrusions of the resilient mount.

Mit Bezug auf die folgenden FIGUREN werden Beispielimplementierungen des Verfahrens zum Herstellen eines Spiegels beschrieben.With reference to the following FIGURES, example implementations of the method for producing a mirror will be described.

6 - 10 veranschaulichen Aspekte mit Bezug auf Block 1002, d.h. die Produktion der Spiegelrückseite 152. 6 - 10 illustrate aspects related to block 1002 ie the production of the mirror back 152 ,

6 veranschaulicht das Ätzen eines jeweiligen Wafers 701. Im Einzelnen veranschaulicht 6 das Ätzen (durch die Pfeile veranschaulicht) des Wafers 701. In 6 werden Gräben z.B. unter Verwendung von eines DRIE- oder eines anderen Trockenätzprozesses, geätzt. Die Gräben 785 sind mit den Konturen der Hohlräume 159 der Rahmenstruktur 157 ausgerichtet. Beispielsweise können die Gräben 785 die Konturen der Hohlräume 159 wie ein geschlossener Kreis umschließen. 6 illustrates the etching of a respective wafer 701 , Illustrated in detail 6 the etching (illustrated by the arrows) of the wafer 701 , In 6 For example, trenches are etched using a DRIE or other dry etch process. The trenches 785 are with the contours of the cavities 159 the frame structure 157 aligned. For example, the trenches 785 the contours of the cavities 159 like a closed circle enclose.

Im Einzelnen wird links in 6 links ein Schema der Rahmenstruktur 157 veranschaulicht. In 6 wird links Material 781 veranschaulicht, das verbleiben soll, um dadurch die Rippen der Rahmenstruktur 157 zu bilden; und veranschaulicht ferner Material 782, das zu entfernen ist. Wie rechts in 6 veranschaulicht, wird durch selektives Ätzen der Gräben entlang der Kontur der Hohlräume 159 nicht alles Material 782 entfernt. Material 782 verbleibt in den Hohlräumen, das nicht geätzt wird. Dieses verbleibende Material 782 wird später durch einen Freisetzungsprozess entfernt.In detail, left in 6 on the left a scheme of the frame structure 157 illustrated. In 6 will be left material 781 which is intended to remain to thereby form the ribs of the frame structure 157 to build; and further illustrates material 782 which is to be removed. As right in 6 is illustrated by selectively etching the trenches along the contour of the cavities 159 not all material 782 away. material 782 remains in the cavities, which is not etched. This remaining material 782 will be removed later by a release process.

Derartiges Ätzen der Gräben hilft, das Material zu beschränken, das durch Ätzen entfernt werden muss. Dies begrenzt seinerseits den erforderlichen Ätzgasdurchdatz, wobei eine inhomogene Verteilung des Ätzgases entlang unterschiedlicher lateraler Positionen des Wafers 701 vermieden wird. Ferner wird der Wärmeeintrag begrenzt. Dies findet speziell für großmaßstäbliche Rahmenstrukturen 157 mit einer niedrigen Füllungsanteil Anwendung, wie typischerweise für mesoskopische Spiegel angetroffen wird. Die Verarbeitungszeit wird ebenfalls verringert.Such etching of the trenches helps to confine the material that needs to be removed by etching. This, in turn, limits the required etching gas flow rate, with an inhomogeneous distribution of the etching gas along different lateral positions of the wafer 701 is avoided. Furthermore, the heat input is limited. This is especially true for large scale frame structures 157 with a low filling fraction application, as is typically encountered for mesoscopic mirrors. The processing time is also reduced.

In 6 wird der Einfachheit halber keine Lithographiemaske veranschaulicht, die zum lateralen Begrenzen des Ätzens auf die Gräben 785 verwendet wird.In 6 For the sake of simplicity, no lithographic mask is illustrated which is used to laterally limit the etching to the trenches 785 is used.

7 veranschaulicht einen nächsten Prozessschritt zum Herstellen der Spiegelrückseite 152, der dem Prozessschritt von 6 folgt. Im Einzelnen wird in 7 der Wafer 701 - nach Ätzen der Gräben 785 - an einem Handhabungswafer 709 befestigt. 7 illustrates a next process step for making the mirror backside 152 which is the process step of 6 follows. In detail, in 7 the wafer 701 - after etching the trenches 785 on a handling wafer 709 attached.

8 veranschaulicht den Prozessschritt, der dem Prozessschritt von 7 folgt. Hier wird die Seite 705 des Wafers 701 - gegenüber der Seite 704, von der das Ätzen bei dem Prozessschritt von 6 durchgeführt wird - einem Schleifen unterzogen. Hier werden große Bereiche des Materials durch Verwendung eines Schleiffluids und eines Schleifwerkzeugs entfernt. Dies kann ebenfalls als Waferdünnermachen bezeichnet werden. Beispielsweise kann die Dicke des durch Schleifen entfernten Materials 782 zwischen 20 Mikrometer und 100 Mikrometer sein. Auf unterschiedliche Weise kann die Tiefe der Gräben 785 z.B. größer als 200 Mikrometer oder ungefähr 400 Mikrometer sein. Weil die Gräben 785 eine lateral eingeschränkte Breite aufweisen, kann die gesamte Menge des durch Ätzen entfernten Materials 782 jedoch weniger als 10% der gesamten Menge des durch Schleifen entfernten Materials 782 sein. 8th illustrates the process step involved in the process step of 7 follows. Here is the page 705 of the wafer 701 - opposite the page 704 of which the etching in the process step of 6 is carried out - subjected to grinding. Here, large areas of the material are removed by using a grinding fluid and a grinding tool. This can also be called wafer thinning. For example, the thickness of the material removed by grinding 782 between 20 microns and 100 microns. In different ways, the depth of the trenches 785 eg greater than 200 Micrometer or about 400 microns. Because the trenches 785 have a laterally restricted width, the entire amount of material removed by etching 782 however, less than 10% of the total amount of material removed by grinding 782 his.

9 veranschaulicht einen Prozessschritt, der dem Prozessschritt von 8 folgt. In 9 wird der Wafer 701 von dem Handhabungswafer 709 getrennt. Dadurch wird verbleibendes Material 782 und die Waferseite 704 zwischen benachbarten Gräben 785 von dem umgebenden Material freigesetzt. Lediglich das Material 781 verbleibt, siehe 9 links. 9 illustrates a process step corresponding to the process step of 8th follows. In 9 becomes the wafer 701 from the handling wafer 709 separated. This will result in remaining material 782 and the wafer side 704 between adjacent trenches 785 released from the surrounding material. Only the material 781 remains, see 9 Left.

Wie in 9 veranschaulicht, wurde die Rahmenstruktur 157 noch nicht von dem umgebenden Wafermaterial isoliert, wobei stattdessen das Material 781 der Rahmenstruktur 157 in eine Rahmenstruktur des umgebenden Wafermaterials 781 über die verlängerten radialen Rippen 158-1 - 158-4 eingebettet wird. Folglich stellen diese Rippen 158-1 - 158-4 eine Fixierung des Materials 781 bereit, das die Rahmenstruktur 157 innerhalb des umgebenden Wafermaterials definiert.As in 9 illustrated, the frame structure 157 not yet isolated from the surrounding wafer material, using instead the material 781 the frame structure 157 in a frame structure of the surrounding wafer material 781 over the extended radial ribs 158 - 1 - 158 - 4 is embedded. Consequently, these ribs represent 158 - 1 - 158 - 4 a fixation of the material 781 ready, that the frame structure 157 defined within the surrounding wafer material.

10 veranschaulicht den entsprechenden Wafer 701 nachdem das Material 782 entfernt wurde und das Material 781, das die Rahmenstruktur 157 definiert, verbleibt. Die Isolierung der einzelnen Rahmenstrukturen 157 fand noch nicht statt. Die einzelnen Rahmenstrukturen 157 sind mit dem umgebenden Wafermaterial über die verlängerten Rippen 158-1 - 158-4 gekoppelt. 10 illustrates the corresponding wafer 701 after the material 782 was removed and the material 781 that the frame structure 157 defined remains. The isolation of the individual frame structures 157 did not take place yet. The individual frame structures 157 are with the surrounding wafer material over the extended ribs 158 - 1 - 158 - 4 coupled.

11 - 14 veranschaulichen Aspekte des Herstellens einer Spiegelrückseite z.B. gemäß Block 1002 von 5. Im Allgemeinen kann der Prozess des Herstellens der Spiegelvorderseite dem Prozess des Herstellens der Spiegelrückseite entsprechen. 11 - 14 illustrate aspects of making a mirror back eg as per block 1002 from 5 , In general, the process of producing the mirror front side may correspond to the process of manufacturing the mirror back.

11 veranschaulicht Ätzen - z.B. Trockenätzen, wie beispielsweise DRIE-Ätzen - eines Wafers 711. Wie in 11 veranschaulicht, ist es möglich, das gesamte Material 782 an der Seite 714 des Wafers 711 zu entfernen, so dass anschließend kein Freisetzungsprozess erforderlich ist. Eine Lithographiemaske zum lateralen Begrenzen des Ätzens wird in 11 der Einfachheit halber nicht erneut veranschaulicht. 11 illustrates etching - eg, dry etching, such as DRIE etching - of a wafer 711 , As in 11 illustrates, it is possible the entire material 782 on the side 714 of the wafer 711 so that subsequently no release process is required. A lithography mask for laterally limiting the etching is shown in FIG 11 not illustrated again for the sake of simplicity.

Als Nächstes wird in 12 eine reflektierende Schicht 770 auf der Seite 714 des Wafers 711 abgelagert. Beispielsweise kann eine Gold- oder Aluminiumschicht abgelagert werden. Evaporation oder Elektronenheizung kann benutzt werden.Next will be in 12 a reflective layer 770 on the website 714 of the wafer 711 deposited. For example, a gold or aluminum layer can be deposited. Evaporation or electron heating can be used.

13 veranschaulicht den Prozessschritt, der dem Prozessschritt von 12 folgt. In dem Prozessschritt von 13 wird der Wafer 711, der nun mit der reflektierenden Schicht 770 beschichtet ist, an einem Handhabungswafer 709 befestigt. Im Einzelnen ist der Handhabungswafer 709 an der Seite 714 des Wafers 711 befestigt, der ebenfalls durch die reflektierende Schicht 770 beschichtet ist. 13 illustrates the process step involved in the process step of 12 follows. In the process step of 13 becomes the wafer 711 now with the reflective layer 770 coated on a handling wafer 709 attached. In particular, the handling wafer 709 on the side 714 of the wafer 711 attached, which also through the reflective layer 770 is coated.

14 veranschaulicht den Prozessschritt, der dem Prozessschritt von 13 folgt. In dem Prozessschritt von 14 wird Schleifen benutzt, um das verbleibende Material 782 an der Seite 715 des Wafers 711 zu entfernen. Dann verbleibt kein Material 782, siehe 14 links. Wie in 14 links veranschaulicht, ist das Material 781, das die Spiegelvorderseite 151 definiert, nicht mit dem umgebenden Wafermaterial 781 lateral gekoppelt (und folglich würde, wenn der Handhabungswafer 709 entfernt wurde, die Spiegelvorderseite 151 von dem Wafer 711 freigesetzt). Wie hier nachstehend erläutert, erleichtert dies eine einfache und zuverlässige Isolierung des Spiegels 150 auf Waferebene. 14 illustrates the process step involved in the process step of 13 follows. In the process step of 14 Grinding is used to remove the remaining material 782 on the side 715 of the wafer 711 to remove. Then no material remains 782 , please refer 14 Left. As in 14 illustrated on the left is the material 781 , the mirror front 151 defined, not with the surrounding wafer material 781 laterally coupled (and thus, if the handling wafer 709 was removed, the mirror front 151 from the wafer 711 released). As explained hereinbelow, this facilitates easy and reliable isolation of the mirror 150 at the wafer level.

15 - 17 veranschaulichen Beispiele mit Bezug auf die Kopplung der Spiegelvorderseite 151 und der Spiegelrückseite 152, um den Spiegel 150 zu erhalten. Der Prozessschritt von 15 ist der Prozessschritt, der dem Prozessschritt von 14 folgt. Im Einzelnen wird in dem Prozessschritt von 15 der Wafer 711, der die Spiegelvorderseite 151 definiert, an dem Handhabungswafer 709 befestigt. Dann wird der Wafer 711 an der Seite 715 mit dem Wafer 701 in Kontakt gebracht. Dafür werden die Rippen 158 der Rahmenstruktur 157 mit Bezug auf die Mitte der Spiegelvorderseite 151 ausgerichtet. 15 - 17 illustrate examples relating to the coupling of the mirror front 151 and the mirror back 152 to the mirror 150 to obtain. The process step of 15 is the process step that is the process step of 14 follows. In detail, in the process step of 15 the wafer 711 , the mirror front 151 defined on the handling wafer 709 attached. Then the wafer 711 on the side 715 with the wafer 701 brought into contact. That's what the ribs are made of 158 the frame structure 157 with reference to the center of the mirror front 151 aligned.

Wie oben erwähnt, können die Wafer 701 und 711 unter Verwendung eines Klebstoffs, wie beispielsweise eines Epoxidklebstoffs, oder Waferbonden gekoppelt werden.As mentioned above, the wafers can 701 and 711 using an adhesive, such as an epoxy adhesive, or wafer bonding.

Wie von dem obigen zu erkennen ist, kann die Kopplung, wie durch der Prozessschritt von 15 veranschaulicht, auf Waferebene implementiert werden.As can be seen from the above, the coupling can, as by the process step of 15 illustrated, implemented at the wafer level.

16 veranschaulicht den Prozessschritt, der dem Prozessschritt von 15 folgt. 16 veranschaulicht Aspekte mit Bezug auf die Isolierung des Spiegels 150. Im Einzelnen veranschaulicht 16 Schneidmesser 790. Das Schneiden wird häufig als Zerschneiden oder Zerteilen des Wafers bezeichnet, das in 16 als ein Szenario veranschaulicht wird, wobei die Schneidmesser 790 die Rippen 158-1 - 158-4 an einer Position schneiden, die von dem Außenumfang 151B der Spiegelvorderseite 151 versetzt ist. Dann wird der Spiegel 150, wie in 17 veranschaulicht, von dem umgebenden Wafermaterial 781 der Wafers 701, 711 isoliert. Wie von der obigen Beschreibung zu erkennen ist, erstrecken sich, weil die verlängerten Rippen 158-1 - 158-4 an einer von dem Außenumfang 151B versetzten Position geschnitten werden, diese Rippen 158-1 - 158-4 über den Außenumfang 151B hinaus, sobald der Spiegel 150 isoliert wurde (vgl. 4). 16 illustrates the process step involved in the process step of 15 follows. 16 illustrates aspects related to the isolation of the mirror 150 , Illustrated in detail 16 cutting blade 790 , Cutting is often referred to as slicing or dicing the wafer that is in 16 as a scenario is illustrated, wherein the cutting blades 790 Ribs 158 - 1 - 158 - 4 cut at a position from the outer circumference 151B the mirror front 151 is offset. Then the mirror becomes 150 , as in 17 illustrated by the surrounding wafer material 781 the wafer 701 . 711 isolated. As can be seen from the above description, because the extended ribs extend 158 - 1 - 158 - 4 on one of the outer circumference 151B staggered position to be cut, these ribs 158 - 1 - 158 - 4 over the outer circumference 151B out, as soon as the mirror 150 was isolated (cf. 4 ).

Im Allgemeinen ist es nicht erforderlich, alle radiale Rippen 158 als verlängerte Rippen 158-1 - 158-4 zu implementieren; stattdessen kann die Zahl von verlängerten Rippen 158-1 - 158-4 eingestellt werden, um strukturelle Stabilität vor der Isolierung der Spiegel 150 von den Wafern 701, 711 bereitzustellen. Beispielsweise kann es ausreichend sein, wie in Verbindung mit dem Beispiel von 4 veranschaulicht, verlängerte Rippen 158-1 - 158-4 in Richtung Norden, Süden, Osten und Westen des Spiegels 150 zu verwenden.In general, it is not necessary, all radial ribs 158 as extended ribs 158 - 1 - 158 - 4 to implement; instead, the number of ribs can be extended 158 - 1 - 158 - 4 be adjusted to provide structural stability before insulating the mirrors 150 from the wafers 701 . 711 provide. For example, it may be sufficient, as in connection with the example of 4 illustrates extended ribs 158 - 1 - 158 - 4 towards the north, south, east and west of the mirror 150 to use.

In den Beispielen von 11 - 17 wird die reflektierende Schicht 770 vor der Kopplung der Spiegelvorderseite 151 und der Spiegelrückseite 152 abgelagert (vgl. 12 und 15). Des Weiteren wird die reflektierende Schicht 770 auf der Seite 714 des Wafers 711 abgelagert, die der Seite 715 gegenüberliegt, die geschliffen wird (vgl. 12 und 14). Weitere Szenarien sind denkbar, wie in Verbindung mit den folgenden FIGUREN erläutert.In the examples of 11 - 17 becomes the reflective layer 770 before coupling the mirror front 151 and the mirror back 152 deposited (cf. 12 and 15 ). Furthermore, the reflective layer becomes 770 on the website 714 of the wafer 711 deposited on the side 715 opposite, which is ground (see. 12 and 14 ). Other scenarios are conceivable, as explained in connection with the following FIGURES.

18 - 21 veranschaulichen ein weiteres Beispiel. 18 veranschaulicht einen Prozessschritt, der dem Prozessschritt von 12 folgt. 18 veranschaulicht Aspekte mit Bezug auf die Kopplung des Wafers 701, der die Spiegelrückseite 152 definiert, und des Wafers 711, der die Spiegelvorderseite 151 definiert. In dem Beispiel von 18 werden die Spiegelvorderseite 151 und die Spiegelrückseite 152 vor dem Waferdünnermachen des Wafers 711 gekoppelt, der die Spiegelvorderseite 151 definiert. Des Weiteren werden die Spiegelvorderseite 151 und die Spiegelrückseite 152 vor dem Auftragen der reflektierenden Schicht 770 gekoppelt. 18 - 21 illustrate another example. 18 illustrates a process step corresponding to the process step of 12 follows. 18 illustrates aspects related to the coupling of the wafer 701 who the mirror back 152 defined, and the wafer 711 , the mirror front 151 Are defined. In the example of 18 become the mirror front 151 and the mirror back 152 before the wafer tears the wafer 711 coupled to the mirror front 151 Are defined. Furthermore, the mirror front 151 and the mirror back 152 before applying the reflective layer 770 coupled.

Im Einzelnen kann in dem Beispiel von 18 direktes Wafer-Waferbonden für die Kopplung verwendet werden.Specifically, in the example of 18 direct wafer wafer bonding can be used for the coupling.

19 veranschaulicht schematisch den Prozessschritt, der dem Prozessschritt von 18 folgt. In 19 ist ein Handhabungswafer 709 an dem Wafer 701 gegenüber der mit dem Wafer 711 gekoppelten Seite befestigt. 19 schematically illustrates the process step, the process step of 18 follows. In 19 is a handling wafer 709 on the wafer 701 opposite to the wafer 711 coupled side attached.

20 veranschaulicht den Prozessschritt, der dem Prozessschritt von 19 folgt. In 20 wird ein Waferdünnermachen auf den Wafer 711 durch Schleifen der Seite 715 des Wafers 711 angewendet. Als solcher entspricht der Prozessschritt von 20 im Allgemeinen dem Prozessschritt von 14; wenngleich in dem Szenario von 20 das Schleifen der Seite 715 des Wafers 711 vor dem Ablagern der reflektierenden Schicht 770 und nach der Kopplung der Spiegelvorderseite 151 mit der Spiegelrückseite 152 durchgeführt wird. 20 illustrates the process step involved in the process step of 19 follows. In 20 will make a wafer thinning on the wafer 711 by grinding the page 715 of the wafer 711 applied. As such, the process step of 20 generally the process step of 14 ; although in the scenario of 20 the sanding of the page 715 of the wafer 711 before depositing the reflective layer 770 and after coupling the mirror front 151 with the mirror back 152 is carried out.

21 veranschaulicht den Prozessschritt, der dem Prozessschritt von 20 folgt. In 21 wird die reflektierende Schicht 770 auf der Seite 715 des Wafers 711 abgelagert. Um eine ebene reflektierende Schicht 770 zu erleichtern, kann das Schleifen im Prozessschritt von 20 durch Polieren gefolgt werden. Wie von 21 zu erkennen ist, wird die reflektierende Schicht 770 nach der Kopplung der Spiegelvorderseite 151 mit der Spiegelrückseite 152 abgelagert. Das Schleifen wird ebenfalls nach der Kopplung ausgeführt. Die reflektierende Schicht 770 wird auf der geschliffenen Seite 715 abgelagert. 21 illustrates the process step involved in the process step of 20 follows. In 21 becomes the reflective layer 770 on the website 715 of the wafer 711 deposited. Around a level reflective layer 770 To facilitate, the grinding in the process step of 20 be followed by polishing. Like 21 it can be seen, the reflective layer 770 after coupling the mirror front 151 with the mirror back 152 deposited. The grinding is also performed after the coupling. The reflective layer 770 will be on the sanded side 715 deposited.

22 veranschaulicht den Prozessschritt, der dem Prozessschritt von 21 folgt. 22 veranschaulicht Aspekte mit Bezug auf das Schneiden 790 der Wafers 701, 711 zum Isolieren des Spiegels 150. Als solches entspricht der Prozess von 22 im Allgemeinen dem Prozess von 16. Um die reflektierende Schicht 770 in dem Prozessschritt von 22 zu schützen, kann eine Schutzschicht angewendet werden (in 22 nicht veranschaulicht). 22 illustrates the process step involved in the process step of 21 follows. 22 illustrates aspects related to cutting 790 the wafer 701 . 711 to isolate the mirror 150 , As such, the process of 22 in general, the process of 16 , Around the reflective layer 770 in the process step of 22 To protect, a protective layer can be applied (in 22 not illustrated).

23 veranschaulicht den isolierten Spiegel 150, der von dem Schneiden beim Prozessschritt von 22 erhalten wird. 23 illustrates the isolated mirror 150 that of cutting at the process step of 22 is obtained.

24 - 38 veranschaulichen noch einen weiteren MEMS-Prozess, der verwendet werden kann, um den Spiegel 150 herzustellen. 24 - 38 illustrate yet another MEMS process that can be used to mirror 150 manufacture.

In 24 wird ein Glaswafer 708 bereitgestellt. Als Nächstes werden in dem Prozessschritt von 25 Aussparungen 708A in den Glaswafer geätzt. Dies kann unter Verwendung von Nassätzen, z.B. unter Verwendung von Flusssäure, erreicht werden. Die besondere Form der Aussparungen 708A ist nicht entscheidend, weil die Aussparungen 708A lediglich zum Implementieren einer Freisetzung von Material des Wafers 701 verwendet werden, der die Spiegelrückseite 152 definiert.In 24 becomes a glass wafer 708 provided. Next, in the process step of 25 recesses 708A etched in the glass wafer. This can be achieved using wet etching, eg using hydrofluoric acid. The special shape of the recesses 708A is not crucial because the recesses 708A merely to implement a release of material of the wafer 701 to be used, the mirror back 152 Are defined.

26 veranschaulicht den Prozessschritt, der dem Prozessschritt von 25 folgt. In 26 wurde der Wafer 701 an dem Glaswafer 708 befestigt. Dies kann durch anodisches Waferbonden mit SOG (silicon-on-glas) erreicht werden. 26 illustrates the process step involved in the process step of 25 follows. In 26 became the wafer 701 on the glass wafer 708 attached. This can be achieved by anodic wafer bonding with SOG (silicon-on-glass).

Der Wafer 701 ist an der Seite des Glaswafers 708 befestigt, in den die Aussparungen 708A geätzt wurden, um die Freisetzung später zu erleichtern.The wafer 701 is on the side of the glass wafer 708 fastened in the the recesses 708A were etched to facilitate the release later.

27 veranschaulicht den Prozessschritt, der dem Prozessschritt von 26 folgt. Der Prozessschritt von 27 entspricht im Wesentlichen dem Prozessschritt von 6. Die Gräben 785 werden vollständig in die Aussparungen 708A geätzt. Dadurch wird das Material 782 freigesetzt, das die Hohlräumen 159 definiert, siehe 28. 27 illustrates the process step involved in the process step of 26 follows. The process step of 27 corresponds essentially to the process step of 6 , The trenches 785 be completely in the recesses 708A etched. This will be the material 782 released the cavities 159 defined, see 28 ,

In 27 und 28 wird der Einfachheit halber wiederum keine Maske zum Ätzen der Gräben 785 veranschaulicht.In 27 and 28 Again, for simplicity, no mask will be used to etch the trenches 785 illustrated.

29 veranschaulicht die Erzeugung der Spiegelvorderseite 151. Hier wird der jeweiligen Wafer 711 an einem entsprechenden Glaswafer 707 unter Verwendung von anodischen Waferbonden mit SOG oder einem anderen Prozess befestigt. 29 illustrates the generation of the mirror front 151 , Here is the respective wafer 711 on a corresponding glass wafer 707 attached using anodic wafer bonding with SOG or another process.

30 veranschaulicht den Prozessschritt, der dem Prozessschritt von 29 folgt. Hier wird die Seite 715 des Wafers 711 z.B. unter Verwendung von Schleifen und/oder Polieren dünner gemacht. 30 illustrates the process step involved in the process step of 29 follows. Here is the page 715 of the wafer 711 eg made thinner using loops and / or polishing.

31 veranschaulicht einen Prozessschritt zur Kopplung des Wafers 711, der die Spiegelvorderseite 151 definiert, und des Wafers 701, der die Spiegelrückseite 152 definiert. Der Prozessschritt von 31 folgt den Prozessschritten von 28 und 30. 31 illustrates a process step for coupling the wafer 711 , the mirror front 151 defined, and the wafer 701 who the mirror back 152 Are defined. The process step of 31 follows the process steps of 28 and 30 ,

In 31 werden der Wafer 701 und der Wafer 711 unter Verwendung von direktem Waferbonden gekoppelt. Bei der Kopplung wird der Wafer 711 an dem Glaswafer 707 und der Wafer 701 an dem Glaswafer 708 befestigt, um dadurch die Handhabung zu erleichtern.In 31 become the wafer 701 and the wafer 711 coupled using direct wafer bonding. The coupling becomes the wafer 711 on the glass wafer 707 and the wafer 701 on the glass wafer 708 attached, thereby facilitating handling.

32 veranschaulicht die gekoppelten Wafers 701, 711. Der Wafer 711 wird über die dünner gemachten Seite 715 gekoppelt. 32 illustrates the coupled wafers 701 . 711 , The wafer 711 gets over the thinner side 715 coupled.

33 veranschaulicht einen Prozessschritt, der dem Prozessschritt von 32 folgt. In 33 wird der Glaswafer 707 entfernt. Dies kann unter Verwendung von Nassätzen, z.B. unter Verwendung von Flusssäure, erreicht werden. Um die Entfernung des Glaswafers 708 zu vermeiden, kann eine Schutzmaske angewendet werden. 33 illustrates a process step corresponding to the process step of 32 follows. In 33 becomes the glass wafer 707 away. This can be achieved using wet etching, eg using hydrofluoric acid. To the removal of the glass wafer 708 To avoid a protective mask can be applied.

34 veranschaulicht einen Prozessschritt, der dem Prozessschritt von 33 folgt. In 34 werden Gräben geätzt, um die Spiegelvorderseite 151 zu definieren. Als solcher entspricht der Prozessschritt von 34 im Wesentlichen dem Prozessschritt von 11. Beispielsweise kann DRIE-Ätzen verwendet werden. In dem Beispiel von 34 wird- unterschiedlich zu dem Beispiel von 11 - das Ätzen der Spiegelvorderseite 151 nach der Kopplung des Wafers 111 und des Wafers 101 implementiert. 34 illustrates a process step corresponding to the process step of 33 follows. In 34 Trenches are etched to the mirror front 151 define. As such, the process step of 34 essentially the process step of 11 , For example, DRIE etching may be used. In the example of 34 becomes different from the example of 11 - the etching of the mirror front 151 after coupling the wafer 111 and the wafer 101 implemented.

35 veranschaulicht einen Prozessschritt, der dem Prozessschritt von 34 folgt. Im Prozessschritt von 35 wird die reflektierende Schicht 770 auf der Seite 714 des Wafers 711 abgelagert. Folglich wird die reflektierende Schicht 770 auf der Seite 714 abgelagert, die der Seite 715 gegenüberliegt, die in dem Prozessschritt von 30 dünner gemacht wurde und über die der Wafer 711 mit dem Wafer 701 gekoppelt ist. Wie zu erkennen ist, wird die reflektierende Schicht 770 auf der Seite 714 nach der Kopplung der Spiegelvorderseite 151 und der Spiegelrückseite 152 abgelagert. 35 illustrates a process step corresponding to the process step of 34 follows. In the process step of 35 becomes the reflective layer 770 on the website 714 of the wafer 711 deposited. As a result, the reflective layer becomes 770 on the website 714 deposited on the side 715 opposed in the process step of 30 thinner and over the wafer 711 with the wafer 701 is coupled. As can be seen, the reflective layer becomes 770 on the website 714 after coupling the mirror front 151 and the mirror back 152 deposited.

36 veranschaulicht einen Prozessschritt, der dem Prozessschritt von 35 folgt. Der Wafer 711 wird an der Seite 714 - nun mit der reflektierenden Schicht 770 abgedeckt - mit einem Handhabungswafer 709 befestigt. 36 illustrates a process step corresponding to the process step of 35 follows. The wafer 711 will be on the side 714 - now with the reflective layer 770 covered - with a handling wafer 709 attached.

37 veranschaulicht einen Prozessschritt, der dem Prozessschritt von 36 folgt. Hier wird der Glaswafer 708 z.B. durch Nassätzen entfernt. Ferner wird das Schneiden des Wafers 701 entlang der Schneidelinien 790 implementiert. Als solcher entspricht der Prozessschritt von 37 im Allgemeinen dem Prozessschritt von 22 und dem Prozessschritt von 16. 37 illustrates a process step corresponding to the process step of 36 follows. Here is the glass wafer 708 removed by wet etching, for example. Further, the cutting of the wafer 701 along the cutting lines 790 implemented. As such, the process step of 37 generally the process step of 22 and the process step of 16 ,

Wie jedoch von der linken Seite von 37 zu erkennen ist, ist es nicht erforderlich, die verlängerten Rippen 158-1 - 158-4 bereitzustellen. Im Einzelnen wird die Spiegelrückseite 152 jederzeit während des Prozesses von 26 - 37 entweder durch den Glaswafer 708 oder durch den Handhabungswafer 709 getragen. Folglich gibt es keinen Bedarf für die verlängerten Rippen 158-1 - 158-4 oder irgendeine andere tragende Struktur. Daher kann sich die zum Ätzen bei 27 verwendete Maske von der zum Ätzen bei 16 verwendeten Maske unterscheiden.However, as from the left side of 37 it is not necessary to see the extended ribs 158 - 1 - 158-4 provide. In detail, the mirror back 152 at any time during the process of 26 - 37 either through the glass wafer 708 or by the handling wafer 709 carried. Consequently, there is no need for the extended ribs 158 - 1 - 158 - 4 or any other supporting structure. Therefore, the for etching at 27 used mask from that for etching 16 used mask differ.

38 veranschaulicht den Spiegel 150, der von dem Prozessschritt von 37 erhalten wird (bei dem Szenario von 24 - 38 werden die Hohlräume 159 der Rahmenstruktur 157 der Einfachheit halber auf eine vereinfachte Art und Weise veranschaulicht). 38 Illustrates the mirror 150 that of the process step of 37 is obtained (in the scenario of 24 - 38 become the cavities 159 the frame structure 157 for the sake of simplicity, in a simplified manner).

Obwohl die Erfindung mit Bezug auf bestimmte bevorzugte Ausführungsformen gezeigt und beschrieben wurde, werden Äquivalente und Modifikationen anderen Fachleuten beim Lesen und Verstehen der Spezifikation in den Sinn kommen. Die vorliegende Erfindung umfasst alle derartige Äquivalente und Modifikationen und wird lediglich durch den Umfang der beigefügten Ansprüche begrenzt.Although the invention has been shown and described with respect to certain preferred embodiments, equivalents and modifications will occur to others skilled in the art upon reading and understanding the specification. The present invention includes all such equivalents and modifications, and is limited only by the scope of the appended claims.

Zur Veranschaulichung wurden verschiedene Beispiele beschrieben, in denen sich eine oder mehrere verlängerte Rippen über den Außenumfang der Spiegelvorderseite hinaus erstrecken. Dies ist jedoch im Allgemeinen optional. In anderen Beispielen kann es keine verlängerten Rippen geben. Beispielsweise können fest zugeordnete tragende Strukturen bereitgestellt werden, um den Spiegel oder Teile des Spiegels mit dem umgebenden Wafermaterial zu verbinden, bis der Spiegel oder die jeweiligen Spiegelteile von dem umgebenden Wafermaterial durch Schneiden der tragenden Strukturen isoliert sind. Die tragenden Strukturen können von den verlängerten Rippen unterschiedlich sein.By way of illustration, various examples have been described in which one or more extended ribs extend beyond the outer periphery of the mirror front. However, this is generally optional. In other examples, there may be no elongated ribs. For example, dedicated load-bearing structures may be provided to secure the mirror or portions thereof Mirror to connect with the surrounding wafer material until the mirror or the respective mirror parts are isolated from the surrounding wafer material by cutting the supporting structures. The carrying structures may be different from the extended ribs.

ZITATE ENTHALTEN IN DER BESCHREIBUNG QUOTES INCLUDE IN THE DESCRIPTION

Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.This list of the documents listed by the applicant has been generated automatically and is included solely for the better information of the reader. The list is not part of the German patent or utility model application. The DPMA assumes no liability for any errors or omissions.

Zitierte PatentliteraturCited patent literature

  • US 7078778 B2 [0003]US 7078778 B2 [0003]
  • US 5501893 A [0004]US 5501893 A [0004]

Claims (23)

Verfahren zum Herstellen eines Spiegels (150), umfassend: - Herstellen einer Spiegelvorderseite (151), - Herstellen einer Spiegelrückseite (152), die eine Rahmenstruktur (157) mit Rippen (158) und Hohlräumen (159) umfasst, und - Koppeln der Spiegelvorderseite (151) und der Spiegelrückseite (152), um den Spiegel (150) zu erhalten.A method of making a mirror (150) comprising: Producing a mirror front side (151), - Producing a mirror back (152) comprising a frame structure (157) with ribs (158) and cavities (159), and Coupling the mirror front side (151) and the mirror back side (152) to obtain the mirror (150). Verfahren gemäß Anspruch 1, wobei das Herstellen der Spiegelrückseite (152) umfasst: - Ätzen von Gräben (785) entlang einer Kontur der Hohlräume (159) der Rahmenstruktur (157), wobei das Ätzen von einer ersten Seite (704) von einem ersten Wafer (701) ausgeht, wobei die erste Seite (704) die Spiegelvorderseite (151) definiert, und - Implementieren einer Freisetzung von Wafermaterial aus den Hohlräumen (159) der Rahmenstruktur (157) mittels der Gräben (785).Method according to Claim 1 wherein forming the mirror backside (152) comprises: - etching trenches (785) along a contour of the cavities (159) of the frame structure (157), the etching starting from a first side (704) from a first wafer (701) wherein the first side (704) defines the mirror front side (151), and - implementing a release of wafer material from the cavities (159) of the frame structure (157) by means of the trenches (785). Verfahren gemäß Anspruch 2, wobei die Freisetzung unter Verwendung von Aussparungen in einem Glaswafer implementiert wird.Method according to Claim 2 wherein the release is implemented using recesses in a glass wafer. Verfahren gemäß Anspruch 2, wobei die Freisetzung unter Verwendung von Waferschleifen an einer zweiten Seite (705), die entgegengesetzt zur ersten Seite (704) ist, implementiert wird.Method according to Claim 2 wherein the release is implemented using wafer loops on a second side (705) opposite the first side (704). Verfahren gemäß einem der vorangehenden Ansprüche, wobei das Koppeln der Spiegelvorderseite (151) und der Spiegelrückseite (152) auf Waferebene implementiert wird.The method of any one of the preceding claims, wherein the coupling of the mirror front side (151) and the mirror back side (152) is implemented at the wafer level. Verfahren gemäß einem der vorangehenden Ansprüche, wobei das Koppeln der Spiegelvorderseite (151) und der Spiegelrückseite (152) unter Verwendung von direktem Waferbonden eines ersten Wafers, der die Spiegelvorderseite (151) definiert, und eines zweiten Wafers, der die Spiegelrückseite (152) definiert, implementiert wird.The method of any one of the preceding claims, wherein coupling the mirror front side (151) and the mirror back side (152) using direct wafer bonding comprises a first wafer defining the mirror front side (151) and a second wafer defining the mirror back side (152) , is implemented. Verfahren gemäß Anspruch 6, wobei der erste Wafer beim Koppeln an einem ersten Glaswafer befestigt wird, und der zweite Wafer beim Koppeln an einem zweiten Glaswafer befestigt wird.Method according to Claim 6 wherein the first wafer is coupled to a first glass wafer when coupled, and the second wafer is coupled to a second glass wafer when coupled. Verfahren gemäß einem der vorangehenden Ansprüche, ferner umfassend: - Isolieren des Spiegels (150) von umgebenden Wafermaterial durch Schneiden mindestens einer der Rippen (158-1, 158-2, 158-3, 158-4) der Rahmenstruktur (157) an einer Position, die ausgehend von einem Außenumfang der Spiegelvorderseite (151) versetzt ist.Method according to one of the preceding claims, further comprising: Isolating the mirror (150) from surrounding wafer material by cutting at least one of the ribs (158-1, 158-2, 158-3, 158-4) of the frame structure (157) at a position starting from an outer periphery of the mirror front side (15) 151) is offset. Verfahren gemäß Anspruch 8, wobei beim Isolieren des Spiegels (150) die Spiegelvorderseite (151) nicht lateral mit einem umgebenden Wafermaterial gekoppelt ist.Method according to Claim 8 wherein when insulating the mirror (150), the mirror front side (151) is not laterally coupled to a surrounding wafer material. Verfahren gemäß einem der vorangehenden Ansprüche, wobei die Spiegelvorderseite (151) und die Spiegelrückseite (152) durch Ausrichten der Rippen (158) der Rahmenstruktur (157) in Bezug auf eine Mitte der Spiegelvorderseite (151) gekoppelt werden, und wobei sich nach dem Koppeln mindestens eine Rippe (158-1, 158-2, 158-3, 158-4) der Rippen (158) der Rahmenstruktur (157) über einen Außenumfang der Spiegelvorderseite (151) hinaus erstreckt.Method according to one of the preceding claims, wherein the mirror front side (151) and the mirror back side (152) are coupled by aligning the ribs (158) of the frame structure (157) with respect to a center of the mirror front side (151), and wherein, after coupling, at least one rib (158-1, 158-2, 158-3, 158-4) of the ribs (158) of the frame structure (157) extends beyond an outer periphery of the mirror front side (151). Verfahren gemäß einem der vorangehenden Ansprüche, wobei die Spiegelvorderseite (151) mit der Spiegelrückseite (152) über eine erste Seite (714, 715) eines zweiten Wafers (711) gekoppelt ist, der die Spiegelvorderseite (151) definiert, wobei das Verfahren ferner umfasst: - Ablagern einer reflektierenden Schicht auf einer zweiten Seite (714, 715) des zweiten Wafers (711), wobei die zweite Seite (714, 715) des zweiten Wafers (711) gegenüber der ersten Seite (714, 715) des zweiten Wafer (711) liegt, wobei die reflektierende Schicht vor oder nach dem Koppeln der Spiegelvorderseite (151) und der Spiegelrückseite (152) abgelagert wird.Method according to one of the preceding claims, wherein the mirror front side (151) is coupled to the mirror backside (152) via a first side (714, 715) of a second wafer (711) defining the mirror front side (151), the method further comprising: Depositing a reflective layer on a second side (714, 715) of the second wafer (711), the second side (714, 715) of the second wafer (711) facing the first side (714, 715) of the second wafer (711 ), wherein the reflective layer is deposited before or after coupling the mirror front side (151) and the mirror back side (152). Verfahren gemäß Anspruch 11, ferner umfassend: - Schleifen der zweiten Seite (714, 715) des zweiten Wafers (711).Method according to Claim 11 , further comprising: - grinding the second side (714, 715) of the second wafer (711). Verfahren gemäß Anspruch 12, wobei das Schleifen der zweiten Seite des zweiten Wafers nach dem Koppeln der Spiegelvorderseite (151) und der Spiegelrückseite (152) ausgeführt wird.Method according to Claim 12 wherein the grinding of the second side of the second wafer is performed after coupling the mirror front side (151) and the mirror back side (152). Verfahren gemäß Anspruch 13, ferner umfassend: - Schleifen der ersten Seite (714, 715) des zweiten Wafers (711).Method according to Claim 13 , further comprising: - grinding the first side (714, 715) of the second wafer (711). Verfahren gemäß einem der vorangehenden Ansprüche, wobei die Spiegelvorderseite (151) und die Spiegelrückseite (152) unter Verwendung von mindestens einem von einem Epoxidklebstoff und/oder einem Silicium-Silicium-Waferbonden gekoppelt werden.The method of any one of the preceding claims, wherein the mirror front side (151) and the mirror back side (152) are coupled using at least one of an epoxy adhesive and / or a silicon-silicon wafer bonding. Verfahren gemäß einem der vorangehenden Ansprüche, ferner umfassend: - Erzeugen einer elastischen Halterung, und - Koppeln des Spiegels (150) und der elastischen Halterung.Method according to one of the preceding claims, further comprising: - Creating an elastic holder, and - Coupling of the mirror (150) and the elastic holder. Verfahren gemäß Anspruch 16, wobei die elastische Halterung mit dem Spiegel (150) unter Verwendung eines oder mehrerer zusammenhängender Einkerbungsmerkmale gekoppelt ist, die auf jeweiligen Kontaktoberflächen der elastischen Halterung und der Spiegelrückseite (152) bereitgestellt werden. Method according to Claim 16 wherein the resilient mount is coupled to the mirror (150) using one or more contiguous indentation features provided on respective contact surfaces of the resilient mount and the mirror back (152). Spiegel (150), umfassend: - eine Spiegelvorderseite (151), die eine reflektierende Schicht umfasst, - eine Spiegelrückseite (152), die eine Rahmenstruktur (157) mit Rippen (158) und Hohlräumen (159) umfasst, wobei die Spiegelvorderseite (151) und die Spiegelrückseite (152) nicht einstückig ausgebildet sind.Mirror (150) comprising: a mirror front side (151) comprising a reflective layer, - A mirror back (152) comprising a frame structure (157) with ribs (158) and cavities (159), wherein the mirror front side (151) and the mirror back side (152) are not formed in one piece. Spiegel (150), umfassend: - eine Spiegelvorderseite (151), die umfassend eine reflektierende Schicht umfasst, und - eine Spiegelrückseite (152), die eine Rahmenstruktur (157) mit Rippen (158) und Hohlräumen umfasst, wobei sich mindestens eine Rippe (158-1, 158-2, 158-3, 158-4) der Rippen (158) über einen Außenumfang (151B) der Spiegelvorderseite (151) hinaus erstreckt.Mirror (150) comprising: a mirror front side (151) comprising a reflective layer, and - A mirror back (152) comprising a frame structure (157) with ribs (158) and cavities, wherein at least one rib (158-1, 158-2, 158-3, 158-4) of the ribs (158) via an outer periphery (151B) of the mirror front side (151). Spiegel (150) gemäß Anspruch 18 oder 19, wobei ein Durchmesser der reflektierenden Schicht des Spiegels (150) nicht kleiner als 4 mm, optional nicht kleiner als 6 mm, ferner optional nicht kleiner als 8 mm ist.Mirror (150) according to Claim 18 or 19 wherein a diameter of the reflective layer of the mirror (150) is not smaller than 4 mm, optionally not smaller than 6 mm, further optionally not smaller than 8 mm. Spiegel (150) gemäß einem der Ansprüche 18 bis 20, wobei ein Füllfaktor der Rahmenstruktur (157) nicht größer als 20 %, optional nicht größer als 5 %, ferner optional nicht größer als 2 % ist.Mirror (150) according to one of Claims 18 to 20 wherein a fill factor of the frame structure (157) is not greater than 20%, optionally not greater than 5%, further optionally not greater than 2%. Spiegel (150) gemäß einem der Ansprüche 18 bis 21, wobei der Spiegel (150) durch ein Verfahren gemäß einem der Ansprüche 1 bis 17 erzeugt wird.Mirror (150) according to one of Claims 18 to 21 wherein the mirror (150) is formed by a method according to any one of Claims 1 to 17 is produced. Abtastvorrichtung (100), umfassend: - den Spiegel (150) gemäß einem der Ansprüche 18 bis 22, - eine elastische Halterung (119), die gekoppelt mit dem Spiegel (150) gekoppelt ist, und - einen Aktuator (172), wobei der Aktuator (172) konfiguriert ist, um den Spiegel (150) resonant abzutasten.A scanning device (100) comprising: - the mirror (150) according to any one of Claims 18 to 22 - an elastic mount (119) coupled to the mirror (150), and - an actuator (172), the actuator (172) configured to resonantly scan the mirror (150).
DE102017222404.5A 2017-12-11 2017-12-11 SECONDARY MIRROR Withdrawn DE102017222404A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
DE102017222404.5A DE102017222404A1 (en) 2017-12-11 2017-12-11 SECONDARY MIRROR
PCT/EP2018/083288 WO2019115263A1 (en) 2017-12-11 2018-12-03 Two-part mirror

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE102017222404.5A DE102017222404A1 (en) 2017-12-11 2017-12-11 SECONDARY MIRROR

Publications (1)

Publication Number Publication Date
DE102017222404A1 true DE102017222404A1 (en) 2019-06-13

Family

ID=64661327

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102017222404.5A Withdrawn DE102017222404A1 (en) 2017-12-11 2017-12-11 SECONDARY MIRROR

Country Status (2)

Country Link
DE (1) DE102017222404A1 (en)
WO (1) WO2019115263A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020125870A1 (en) 2018-12-19 2020-06-25 Blickfeld GmbH Mirror

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5076700A (en) * 1990-12-20 1991-12-31 Litton Systems, Inc. Bonded lightweight mirror structure
US5501893A (en) 1992-12-05 1996-03-26 Robert Bosch Gmbh Method of anisotropically etching silicon
US7078778B2 (en) 2001-07-26 2006-07-18 Fraunhofer-Gessellschaft Zur Foerderung Der Angewandten Forschung E.V. Micromechanical device
DE102011051198A1 (en) * 2011-06-20 2012-12-20 Scanlab Ag Method for manufacturing weight-optimized deflection mirror for galvanometer scanner, involves removing substrate material from side of mirror support substrate by using laser light under formation of reinforcement structure in substrate

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7782514B2 (en) * 2006-07-18 2010-08-24 Jds Uniphase Corporation Pivoting micro-mirror MEMS device with a sandwiched structure and a closed cellular core
WO2008089786A1 (en) * 2007-01-23 2008-07-31 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Micromechanical component having increased stiffness, and method for the production of the same
NL2007886C2 (en) * 2011-11-29 2013-05-30 Innoluce B V Mems scanning micromirror.

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5076700A (en) * 1990-12-20 1991-12-31 Litton Systems, Inc. Bonded lightweight mirror structure
US5501893A (en) 1992-12-05 1996-03-26 Robert Bosch Gmbh Method of anisotropically etching silicon
US7078778B2 (en) 2001-07-26 2006-07-18 Fraunhofer-Gessellschaft Zur Foerderung Der Angewandten Forschung E.V. Micromechanical device
DE102011051198A1 (en) * 2011-06-20 2012-12-20 Scanlab Ag Method for manufacturing weight-optimized deflection mirror for galvanometer scanner, involves removing substrate material from side of mirror support substrate by using laser light under formation of reinforcement structure in substrate

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020125870A1 (en) 2018-12-19 2020-06-25 Blickfeld GmbH Mirror
DE102018132830A1 (en) 2018-12-19 2020-06-25 Blickfeld GmbH mirror

Also Published As

Publication number Publication date
WO2019115263A1 (en) 2019-06-20

Similar Documents

Publication Publication Date Title
DE69936590T2 (en) VIBRATION CIRCLE AND ITS MANUFACTURING PROCESS
DE60220746T2 (en) Light deflector, method for its production, optical device using the same and torsional vibration element
DE102010000818B4 (en) MEMS resonator devices
DE69836448T2 (en) Microelectromechanical (MEM) optical resonator and method
EP3545332A2 (en) Mems scanning module for a light scanner
DE102011089514B4 (en) Micro mirror and 2 mirror system
EP1421429B1 (en) Resonance scanner
DE102018219380A1 (en) MEMS reflector with center bracket
EP3602105B1 (en) Angular magnetic field sensor for a scanner
DE102015200629A1 (en) Method for equal structuring and chip isolation
DE102017222404A1 (en) SECONDARY MIRROR
EP2841977B1 (en) Optical component for guiding a radiation beam
DE102017118776A1 (en) Scan unit and method for scanning light
DE102010062009A1 (en) Method for producing inclined surfaces in a substrate and wafer with inclined surface
DE102008026886A1 (en) Process for structuring a wear layer of a substrate
DE102013224631A1 (en) Micromirror and manufacturing method for at least one micromirror that can be arranged or arranged in a micromirror device
DE102013209238B4 (en) MEMS structure and method of making same
EP3234695A1 (en) Mirror device
DE102018132830A1 (en) mirror
DE102017120678A1 (en) Scan unit with robustness to shock
DE102017221952B3 (en) Micro-optomechanical system and method for its production
DE102007025846A1 (en) Illumination system with at least one acousto-optical mirror
DE102006043388B3 (en) Method for compensating production-related deviations in the production of micromechanical elements and their use
DE102018112809A1 (en) Actuation of a scanning mirror with an elastic coupling
DE102009028356A1 (en) Micromechanical component, light deflection device and production method for a micromechanical component and a light deflection device

Legal Events

Date Code Title Description
R163 Identified publications notified
R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee