DE102017126538A1 - LOAD OPTIMIZATION OF CRITICAL DIMENSIONS OF FINNEN - Google Patents

LOAD OPTIMIZATION OF CRITICAL DIMENSIONS OF FINNEN Download PDF

Info

Publication number
DE102017126538A1
DE102017126538A1 DE102017126538.4A DE102017126538A DE102017126538A1 DE 102017126538 A1 DE102017126538 A1 DE 102017126538A1 DE 102017126538 A DE102017126538 A DE 102017126538A DE 102017126538 A1 DE102017126538 A1 DE 102017126538A1
Authority
DE
Germany
Prior art keywords
fin
fins
fin structure
width
center distance
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102017126538.4A
Other languages
German (de)
Inventor
Chia Ming Liang
Yi-Shien Mor
Huai-Hsien Chiu
Chi-hsin Chang
Jin-Aun Ng
Yi-Juei LEE
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/799,611 external-priority patent/US10692769B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102017126538A1 publication Critical patent/DE102017126538A1/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823456MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different shapes, lengths or dimensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • H01L21/845Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body including field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • H01L27/1211Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

Integrierte Schaltungsvorrichtungen mit optimierter Ladung der kritischen Abmessung von Finnen sind hierin offenbart. Eine beispielhafte integrierte Schaltungsvorrichtung umfasst einen Kernbereich, der eine erste Mehrfach-Finnenstruktur umfasst, und einen Eingabe/Ausgabe-Bereich, der eine zweite Mehrfach-Finnenstruktur umfasst. Die erste Mehrfach-Finnenstruktur weist eine erste Breite auf und die zweite Mehrfach-Finnenstruktur weist eine zweite Breite auf. Die erste Breite ist größer als die zweite Breite. In einigen Implementierungen weist die erste Mehrfach-Finnenstruktur einen ersten Finnenabstand auf und die zweite Mehrfach-Finnenstruktur weist einen zweiten Finnenabstand auf. Der erste Finnenabstand ist kleiner als der zweite Finnenabstand. In einigen Implementierungen ist ein erster Mittenabstand benachbarter Finnen der ersten Mehrfach-Finnenstruktur größer oder gleich dem Dreifachen eines minimalen Finnen-Mittenabstands und ein zweiter Mittenabstand benachbarter Finnen der zweiten Mehrfach-Finnenstruktur ist kleiner oder gleich dem Zweifachen des minimalen Finnen- Mittenabstands.

Figure DE102017126538A1_0000
Integrated circuit devices with optimized critical dimension loading of fins are disclosed herein. An exemplary integrated circuit device includes a core region that includes a first multiple fin structure and an input / output region that includes a second multiple fin structure. The first multiple fin structure has a first width and the second multiple fin structure has a second width. The first width is greater than the second width. In some implementations, the first multiple fin structure has a first fin spacing, and the second multiple fin structure has a second fin spacing. The first fin pitch is smaller than the second fin pitch. In some implementations, a first pitch of adjacent fins of the first multiple fin structure is greater than or equal to three times a minimum fin pitch and a second pitch of adjacent fins of the second multiple fin structure is less than or equal to twice the minimum fin pitch.
Figure DE102017126538A1_0000

Description

HINTERGRUNDBACKGROUND

Diese Anmeldung beansprucht die Priorität der vorläufigen US-Patentanmeldung mit der Seriennummer 622/551 624 mit dem Titel „Fin Critical Dimension Loading Optimization“, eingereicht am 29. August 2017, deren gesamte Offenbarung hiermit durch Bezugnahme aufgenommen wird.This application claims the benefit of US Provisional Patent Application Serial No. 622/551 624, entitled "Fin Critical Dimension Loading Optimization," filed Aug. 29, 2017, the entire disclosure of which is hereby incorporated by reference.

Die IC-Branche hat ein exponentielles Wachstum erlebt. Technischer Fortschritt bei IC-Materialien und -Design hat Generationen von ICs hervorgebracht, bei denen jede Generation kleinere und komplexere Schaltungen als die vorherige Generation aufweist. Im Verlauf der IC-Entwicklung hat sich die Funktionsdichte (d.h. die Anzahl von miteinander verbundenen Einrichtungen je Chipfläche) im Allgemeinen erhöht, während sich die Geometriegröße (d. h. die kleinste Komponente (oder Leitung), die unter Verwendung eines Herstellungsverfahrens erzeugt werden kann) verringert hat. Dieser Verkleinerungsprozess bietet im Allgemeinen Vorteile, indem er die Produktionseffizienz erhöht und die damit verbundenen Kosten senkt.The IC industry has experienced exponential growth. Technological advances in IC materials and design have spawned generations of ICs where each generation has smaller and more complex circuits than the previous generation. In the course of IC development, the functional density (ie, the number of interconnected devices per die area) has generally increased while the geometry size (ie, the smallest component (or line) that can be produced using a fabrication process) has decreased , This reduction process generally provides benefits by increasing production efficiency and reducing associated costs.

Eine solche Verkleinerung hat auch die Komplexität der Verarbeitung und Fertigung von ICs erhöht und damit diese Fortschritte realisiert werden können, sind ähnliche Entwicklungen in der IC-Verarbeitung und Fertigung erforderlich. Wenn z. B. finnenartige Feldeffekttransistor- (FinFET) -Technologien zu kleineren Strukturgrößen hin fortschreiten, werden FinFET-Herstellungsverfahren durch die Verringerung der Verfahrensfenster erheblich eingeschränkt. Insbesondere verhindern, wenn mehrere Finnendichten vorhanden sind, abnehmende Finnenabstände und zunehmende Finnenhöhen, dass herkömmliche Ätzverfahren das Material zwischen den Finnen vollständig oder in ausreichendem Maße entfernen. Folglich können nicht alle Vorteile von FinFET-Vorrichtungen realisiert werden.Such downsizing has also increased the complexity of processing and manufacturing ICs, and in order for these advances to be realized, similar developments in IC processing and manufacturing are required. If z. For example, as fin-type field effect transistor (FinFET) technologies progress toward smaller feature sizes, FinFET fabrication processes are significantly limited by the reduction of process windows. In particular, when multiple fin densities are present, decreasing fin pitches and increasing fin heights prevent conventional etching techniques from completely or sufficiently removing the material between the fins. As a result, not all advantages of FinFET devices can be realized.

Figurenlistelist of figures

Die vorliegende Offenbarung wird am besten aus der folgenden detaillierten Beschreibung verstanden, wenn sie mit den beigefügten Zeichnungen gelesen wird. Es wird betont, dass in Übereinstimmung mit dem üblichen Vorgehen in der Branche verschiedene Elemente nicht maßstabsgetreu gezeichnet sind und nur der Beschreibung dienen. Tatsächlich können die Abmessungen der verschiedenen Merkmale zur Klarheit der Beschreibung beliebig vergrößert oder verkleinert werden.

  • Die 1A-1C, 2A-2C, 3A-3C, 4A-4E, 5A-5E und 6A-6E sind schematische Teilansichten einer integrierten Schaltungsvorrichtung, in Teilen oder vollständig, in verschiedenen Herstellungsstufen gemäß verschiedenen Aspekten der vorliegenden Offenbarung.
  • 7 ist ein Flussdiagramm eines Verfahrens zum Herstellen einer integrierten Schaltungsvorrichtung gemäß verschiedenen Aspekten der vorliegenden Offenbarung.
  • 8 ist eine fragmentarische Querschnittsansicht einer integrierten Schaltungsvorrichtung, in Teilen oder vollständig, gemäß verschiedenen Aspekten der vorliegenden Offenbarung.
The present disclosure will be best understood from the following detailed description when read with the accompanying drawings. It is emphasized that, in accordance with the usual practice in the industry, various elements are not drawn to scale and are for description only. In fact, the dimensions of the various features may be arbitrarily increased or decreased for clarity of description.
  • The 1A-1C . 2A-2C . 3A-3C . 4A-4E . 5A-5E and 6A-6E 12 are partial schematic views of an integrated circuit device, in parts or in full, in various stages of manufacture in accordance with various aspects of the present disclosure.
  • 7 FIG. 10 is a flowchart of a method of manufacturing an integrated circuit device according to various aspects of the present disclosure. FIG.
  • 8th FIG. 12 is a fragmentary cross-sectional view of an integrated circuit device, in parts or in full, according to various aspects of the present disclosure. FIG.

DETAILLIERTE BESCHREIBUNGDETAILED DESCRIPTION

Die vorliegende Offenbarung bezieht sich allgemein auf integrierte Schaltungsvorrichtungen und insbesondere auf finnenartige Feldeffekttransistor-(FinFET) -Vorrichtungen.The present disclosure relates generally to integrated circuit devices, and more particularly to fin-type field effect transistor (FinFET) devices.

Die folgende Offenbarung sieht viele verschiedene Ausführungsformen oder Beispiele vor, um verschiedene Merkmale der Erfindung zu implementieren. Spezielle Beispiele von Komponenten und Anordnungen sind unten beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind natürlich nur Beispiele und sollen nicht einschränkend wirken. Beispielsweise kann das Ausbilden eines ersten Elements über oder auf einem zweiten Element in der folgenden Beschreibung Ausführungsformen umfassen, in denen das erste und das zweite Element in direktem Kontakt ausgebildet sind, und kann auch Ausführungsformen umfassen, in denen zusätzliche Elemente zwischen dem ersten Element und dem zweiten Element ausgebildet sein können, so dass das erste und das zweite Element nicht in direktem Kontakt stehen müssen.The following disclosure provides many different embodiments or examples to implement various features of the invention. Specific examples of components and arrangements are described below to simplify the present disclosure. Of course these are just examples and should not be limiting. For example, forming a first element over or on a second element in the following description may include embodiments in which the first and second elements are in direct contact, and may also include embodiments in which additional elements are interposed between the first element and the second element second element may be formed so that the first and the second element do not have to be in direct contact.

Zusätzlich kann die vorliegende Offenbarung Bezugszeichen und/oder Buchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient dem Zweck der Einfachheit und Klarheit und erzwingt an sich keine Beziehung zwischen den verschiedenen beschriebenen Ausführungsformen und/oder Konfigurationen. Darüber hinaus kann das Ausbilden eines Merkmals auf, verbunden mit und/oder gekoppelt mit einem anderen Merkmal in der vorliegenden folgenden Offenbarung Ausführungsformen umfassen, in denen die Merkmale in direktem Kontakt ausgebildet sind, und kann auch Ausführungsformen umfassen, in denen zusätzliche Merkmale so ausgebildet werden können, dass sie zwischen den Merkmalen angeordnet sind, so dass die Merkmale nicht in direktem Kontakt stehen müssen. Darüber hinaus werden räumlich relative Begriffe der Beziehung eines Merkmals zu einem anderen Merkmal, beispielsweise „unten“, „oben“, „horizontal“, „vertikal“, „oberhalb“, „über“, „unterhalb“, „unter“, „herauf“, „herunter“, „oberer“, „unterer“ usw. sowie Ableitungen davon (z. B. „horizontal“, „nach unten“, „nach oben“ usw.) zur Vereinfachung der vorliegenden Offenbarung verwendet. Die räumlich relativen Begriffe sollen verschiedene Orientierungen der Vorrichtung einschließlich der Merkmale abdecken.In addition, the present disclosure may repeat reference numerals and / or letters in the various examples. This repetition is for the purpose of simplicity and clarity and in itself does not enforce any relationship between the various described embodiments and / or configurations. Moreover, forming a feature associated with and / or coupled with another feature in the present disclosure may include embodiments in which the features are in direct contact, and may also include embodiments in which additional features are formed can be arranged between the features so that the features do not have to be in direct contact. In addition, spatially relative terms of the relationship of one feature to another feature, for example, "bottom,""top,""horizontal,""vertical,""above,""over,""below,""below," are referred to "," Down "," upper "," lower ", etc. as well as derivatives thereof (eg" horizontal "," after bottom, top, etc.) are used to simplify the present disclosure. The spatially relative terms are intended to cover various orientations of the device including features.

Die 1A-1C, 2A-2C, 3A-3C, 4A-4E, 5A-5E und 6A-6E sind fragmentarische schematische Ansichten einer integrierten Schaltungsvorrichtung 10, in Teilen oder vollständig, in verschiedenen Herstellungsstufen gemäß verschiedenen Aspekten der vorliegenden Offenbarung. Die integrierte Schaltungsvorrichtung 10 umfasst verschiedene Vorrichtungsbereiche, wie zum Beispiel einen Kernbereich (häufig als Logik-Bereich bezeichnet), einen Speicherbereich (wie zum Beispiel einen statischen Direktzugriffsspeicher- (SRAM) -Bereich), einen analogen Bereich, einen peripheren Bereich (oft als Eingabe/Ausgabe- (I/O) -Bereich bezeichnet), einen Dummy-Bereich, einen anderen geeigneten Bereich oder Kombinationen davon. In der gezeigten Ausführungsform umfasst die integrierte Schaltungsvorrichtung 10 einen Kernbereich 12 und einen I/O-Bereich 14, die beide verschiedene passive und aktive mikroelektronische Vorrichtungen wie Widerstände, Kondensatoren, Induktoren, Dioden, Metalloxid-Halbleiter-Feldeffekttransistoren (MOSFETs), komplementäre Metalloxid-Halbleiter- (CMOS) -Transistoren, Bipolartransistoren (BJTs), lateral diffundierte MOS- (LDMOS) -Transistoren, Hochspannungstransistoren, Hochfrequenztransistoren, andere geeignete Komponenten oder Kombinationen davon umfassen können. Wie hierin beschrieben, umfassen der Kernbereich 12 und der I/O-Bereich 14 jeweils einen oder mehrere finnenartige Feldeffekttransistoren (FinFETs). Die integrierte Schaltungsvorrichtung 10 kann in einem Mikroprozessor, einem Speicher und/oder einer anderen integrierten Schaltungsvorrichtung vorgesehen sein. In einigen Implementierungen kann die integrierte Schaltungsvorrichtung 10 Teil eines IC-Chips, eines System-on-Chips (SoC) oder eines Teils davon sein. Die 1A-1C, 2A-2C, 3A-3C, 4A-4E, 5A-5E und 6A-6E wurden der Klarheit halber vereinfacht, um die erfinderischen Konzepte der vorliegenden Offenbarung besser zu verstehen. Zusätzliche Merkmale können in der integrierten Schaltungsvorrichtung 10 hinzugefügt werden, und einige der nachstehend beschriebenen Merkmale können in anderen Ausführungsformen der integrierten Schaltungsvorrichtung 10 ersetzt, modifiziert oder eliminiert werden.The 1A-1C . 2A-2C . 3A-3C . 4A-4E . 5A-5E and 6A-6E FIG. 13 are fragmentary schematic views of an integrated circuit device. FIG 10 in parts or in full, at various stages of manufacture in accordance with various aspects of the present disclosure. The integrated circuit device 10 includes various device areas, such as a core area (often referred to as a logic area), a memory area (such as a static random access memory (SRAM) area), an analog area, a peripheral area (often used as input / output ports). I / O) area), a dummy area, another suitable area or combinations thereof. In the embodiment shown, the integrated circuit device comprises 10 a core area 12 and an I / O area 14, both of which include various passive and active microelectronic devices such as resistors, capacitors, inductors, diodes, metal oxide semiconductor field effect transistors (MOSFETs), complementary metal oxide semiconductor (CMOS) transistors, bipolar transistors (BJTs). , laterally diffused MOS (LDMOS) transistors, high voltage transistors, high frequency transistors, other suitable components, or combinations thereof. As described herein, the core area includes 12 and the I / O area 14 each have one or more fin-type field effect transistors (FinFETs). The integrated circuit device 10 may be provided in a microprocessor, memory and / or other integrated circuit device. In some implementations, the integrated circuit device may 10 Part of an IC chip, a system-on-chip (SoC) or a part thereof. The 1A-1C . 2A-2C . 3A-3C . 4A-4E . 5A-5E and 6A-6E have been simplified for clarity in order to better understand the inventive concepts of the present disclosure. Additional features may be found in the integrated circuit device 10 may be added, and some of the features described below may be used in other embodiments of the integrated circuit device 10 replaced, modified or eliminated.

Bezugnehmend auf die 1A-1C ist 1A ist eine Draufsicht der integrierten Schaltungsvorrichtung 10, 1B eine fragmentarische Querschnittsansicht der integrierten Schaltungsvorrichtung 10 entlang der Linie 1B-1B von 1 und 1C eine fragmentarische Querschnittsansicht der integrierten Schaltungsvorrichtung 10 entlang der Linie 1C-1C von 1A. Der Kernbereich 12 und der I/O-Bereich 14 umfassen jeweils mindestens eine Finnenstruktur, die über einem Substrat (Wafer) 16 angeordnet ist. In der gezeigten Ausführungsform umfasst das Substrat 16 Silizium. Alternativ oder zusätzlich umfasst das Substrat 16 einen anderen elementaren Halbleiter wie Germanium; einen Verbindungshalbleiter wie Siliziumkarbid, Siliziumphosphid, Galliumarsenid, Galliumphosphid, Indiumphosphid, Indiumarsenid und/oder Indiumantimonid; einen Legierungshalbleiter wie Silizium-Germanium (SiGe), SiPC, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP und/oder GaInAsP; oder Kombinationen davon. Alternativ ist das Substrat 16 ein Halbleiter-auf-Isolator-Substrat, wie beispielsweise ein Silizium-auf-Isolator- (SOI) -Substrat, ein Silizium-Germanium-auf-Isolator- (SGOI) -Substrat oder ein Germanium-auf-Isolator- (GOI) - Substrat. Halbleiter-auf-Isolator-Substrate können unter Verwendung einer Trennung durch Implantation von Sauerstoff (SIMOX), Waferbonden und/oder anderer geeigneter Verfahren hergestellt werden. In einigen Implementierungen umfasst das Substrat 16 ein oder mehrere Gruppe-III-V-Materialien. In einigen Implementierungen umfasst das Substrat 16 ein oder mehrere Gruppe-II-IV-Materialien. Das Substrat 16 umfasst verschiedene dotierte Bereiche (nicht gezeigt), abhängig von den Entwurfsanforderungen des Kernbereichs 12 und des I/O-Bereichs 14. Zum Beispiel ist der Kernbereich 12 ein n-Vorrichtungsbereich, ein p-Vorrichtungsbereich oder eine Kombination davon und der I/O-Bereich 14 ist ein n-Vorrichtungsbereich, ein p-Vorrichtungsbereich oder eine Kombination davon. In einigen Implementierungen umfasst das Substrat 16 p-dotierte Bereiche (zum Beispiel p-Wannen), die mit p-Dotierstoffen wie Bor, Indium, einem anderen p-Dotierstoff oder Kombinationen davon dotiert sind. In einigen Implementierungen umfasst das Substrat 16 n-dotierte Bereiche (zum Beispiel n-Wannen), die mit n-Dotierstoffen wie Phosphor, Arsen, einem anderen n-Dotierstoff oder Kombinationen davon dotiert sind. In einigen Implementierungen umfasst das Substrat 16 dotierte Bereiche, die mit einer Kombination von p-Dotierstoffen und n-Dotierstoffen ausgebildet sind. Die verschiedenen dotierten Bereiche können direkt auf und/oder in dem Substrat 16 ausgebildet werden, zum Beispiel durch Bereitstellen einer p-Wannenstruktur, einer n-Wannenstruktur, einer Doppelwannenstruktur, einer erhabenen Struktur oder Kombinationen davon.Referring to the 1A-1C is 1A Fig. 10 is a plan view of the integrated circuit device 10 . 1B a fragmentary cross-sectional view of the integrated circuit device 10 along the line 1B - 1B from 1 and 1C a fragmentary cross-sectional view of the integrated circuit device 10 along the line 1C - 1C from 1A , The core area 12 and the I / O area 14 each comprise at least one fin structure which over a substrate (wafer) 16 is arranged. In the embodiment shown, the substrate comprises 16 Silicon. Alternatively or additionally, the substrate comprises 16 another elemental semiconductor such as germanium; a compound semiconductor such as silicon carbide, silicon phosphide, gallium arsenide, gallium phosphide, indium phosphide, indium arsenide and / or indium antimonide; an alloy semiconductor such as silicon germanium (SiGe), SiPC, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP and / or GaInAsP; or combinations thereof. Alternatively, the substrate 16 a semiconductor on insulator substrate, such as a silicon on insulator (SOI) substrate, a silicon germanium on insulator (SGOI) substrate or a germanium on insulator (GOI) substrate. Semiconductor-on-insulator substrates can be made using separation by implantation of oxygen (SIMOX), wafer bonding, and / or other suitable methods. In some implementations, the substrate comprises 16 one or more Group III V materials. In some implementations, the substrate comprises 16 one or more Group II-IV materials. The substrate 16 includes various doped regions (not shown), depending on the design requirements of the core region 12 and the I / O area 14 , For example, the core area 12 an n-device region, a p-device region, or a combination thereof, and the I / O region 14 is an n-device region, a p-device region, or a combination thereof. In some implementations, the substrate comprises 16 p-type doped regions (eg, p-wells) doped with p-type dopants such as boron, indium, another p-type dopant, or combinations thereof. In some implementations, the substrate comprises 16 n-doped regions (eg, n-wells) doped with n-type dopants such as phosphorus, arsenic, another n-type dopant, or combinations thereof. In some implementations, the substrate comprises 16 doped regions formed with a combination of p-type dopants and n-type dopants. The various doped regions may be directly on and / or in the substrate 16 For example, by providing a p-well structure, an n-well structure, a dual well structure, a raised structure, or combinations thereof.

Der Kernbereich 12 umfasst mindestens eine Finnenstruktur mit zwei Finnen oder weniger und der I/O-Bereich 14 umfasst mindestens eine Finnenstruktur mit drei Finnen oder mehr. Zum Beispiel umfasst der Kernbereich 12 eine Finnenstruktur 20A (mit zwei Finnen 22A) und eine Finnenstruktur 20B (mit zwei Finnen 22B) und der I/O-Bereich 14 umfasst eine Finnenstruktur 20C (mit sechs Finnen 22C). Obwohl die Finnenstrukturen 20A-20C in der gezeigten Ausführungsform Mehrfach-Finnenstrukturen sind, zieht die vorliegende Offenbarung Ausführungsformen in Betracht, bei denen die Finnenstruktur 20A und/oder die Finnenstruktur 20B nur eine Finne umfassen. In der gezeigten Ausführungsform gehören die Finnenstrukturen 20A-20C zu einer ersten FinFET-Vorrichtung, einer zweiten FinFET-Vorrichtung bzw. einer dritten FinFET-Vorrichtung der integrierten Schaltungsvorrichtung 10. Alternativ gehören in einigen Implementierungen die Finnenstruktur 20A und die Finnenstruktur 20B zu einer ersten FinFET-Vorrichtung und die Finnenstruktur 20C zu einer zweiten FinFET-Vorrichtung. In einigen Implementierungen sind die Finnen 22A-22C ein Teil des Substrats 16 (wie etwa ein Teil einer Materialschicht des Substrats 16). Wenn beispielsweise das Substrat 16 Silizium umfasst, umfassen die Finnen 22A-22C Silizium. Alternativ sind in einigen Implementierungen die Finnen 22A-22C in einer Materialschicht definiert, etwa einer oder mehreren Halbleitermaterialschichten, die über dem Substrat 16 liegt. Zum Beispiel können die Finnen 22A-22C einen Halbleiterschichtstapel mit verschiedenen Halbleiterschichten (wie etwa eine Heterostruktur) umfassen, die über dem Substrat 16 angeordnet sind. Die Halbleiterschichten können beliebige geeignete Halbleitermaterialien wie etwa Silizium, Germanium, Silizium-Germanium, andere geeignete Halbleitermaterialien oder Kombinationen davon umfassen. Die Halbleiterschichten können gleiche oder unterschiedliche Materialien, Ätzraten, atomare Anteile der Bestandteile, Gewichtsanteile der Bestandteil, Dicken (Höhen) und/oder Konfigurationen umfassen, abhängig von den Entwurfsanforderungen der integrierten Schaltungsvorrichtung 10. In einigen Implementierungen umfasst der Halbleiterschichtstapel abwechselnde Halbleiterschichten, wie z. B. Halbleiterschichten, die aus einem ersten Material bestehen, und Halbleiterschichten, die aus einem zweiten Material bestehen. Beispielsweise alterniert der Halbleiterschichtstapel Siliziumschichten und Silizium-Germanium-Schichten (beispielsweise SiGe/Si/SiGe/Si/SiGe/Si von unten nach oben). In einigen Implementierungen umfasst der Halbleiterschichtstapel Halbleiterschichten aus dem gleichen Material, aber mit alternierenden Atomanteilen, wie Halbleiterschichten mit einem Bestandteil eines ersten Atomanteils und Halbleiterschichten mit einem Bestandteil eines zweiten Atomanteils. Zum Beispiel umfasst der Halbleiterschichtstapel Silizium-Germanium-Schichten mit alternierenden Silizium- und/oder Germanium-Atomanteilen (zum Beispiel SiaGeb/SicGed/SiaGeb/SicGed/SiaGeb/SicGed von unten nach oben, wobei a und c unterschiedliche Atomanteile von Silizium sind und b und d unterschiedliche Atomanteile von Germanium sind). In einigen Implementierungen umfassen die Finnen 22A, die Finnen 22B und/oder die Finnen 22C die gleichen Materialien und/oder die gleichen Halbleiterschichtstapel, abhängig von den Entwurfsanforderungen ihrer jeweiligen FinFET-Vorrichtung. In einigen Implementierungen umfassen die Finnen 22A, die Finnen 22B und/oder die Finnen 22C unterschiedliche Materialien und/oder unterschiedliche Halbleiterschichtstapel, abhängig von den Entwurfsanforderungen ihrer jeweiligen FinFET-Vorrichtung.The core area 12 includes at least one fin structure with two fins or less and the I / O area 14 includes at least one fin structure with three fins or more. For example, the core area includes 12 a fin structure 20A (with two Finns 22A) and a fin structure 20B (with two Finns 22B) and the I / O area 14 includes a fin structure 20C (with six fins 22C) , Although the fin structures 20A - 20C In the embodiment shown, multiple fin structures are contemplated, the present disclosure contemplates embodiments in which the fin structure 20A and or the fin structure 20B include only one fin. In the embodiment shown, the fin structures are included 20A - 20C to a first FinFET device, a second FinFET device or a third FinFET device of the integrated circuit device 10 , Alternatively, in some implementations, the fin structure may be included 20A and the fin structure 20B to a first FinFET device and the fin structure 20C to a second FinFET device. In some implementations, the Finns are 22A - 22C a part of the substrate 16 (Such as a part of a material layer of the substrate 16 ). For example, if the substrate 16 Silicon includes the fins 22A - 22C Silicon. Alternatively, in some implementations, the fins are 22A - 22C defined in a material layer, such as one or more semiconductor material layers, overlying the substrate 16 lies. For example, the Finns 22A - 22C a semiconductor layer stack comprising different semiconductor layers (such as a heterostructure) overlying the substrate 16 are arranged. The semiconductor layers may include any suitable semiconductor materials such as silicon, germanium, silicon germanium, other suitable semiconductor materials, or combinations thereof. The semiconductor layers may comprise the same or different materials, etch rates, atomic proportions of the constituents, parts by weight, thicknesses, and / or configurations, depending on the design requirements of the integrated circuit device 10 , In some implementations, the semiconductor layer stack includes alternating semiconductor layers, such as silicon layers. B. semiconductor layers, which consist of a first material, and semiconductor layers, which consist of a second material. For example, the semiconductor layer stack alternates silicon layers and silicon germanium layers (for example, SiGe / Si / SiGe / Si / SiGe / Si from bottom to top). In some implementations, the semiconductor layer stack comprises semiconductor layers of the same material but with alternating atomic portions, such as semiconductor layers having a constituent of a first atomic portion and semiconductor layers having a constituent of a second atomic proportion. For example, the semiconductor layer stack of silicon-germanium layers includes alternating silicon and / or germanium atomic proportions (for example, Si a Ge b / Si c Ge d / Si a Ge b / Si c Ge d / Si a Ge b / Si c Ge d from bottom to top, where a and c are different atomic proportions of silicon and b and d are different atom proportions of germanium). In some implementations, the Finns include 22A , Finns 22B and / or the Finns 22C the same materials and / or the same semiconductor layer stacks, depending on the design requirements of their respective FinFET device. In some implementations, the Finns include 22A , Finns 22B and / or the Finns 22C different materials and / or different semiconductor layer stacks, depending on the design requirements of their respective FinFET device.

Ladungsoptimierung für kritischen Abmessungen (CD) von Finnen wird zwischen dem Kernbereich 12 und dem I/O-Bereich 14 für die nachfolgende Verarbeitung erreicht, indem Finnenstrukturen des Kernbereichs 12 und des I/O-Bereichs 14 mit unterschiedlichen kritischen Abmessungen konfiguriert werden. In einigen Implementierungen bezieht sich kritische Abmessung im Allgemeinen auf eine Abmessung, wie zum Beispiel eine Finnenbreite und/oder einen Finnen-Mittenabstand, die durch Entwurfsanforderungen definiert sind, um eine gewünschte Leistung der integrierten Schaltungsvorrichtung 10 zu erzielen. In den 1A-1C haben die Finnen 22A jeweils eine Breite w1, die Finnen 22B jeweils eine Breite w2 und die Finnen 22C jeweils eine Breite w3 , wobei die Finnenbreite die kritische Abmessung ist, und die Breite w1 , die Breite w2 und die Breite w3 sind so konfiguriert, dass sie eine Finnen-CD-Ladungsoptimierung bereitstellen. In einigen Implementierungen ist Finnen-CD-Ladung als eine Differenz zwischen einer Kernfinnen-CD (oder Kernfinnenbreite) des Kernbereichs 12 und einer I/O-Finnen-CD (oder I/O-Finnenbreite) des I/O-Bereichs 14 definiert. Die Finnen-CD-Ladung optimiert den Abstand zwischen den Finnen für Ätzverfahren, die während der Gate-Ausbildung verwendet werden, wenn die Finnen-CD-Ladung größer als o ist (mit anderen Worten ist Finnen-CD-Ladung = Kernfinnen-CD - I/O-Finnen-CD ≥ o). Wenn zum Beispiel die Kernfinnen-CD größer als die I/O-Finnen-CD ist (mit anderen Worten die Finnen 22A und die Finnen 22B jeweils eine Breite haben, die größer als eine Breite der Finnen 22C ist (zum Beispiel w1 > w3 und w2 > w3)), ist ein Abstand S1 (Distanz) zwischen benachbarten Finnen 22A und/oder ein Abstand S2 zwischen benachbarten Finnen 22B kleiner als ein Abstand S3 zwischen benachbarten Finnen 22C (mit anderen Worten ist S1 < S3 und S2 < S3). In einigen Implementierungen beträgt die Breite w1 etwa 1 nm bis etwa 30 nm, die Breite w2 etwa 1 nm bis etwa 30 nm und die Breite w3 etwa 1 nm bis etwa 30 nm. In einigen Implementierungen beträgt der Abstand S1 etwa 10 nm bis etwa 30 nm, der Abstand S2 etwa 10 nm bis etwa 30 nm und der Abstand S3 etwa 10 nm bis etwa 30 nm. In Weiterführung der gezeigten Ausführungsform haben die Finnen 22A und die Finnen 22B im Wesentlichen die gleiche Breite (mit anderen Worten w1 ≈ w2) und die Finnen 22A und die Finnen 22B haben im Wesentlichen den gleichen Abstand (mit anderen Worten ist S1 ≈ S2). In einigen Implementierungen unterscheiden sich die Breite w1 und/oder der Abstand S1 der Finnen 22A von der Breite w2 und/oder dem Abstand S2 der Finnen 22B, abhängig von den Entwurfsanforderungen des Kernbereichs 12. In den 1A-1C haben die Finnen 22A jeweils eine Höhe h1 und eine Länge l1 ; die Finnen 22B haben jeweils eine Höhe h2 und eine Länge l2 ; und die Finnen 22C haben jeweils eine Höhe h3 und eine Länge l3 . In einigen Implementierungen beträgt die Höhe h1 etwa 30 nm bis etwa 150 nm, die Höhe h2 etwa 30 nm bis etwa 150 nm und die Höhe h3 etwa 30 nm bis etwa 150 nm. In einigen Implementierungen beträgt die Länge l1 beträgt etwa 30 nm bis etwa 60 nm, die Länge l2 etwa 30 nm bis etwa 60 nm und die Länge l3 etwa 30 nm bis etwa 60 nm.Filling optimization for critical dimensions (CD) of fins will be between the core area 12 and the I / O area 14 for subsequent processing achieved by fin structures of the core area 12 and the I / O area 14 be configured with different critical dimensions. In some implementations, critical dimension generally refers to a dimension, such as fin width and / or fin pitch, defined by design requirements, to a desired performance of the integrated circuit device 10 to achieve. In the 1A-1C have the Finns 22A one width each w 1 , Finns 22B one width each w 2 and the Finns 22C one width each w 3 , where the fin width is the critical dimension, and the width w 1 , the width w 2 and the width w 3 are configured to provide fin CD optimizing. In some implementations, fin CD charge is a difference between a core fines CD (or core fines width) of the core area 12 and an I / O fin CD (or I / O fin width) of the I / O area 14 Are defined. The fin CD charge optimizes the spacing between the fins for etch processes used during gate formation when the fin CD charge is greater than o (in other words, fin CD charge = core fines CD). I / O fin CD ≥ o). For example, if the core finned CD is larger than the I / O fin CD (in other words, the fins 22A and the Finns 22B each have a width that is greater than a width of the fins 22C is (for example w 1 > w 3 and w 2 > w 3 )), is a distance S 1 (Distance) between adjacent fins 22A and / or a distance S 2 between neighboring fins 22B less than a distance S 3 between neighboring fins 22C (in other words, S 1 <S 3 and S 2 <S 3 ). In some implementations, the width is w 1 about 1 nm to about 30 nm, the width w 2 about 1 nm to about 30 nm and the width w 3 about 1 nm to about 30 nm. In some implementations, the distance is S 1 about 10 nm to about 30 nm, the distance S 2 about 10 nm to about 30 nm and the distance S 3 about 10 nm to about 30 nm. In continuation of the embodiment shown, the fins have 22A and the Finns 22B essentially the same width (in other words, w 1 ≈ w 2 ) and the fins 22A and the Finns 22B have substantially the same distance (in other words, S 1 ≈ S 2 ). In some implementations, the widths differ w 1 and / or the distance S 1 the Finns 22A from the width w 2 and / or the distance S 2 the Finns 22B , depending on the design requirements of the core area 12 , In the 1A-1C have the Finns 22A one height each h 1 and a length 1 ; Finns 22B each have a height h 2 and a length l 2 ; and the Finns 22C to have one height each h 3 and a length l 3 , In some implementations, the height is h 1 about 30 nm to about 150 nm, the height h 2 about 30 nm to about 150 nm and the height h 3 about 30 nm to about 150 nm. In some implementations, the length l 1 is about 30 nm to about 60 nm, the length l 2 about 30 nm to about 60 nm and the length l 3 about 30 nm to about 60 nm.

Ein Mittenabstand P1 der Finnenstruktur 20A bezeichnet allgemein eine Summe einer Breite w1 der Finnen 22A und eines Abstandes zwischen benachbarten Finnen 22A (wie S1 ) (mit anderen Worten ist P1 = w1 + S1). Ein Mittenabstand P2 der Finnenstruktur 20B bezeichnet allgemein eine Summe einer Breite w2 der Finnen 22B und eines Abstandes zwischen benachbarten Finnen 22B (wie S2 ) (mit anderen Worten ist P2 = w2 + S2). Ein Mittenabstand P3 der Finnenstruktur 20C bezeichnet allgemein eine Summe einer Breite w3 der Finnen 22C und eines Abstandes zwischen benachbarten Finnen 22C (wie S3 ) (mit anderen Worten ist P3 = w3 + S3). In der gezeigten Ausführungsform definieren der Mittenabstand P1 , der Mittenabstand P2 und der Mittenabstand P3 einen Mitte-Mitte-Abstand zwischen den Finnen. In einigen Implementierungen betragen der Mittenabstand P1 , der Mittenabstand P2 und der Mittenabstand P3 ungefähr 10 nm bis ungefähr 80 nm. In einigen Implementierungen beträgt der Mittenabstand P1 etwa 10 nm bis etwa 600 nm, der Mittenabstand P2 etwa 10 nm bis etwa 600 nm und der Mittenabstand P3 etwa 10 nm bis etwa 80 nm. In einigen Implementierungen haben die Finnenstrukturen 20A-20C ungefähr die gleichen Mittenabstände. In einigen Implementierungen haben die Finnenstrukturen 20A-20C unterschiedliche Mittenabstände. In einigen Implementierungen sind der Mittenabstand P1 , der Mittenabstand P2 und/oder der Mittenabstand P3 gleich einem minimalen Finnen-Mittenabstand (Pm ). In einigen Implementierungen bezeichnet der minimale Finnen-Mittenabstand allgemein einen kleinsten Finnen-Mittenabstand, der durch ein Lithographieverfahren und/oder ein Finnenherstellungsverfahren (beispielsweise Abscheidungs-, Lithographie- und/oder Ätzverfahren) eines gegebenen IC-Technologieknotens erreichbar ist. In einigen Implementierungen bezeichnet der minimale Finnen-Mittenabstand allgemein einen kleinsten Finnen-Mittenabstand, der durch Entwurfsanforderungen für die integrierte Schaltungsvorrichtung 10 spezifiziert ist.A center distance P 1 the fin structure 20A generally denotes a sum of a width w 1 the Finns 22A and a distance between adjacent fins 22A (as S 1 ) (in other words, P 1 = w 1 + S 1 ). A center distance P 2 the fin structure 20B generally denotes a sum of a width w 2 the Finns 22B and a distance between adjacent fins 22B (as S 2 ) (in other words, P 2 = w 2 + S 2 ). A center distance P 3 the fin structure 20C generally denotes a sum of a width w 3 the Finns 22C and a distance between adjacent fins 22C (as S 3 ) (in other words, P 3 = w 3 + S 3 ). In the embodiment shown, the center spacing define P 1 , the center distance P 2 and the center distance P 3 a middle-to-middle distance between the fins. In some implementations, the pitch is P 1 , the center distance P 2 and the center distance P 3 about 10 nm to about 80 nm. In some implementations, the pitch is P 1 about 10 nm to about 600 nm, the center distance P 2 about 10 nm to about 600 nm, and the center distance P 3 from about 10 nm to about 80 nm. In some implementations, the fin structures have 20A - 20C about the same center distances. In some implementations, the fin structures have 20A - 20C different center distances. In some implementations, the pitch is P 1 , the center distance P 2 and / or the center distance P 3 equal to a minimum fin pitch ( P m ). In some implementations, the minimum fin center distance generally refers to a minimum fin pitch that is achievable by a lithography process and / or a fin fabrication process (eg, deposition, lithography, and / or etching processes) of a given IC technology node. In some implementations, the minimum fin pitch generally refers to a smallest fin pitch that is dictated by design requirements for the integrated circuit device 10 is specified.

Jede der Finnen 22A-22C weist einen Mittenabstand benachbarter Finnen auf, der konfiguriert sein kann, um die Abstände zwischen den Finnen für Ätzverfahren weiter zu optimieren, die während der Gate-Ausbildung in dem Kernbereich 12 und dem I/O-Bereich 14 verwendet werden. Ein Mittenabstand benachbarter Finnen (Pbenachbart) ist eine Summe aus einem Mittenabstand der rechten Finne einer Finne (PR) und einem Mittenabstand der linken Finne einer Finne (PL ). Der Mittenabstand der rechten Finne PR definiert einen Mittenabstand zwischen einer Mitte einer Finne und einer Mitte einer angrenzenden (benachbarten) Finne, die rechts von der Finne angeordnet ist, und der Mittenabstand der linken Finne PL definiert einen Mittenabstand zwischen der Mitte der Finne und einer Mitte einer angrenzenden (benachbarten) Finne, die links von der Finne angeordnet ist. In einigen Implementierungen gehören die Finne und die benachbarte Finne zu derselben Finnenstruktur und/oder derselben FinFET-Vorrichtung. In einigen Implementierungen gehören die Finne und die benachbarte Finne zu unterschiedlichen Finnenstrukturen und/oder unterschiedlichen FinFET-Vorrichtungen. Der Kernbereich 12 weist Finnenstrukturen mit einen Mittenabstand benachbarter Finnen im Kern (PKern-benachbart) auf, der größer oder gleich dem Dreifachen des minimalen Finnen-Mittenabstands ist (mit anderen Worten ist PKern-benachbart ≥ 3Pm), und der I/O-Bereich 14 weist Finnenstrukturen mit einem Mittenabstand benachbarter Finnen im I/O (PIO-benachbart) auf, der kleiner oder gleich dem Zweifachen des minimalen Finnen-Mittenabstands ist (mit anderen Worten ist PIO-benachbart ≤ 2Pm). Zum Beispiel hat in dem Kernbereich 12 die am weitesten rechts liegende Finne 22A der Finnenstruktur 20A einen Mittenabstand der linken Finne, der gleich dem minimalen Finnen-Mittenabstand ist (PL = Pm), und einen Mittenabstand der rechten Finne, der gleich dem Zweifachen des minimalen Finnen-Mittenabstanden ist (PR = 2Pm), so dass ihr Mittenabstand benachbarter Finnen gleich dem Dreifachen des minimalen Finnen-Mittenabstands ist (mit anderen Worten ist P20A-benachbart = Pm + 2Pm = 3Pm). In einigen Implementierungen ist der Mittenabstand der rechten Finne der am weitesten rechts gelegenen Finne 22A größer als das Zweifache des minimalen Finnen-Mittenabstands und/oder der Mittenabstand der linken Finne der am weitesten rechts gelegenen Finne 22A ist kleiner als der minimale Finnen-Mittenabstand. Im Gegensatz dazu hat im I/O-Bereich 14 eine der mittleren Finnen 22C der Finnenstruktur 20C einen Mittenabstand der linken Finne, der gleich dem minimalen Finnen-Mittenabstand ist (PL = Pm), und einen Mittenabstand der rechten Finne, der gleich dem minimalen Finnen-Mittenabstand ist (PR = Pm), so dass ihr Mittenabstand benachbarter Finnen gleich dem Zweifachen des minimalen Finnen-Mittenabstand ist (mit anderen Worten ist P20C-benachbart = Pm + Pm = 2Pm). In einigen Implementierungen ist der Mittenabstand der linken Finne und/oder der Mittenabstand der rechten Finne der mittleren Finne 22C kleiner als der minimale Finnen-Mittenabstand. Da in der gezeigten Ausführungsform die Finnenstruktur 20A und die Finnenstruktur 20B zwei Finnen oder weniger aufweisen, weisen die Finnen 22A und die Finnen 22B jeweils einen Mittenabstand benachbarter Finnen auf, der den Mittenabstand der linken Finne und/oder den Mittenabstand der rechten Finne zwischen einer Finne einer ersten FinFET-Vorrichtung und einer Finne einer zweiten FinFET-Vorrichtung definiert. Da in Weiterführung der gezeigten Ausführungsform die Finnenstruktur 20C drei Finnen oder mehr aufweist, weisen die mittleren Finnen 22C jeweils einen Mittenabstand benachbarter Finnen auf, der den Mittenabstand der linken Finne und den Mittenabstand der rechten Finne zwischen Finnen der gleichen FinFET-Vorrichtung definiert.Each of the Finns 22A - 22C has a pitch of adjacent fins that may be configured to further optimize the gaps between the fins for etching processes that occur during gate formation in the core region 12 and the I / O area 14 be used. A pitch of adjacent fins (P adjacent ) is a sum of a center distance of the right fin of a fin (P R ) and a pitch of the left fin of a fin ( P L ). The center distance of the right fin P R defines a center distance between a center of a fin and a center of an adjacent (adjacent) fin, which is located to the right of the fin, and the center distance of the left fin P L defines a center distance between the center of the fin and a center of an adjacent (adjacent) fin located to the left of the fin. In some implementations, the fin and the adjacent fin belong to the same fin structure and / or the same FinFET device. In some implementations, the fin and the adjacent fin belong to different fin structures and / or different FinFET devices. The core area 12 has fin structures with a pitch of adjacent fins in the core (P core adjacent ) that is greater than or equal to three times the minimum fin pitch (in other words, P core adjacent is ≥ 3P m ), and the I / O area 14 has fin structures with a pitch of adjacent fins in the I / O (P IO adjacent ) that is less than or equal to twice the minimum fin pitch (in other words, P IO adjacent ≤ 2P m ). For example, in the core area 12 the farthest right fin 22A the fin structure 20A a center distance of the left fin, which is equal to the minimum fin center pitch (P L = P m ), and a center distance of the right fin, which is twice the minimum fin center pitch (P R = 2P m ), so that you Center pitch of adjacent fins is three times the minimum fin center pitch (in other words, P is 20A adjacent = P m + 2P m = 3P m ). In some implementations, the center distance of the right fin is the rightmost fin 22A greater than twice the minimum fin center distance and / or the center distance of the left fin of the rightmost fin 22A is less than the minimum fin center distance. In contrast, in the I / O area 14 one of the middle fins 22C the fin structure 20C a center distance of the left fin, which is equal to the minimum fin pitch (P L = P m ), and a pitch of the right fin, which is equal to the minimum fin center pitch (P R = P m ), so that their pitch is adjacent Fins is equal to twice the minimum fin pitch (in other words, P 20C - adjacent = Pm + Pm = 2P m ). In some implementations, the center distance of the left fin and / or the center distance of the right fin is the middle fin 22C less than the minimum fin center distance. As in the embodiment shown, the fin structure 20A and the fin structure 20B have two Finns or less, the Finns show 22A and the Finns 22B each have a pitch of adjacent fins, the center distance of the left fin and / or the center distance of the right fin between a fin of a first FinFET device and a fin of a second FinFET device defined. As a continuation of the embodiment shown, the fin structure 20C have three Finns or more, the middle Finns have 22C at a pitch of adjacent fins, which defines the pitch of the left fin and the pitch of the right fin between fins of the same FinFET device.

Die vorliegende Offenbarung betrachtet Abweichungen der Höhe, Breite und/oder Länge der Finnen 22A-22C, die sich aus der Verarbeitung und Herstellung der integrierten Schaltungsvorrichtung 10 ergeben können. In der gezeigten Ausführungsform weisen die Finnen 22A-22C verjüngte Breiten entlang ihrer jeweiligen Höhe auf, wobei die Breite w1 , die Breite w2 und die Breite w3 jeweils einen Mittelwert der variierenden Breiten repräsentieren. Zum Beispiel nehmen die Breiten der Finnen 22A-22C von den unteren Abschnitten der Finnen 22A-22C zu den oberen Abschnitten der Finnen 22A-22C ab, so dass die mittleren Breiten der oberen Abschnitte kleiner sind als die mittleren Breiten der unteren Abschnitte der Finnen 22A-22C. In einigen Implementierungen können die Breiten von etwa 5 nm bis etwa 15 nm entlang der Finnen 22A-22C variieren, abhängig davon, wo die Breiten entlang der Höhe der Finnen 22A-22C gemessen werden. In einigen Implementierungen variiert die Finnenbreite in Abhängigkeit von einer Position einer Finne relativ zu anderen Finnen und/oder relativ zu anderen Merkmalen der integrierten Schaltungsvorrichtung 10. Zum Beispiel ist für Finnenstruktur 20C die Breite w3 der mittleren Finne (in der gezeigten Ausführungsform umfasst die Finnenstruktur 20C vier mittlere Finnen 22C) größer als die Breite w3 der Randfinnen 22C (hier die am weitesten links stehenden Finne 22C und die am weitesten rechts stehende Finne 22C, die die vier mittleren Finnen 22C einschließen). In einem anderen Beispiel ist alternativ die Breite w3 der mittleren Finnen kleiner als die Breite w3 der Randfinnen. In beiden derartigen Implementierungen ist die Breite w3 kleiner als die Breite w1 und die Breite w2 . In Weiterführung einer solchen Implementierungen kann die Breite w3 der Randfinnen eine mittlere Breite der Randfinnen repräsentieren und die Breite w3 der mittleren Finnen kann eine mittlere Breite des mittleren Finnen repräsentieren. Obwohl die Finnen 22A-22C so gezeigt sind, dass sie eine sich verjüngende Breite aufweisen, weisen die Finnen 22A-22C in einigen Implementierungen im Wesentlichen die gleiche Breite entlang ihrer jeweiligen Höhe auf.The present disclosure contemplates deviations in height, width and / or length of the fins 22A - 22C arising from the processing and manufacture of the integrated circuit device 10 can result. In the embodiment shown, the fins 22A - 22C Tapered widths along their respective height, with the width w 1 , the width w 2 and the width w 3 each represent an average of the varying widths. For example, take the latitudes of the Finns 22A - 22C from the lower sections of the fins 22A - 22C to the upper sections of the fins 22A - 22C so that the average widths of the upper portions are smaller than the average widths of the lower portions of the fins 22A - 22C , In some implementations, the widths may be from about 5 nm to about 15 nm along the fins 22A - 22C vary, depending on where the widths are along the height of the Finns 22A - 22C be measured. In some implementations, the fin width varies depending on a position of a fin relative to other fins and / or relative to other features of the integrated circuit device 10 , For example, is for fin structure 20C the width w 3 the middle fin (in the embodiment shown, the fin structure comprises 20C four middle fins 22C) larger than the width w 3 the edge fins 22C (here the leftmost Finn 22C and the farthest right fin 22C representing the four middle Finns 22C lock in). In another example, alternatively, the width w 3 of the middle fins is smaller than the width w 3 the edge fins. In both such implementations, the width is w 3 smaller than the width w 1 and the width w 2 , In continuation of such implementations, the width w 3 the edge fins represent a mean width of the edge fins and the width w 3 the middle fin may represent a mean width of the middle fin. Although the Finns 22A - 22C are shown to have a tapered width, the fins have 22A - 22C in some implementations, essentially the same width along their respective height.

Die Finnen 22A-22C werden unter Verwendung irgendeines geeigneten Verfahrens über dem Substrat 16 ausgebildet. In einigen Implementierungen wird eine Kombination von Abscheidungs-, Lithographie- und/oder Ätzverfahren durchgeführt, um die Finnen 22A-22C zu definieren, die sich aus dem Substrat 16 erstrecken, wie in den 1A-1C gezeigt ist. Zum Beispiel umfasst das Ausbilden der Finnen 22A-22C das Durchführen eines Lithographieverfahrens zum Ausbilden einer strukturierten Resistschicht über dem Substrat 16 (oder einer Materialschicht, wie einer Heterostruktur, die über dem Substrat 16 angeordnet ist) und das Durchführen eines Ätzverfahrens zum Übertragen einer Struktur, die in der strukturierten Resistschicht definiert ist, auf das Substrat 16 (oder die Materialschicht, wie die Heterostruktur, die über dem Substrat 16 angeordnet ist). Das Lithographieverfahren kann das Ausbilden einer Resistschicht auf dem Substrat 16 (zum Beispiel durch Rotationsbeschichtung), das Durchführen eines Vorbelichtungs-Backverfahrens, das Durchführen eines Belichtungsverfahrens unter Verwendung einer Maske, das Durchführen eines Nachbelichtungs-Backverfahrens und das Durchführen eines Entwicklungsverfahrens umfassen. Während des Belichtungsverfahrens wird die Resistschicht mit Strahlungsenergie (wie ultraviolettem (UV-) Licht, tiefem UV-Licht (DUV) oder extremem UV-Licht (EUV)) bestrahlt, wobei die Maske Strahlung auf die Resistschicht blockiert, durchlässt und/oder reflektiert, in Abhängigkeit von einer Maskenstruktur der Maske und/oder des Maskentyps (zum Beispiel einer binären Maske, einer Phasenverschiebungsmaske oder einer EUV-Maske), so dass ein Bild auf die Resistschicht projiziert wird, das der Maskenstruktur entspricht. Da die Resistschicht für Strahlungsenergie empfindlich ist, ändern sich freiliegende Abschnitte der Resistschicht chemisch, und belichtete (oder nicht belichtete) Abschnitte der Resistschicht werden während des Entwicklungsverfahrens in Abhängigkeit von den Eigenschaften der Resistschicht und den Eigenschaften der Entwicklungslösung aufgelöst, die im Entwicklungsverfahren verwendet werden. Nach dem Entwickeln umfasst die strukturierte Resistschicht eine Resiststruktur, die der Maske entspricht. Das Ätzverfahren verwendet die strukturierte Resistschicht als Ätzmaske, um Teile des Substrats 16 (oder einer Materialschicht, die über dem Substrat 16 angeordnet ist) zu entfernen. Das Ätzverfahren kann ein Trockenätzverfahren (zum Beispiel eine reaktives Ionenätz- (RIE) -Verfahren), ein Nassätzverfahren, ein anderes geeignetes Ätzverfahren oder Kombinationen davon umfassen. Nach dem Ätzverfahren wird die strukturierte Resistschicht von dem Substrat 16 entfernt, beispielsweise durch ein Resist-Ablöseverfahren. Alternativ werden die Finnen 22A-22C durch ein Mehrfachstrukturierungsverfahren ausgebildet, wie beispielsweise ein Doppelstrukturierungs-Lithographie- (DPL) -Verfahren (zum Beispiel ein Lithographie-Ätz-Lithographie-Ätz- (LELE) -Verfahren, ein selbstjustiertes Doppelstrukturierungs- (SADP) -Verfahren, ein Abstandshalter-als-Dielektrikum-(SID) -SADP-Verfahren, ein anderes Doppelstrukturierungsverfahren oder Kombinationen davon), ein Tripelstrukturierungsverfahren (zum Beispiel ein Lithographie-Ätz-Lithographie-Ätz-Lithographie-Ätz- (LELELE) -Verfahren, ein selbstjustiertes Triplestrukturierungs- (SATP) -Verfahren, ein anderes Tripelstrukturierungsverfahren oder Kombinationen davon), ein anderes Mehrfachstrukturierungsverfahren (zum Beispiel ein selbstjustiertes Quadrupelstrukturierungs- (SAQP) -Verfahren) oder Kombinationen davon. In einigen Implementierungen werden gerichtete Selbstanordnungs- (DSA) -Techniken implementiert, während die Finnen 22A-22C ausgebildet werden. Ferner kann das Belichtungsverfahren in einigen Implementierungen maskenlose Lithographie, Elektronenstrahl- (E-Beam) -Schreiben, Ionenstrahlschreiben und/oder Nanodruck-Technologie zum Strukturieren der Resistschicht implementieren.Finns 22A - 22C are grown over the substrate using any suitable method 16 educated. In some implementations, a combination of deposition, lithography, and / or etching processes is performed on the fins 22A - 22C to define themselves from the substrate 16 extend, as in the 1A-1C is shown. For example, forming the fins includes 22A - 22C performing a lithography process to form a patterned resist layer over the substrate 16 (or a material layer, such as a heterostructure, over the substrate 16 and performing an etching process for transferring a pattern defined in the patterned resist layer to the substrate 16 (or the material layer, like the heterostructure, over the substrate 16 is arranged). The lithographic process may include forming a resist layer on the substrate 16 (for example, by spin-coating), performing a pre-exposure baking process, performing an exposure process using a mask, performing a post-exposure baking process, and performing a developing process. During the exposure process, the resist layer is irradiated with radiant energy (such as ultraviolet (UV) light, deep UV light (DUV), or extreme UV light (EUV)), which mask blocks, transmits, and / or reflects radiation onto the resist layer. depending on a mask structure of the mask and / or the mask type (for example, a binary mask, a phase shift mask or an EUV mask), so that an image is projected onto the resist layer corresponding to the mask pattern. Since the resist layer is sensitive to radiant energy, exposed portions of the resist layer chemically change, and exposed (or unexposed) portions of the resist layer are dissolved during the development process depending on the properties of the resist layer and the characteristics of the developing solution used in the development process. After development, the patterned resist layer comprises a resist pattern corresponding to the mask. The etching process uses the patterned resist layer as an etch mask around portions of the substrate 16 (or a layer of material over the substrate 16 is arranged) to remove. The etching process may include a dry etching process (for example, a reactive ion etching (RIE) process), a wet etching process, another suitable etching process, or combinations thereof. After the etching process, the patterned resist layer becomes from the substrate 16 removed, for example by a resist-stripping method. Alternatively, the Finns 22A - 22C formed by a multiple patterning process, such as a double pattern lithography (DPL) process (e.g., a lithographic etch-lithography etch (LELE) process, a self-aligned double-textured (SADP) process, a spacer-as- Dielectric (SID) SADP method, another double patterning method or combinations thereof), a triple patterning method (for example, a lithography-etching-lithography-etching-lithography-etching (LELELE) method, a self-aligned Triplicate structuring (SATP) method, another triple structuring method or combinations thereof), another multiple structuring method (for example, a self-aligned quadruple structuring (SAQP) method) or combinations thereof. In some implementations, directed self-assembly (DSA) techniques are implemented, while the fins 22A - 22C be formed. Further, in some implementations, the exposure method may implement maskless lithography, e-beam writing, ion beam writing, and / or nanoprinting technology for patterning the resist layer.

In einigen Implementierungen umfasst eine Struktur, die in der strukturierten Resistschicht (oder der strukturierten Maskenschicht) definiert ist, erste Öffnungen mit einer ersten Breite zum Definieren der Finnenstruktur 20A und der Finnenstruktur 20B und zweite Öffnungen mit einer zweiten Breite zum Definieren der Finnenstruktur 20C, wobei die erste Breite größer als die zweite Breite ist. In solchen Implementierungen verwendet ein Ätzverfahren dann die strukturierte Resistschicht als Ätzmaske, um Teile des Substrats 16 (oder einer über dem Substrat 16 angeordneten Materialschicht) zu entfernen, so dass die Finnen 22A-22C mit Finnen-CD-Ladung zwischen dem Kernbereich 12 und dem I/O-Bereich 14 wie hierin beschrieben hergestellt werden. In einigen Implementierungen umfasst eine Struktur, die in der strukturierten Resistschicht (oder strukturierten Maskenschicht) definiert ist, erste Öffnungen, zweite Öffnungen und dritte Öffnungen zum Definieren der Finnenstruktur 20A, der Finnenstruktur 20B und der Finnenstruktur 20C, wobei die ersten Öffnungen, die zweiten Öffnungen und die dritten Öffnungen die gleiche Breite haben. In solchen Implementierungen verwendet ein Ätzverfahren dann die strukturierte Resistschicht als Ätzmaske, um Teile des Substrats 16 (oder einer Materialschicht, die über dem Substrat 16 angeordnet ist) zu entfernen, so dass die Finnen 22A-22C die gleiche Breite aufweisen. In Weiterführung solcher Implementierungen wird dann ein Trimmverfahren durchgeführt, um die Finnenstruktur 20C zu trimmen, wodurch eine Breite der Finnen 22C verringert wird, so dass die Finnen 22A-22C mit einer Finnen-CD-Ladung zwischen dem Kernbereich 12 und dem I/O-Bereich 14 wie hierin beschrieben hergestellt werden. Das Trimmverfahren implementiert jedes geeignete Verfahren zum Verringern der Abmessung der Finnen 22C. Zum Beispiel umfasst das Trimmverfahren in einigen Implementierungen ein Ätzverfahren, das die Finnen 22C relativ zu anderen Merkmalen der integrierten Schaltungsvorrichtung 10 selektiv ätzen kann. Das Ätzverfahren ist ein Trockenätzverfahren, ein Nassätzverfahren oder Kombinationen davon. In einigen Implementierungen implementiert ein Nassätzverfahren eine Ätzlösung, die Ammoniumhydroxid (NH4OH), Wasserstoffperoxid (H2O2), Schwefelsäure (H2SO4), Tetramethylammoniumhydroxid (TMAH), eine andere geeignete Nassätzlösung oder Kombinationen davon umfasst. Zum Beispiel kann die Nassätzlösung eine NH4OH:H2O2-Lösung, eine NH4OH: H2O2:H2O-Lösung (bekannt als Ammoniak-Peroxid-Mischung (APM)) oder eine H2SO4:H2O2-Lösung (bekannt als Schwefel-Peroxid-Mischung (SPM)) verwenden. In einigen Implementierungen implementiert ein Trockenätzverfahren ein Ätzgas, das ein fluorhaltiges Ätzgas (z. B. CF4, SF6, CH2F2, CHF3 und/oder C2F6), ein sauerstoffhaltiges Gas, ein chlorhaltiges Gas (beispielsweise Cl2, CHCl3, CCl4 und/oder BCl3), ein bromhaltiges Gas (beispielsweise HBr und/oder CHBr3), ein jodhaltiges Gas andere geeignete Gase und/oder Plasmen oder Kombinationen davon umfasst. In einigen Implementierungen implementiert das Trimmverfahren ein Oxidationsverfahren. Zum Beispiel kann das Trimmverfahren die Finnen 22C einer Ozonumgebung aussetzen, wodurch ein Teil der Finnen 22C oxidiert wird, der anschließend durch ein Reinigungsverfahren und/oder ein Ätzverfahren entfernt wird.In some implementations, a structure defined in the patterned resist layer (or patterned mask layer) includes first openings having a first width for defining the fin structure 20A and the fin structure 20B and second openings having a second width for defining the fin structure 20C wherein the first width is greater than the second width. In such implementations, an etching process then uses the patterned resist layer as an etch mask around portions of the substrate 16 (or one above the substrate 16 arranged material layer), so that the fins 22A - 22C with fin CD loading between the core area 12 and the I / O area 14 as described herein. In some implementations, a structure defined in the patterned resist layer (or patterned mask layer) includes first openings, second openings, and third openings for defining the fin structure 20A , the fin structure 20B and the fin structure 20C wherein the first openings, the second openings and the third openings have the same width. In such implementations, an etching process then uses the patterned resist layer as an etch mask around portions of the substrate 16 (or a layer of material over the substrate 16 is arranged), so that the fins 22A - 22C have the same width. Continuing with such implementations, a trim method is then performed to determine the fin structure 20C to trim, reducing a width of the fins 22C is reduced so that the Finns 22A - 22C with a fin CD charge between the core area 12 and the I / O area 14 as described herein. The trim method implements any suitable method of reducing the size of the fins 22C , For example, in some implementations, the trim method includes an etching process that includes the fins 22C relative to other features of the integrated circuit device 10 can etch selectively. The etching method is a dry etching method, a wet etching method or combinations thereof. In some implementations, a wet etching process implements an etching solution comprising ammonium hydroxide (NH 4 OH), hydrogen peroxide (H 2 O 2 ), sulfuric acid (H 2 SO 4 ), tetramethylammonium hydroxide (TMAH), another suitable wet etching solution, or combinations thereof. For example, the wet etch solution may be a NH 4 OH: H 2 O 2 solution, an NH 4 OH: H 2 O 2 : H 2 O solution (known as an ammonia peroxide mixture (APM)) or an H 2 SO 4 Use H 2 O 2 solution (known as sulfur peroxide mixture (SPM)). In some implementations, a dry etching process implements an etching gas comprising a fluorine-containing etching gas (e.g., CF 4 , SF 6 , CH 2 F 2 , CHF 3, and / or C 2 F 6 ), an oxygen-containing gas, a chlorine-containing gas (e.g., Cl 2 , CHCl 3 , CCl 4 and / or BCl 3 ), a bromine-containing gas (eg HBr and / or CHBr 3 ), an iodine-containing gas, other suitable gases and / or plasmas or combinations thereof. In some implementations, the trim method implements an oxidation method. For example, the trim method may be the fins 22C exposing it to an ozone environment, causing some of the fins 22C is oxidized, which is subsequently removed by a cleaning process and / or an etching process.

Bezugnehmend auf die 2A-2C ist 2A eine Draufsicht der integrierten Schaltungsvorrichtung 10, 2B eine fragmentarische Querschnittsansicht der integrierten Schaltungsvorrichtung 10 entlang der Linie 2B-2B von 2A und 2C eine fragmentarische Querschnittsansicht der integrierten Schaltungsvorrichtung 10 entlang der Linie 2C-2C von 2A. Ein oder mehrere Isolationsmerkmale 30 sind über und/oder in dem Substrat 16 ausgebildet, um verschiedene Bereiche, wie verschiedene Vorrichtungsbereiche, der integrierten Schaltungsvorrichtung 10 zu isolieren. Zum Beispiel trennt und isoliert das Isolationsmerkmal 30 den Kernbereich 12 von dem I/O-Bereich 14. Das Isolationsmerkmal 30 trennt und isoliert die Finnen 22A-22C voneinander. In der gezeigten Ausführungsform umgibt das Isolationsmerkmal 30 einen Bodenbereich der Finnen 22A-22C. Das Isolationsmerkmal 30 umfasst Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid, ein anderes geeignetes Isolationsmaterial (das zum Beispiel Silizium, Sauerstoff, Stickstoff, Kohlenstoff oder ein anderes geeignetes Isolationselement aufweist) oder Kombinationen davon. Das Isolationsmerkmal 30 kann unterschiedliche Strukturen umfassen, wie flache Grabenisolations- (STI) -Strukturen, tiefe Grabenisolations- (DTI) -Strukturen und/oder lokale Oxidation von Silizium- (LOCOS) -Strukturen. In einigen Implementierungen können die STI-Merkmale durch Abscheiden eines Isolatormaterials über dem Substrat 16 nach dem Ausbilden der Finnen 22A-22C (in einigen Implementierungen derart, dass die Isolatormaterialschicht Lücken (Gräben) zwischen den Finnen 22A-22C füllt) und Zurückätzen der Isolatormaterialschicht ausgebildet werden, um das Isolationsmerkmal 30 auszubilden. In einigen Implementierungen können die STI-Merkmale durch Ätzen eines Grabens in dem Substrat 16 (zum Beispiel unter Verwendung eines Trockenätzverfahrens und/oder eines Nassätzverfahrens) und Füllen des Grabens mit Isolatormaterial (zum Beispiel durch Verwenden eines chemischen Gasphasenabscheidungsverfahrens oder eines Spin-on-Glas-Verfahrens) ausgebildet werden. Ein chemisch-mechanisches Polier-(CMP) -Verfahren kann durchgeführt werden, um überschüssiges Isolatormaterial zu entfernen und/oder eine obere Fläche des Isolationsmerkmals 30 zu planarisieren. In einigen Implementierungen umfasst das Isolationsmerkmal 30 eine Mehrschichtstruktur, die Gräben füllt, wie etwa eine dielektrische Bulk-Schicht, die über einer dielektrischen Auskleidungsschicht angeordnet ist, wobei die dielektrische Bulk-Schicht und die dielektrische Auskleidungsschicht Materialien abhängig von Entwurfsanforderungen umfassen (zum Beispiel eine dielektrische Bulk-Schicht, die Siliziumnitrid umfasst, die über einer dielektrischen Auskleidungsschicht angeordnet ist, die thermisches Oxid umfasst). In einigen Implementierungen umfasst das Isolationsmerkmal 30 eine dielektrische Schicht, die über einer dotierten Auskleidungsschicht (die zum Beispiel Borsilikatglas (BSG) oder Phosphorsilikatglas (PSG) aufweist) angeordnet ist.Referring to the 2A-2C is 2A a plan view of the integrated circuit device 10 . 2 B a fragmentary cross-sectional view of the integrated circuit device 10 along the line 2 B - 2 B from 2A and 2C a fragmentary cross-sectional view of the integrated circuit device 10 along the line 2C - 2C from 2A , One or more isolation features 30 are above and / or in the substrate 16 formed to various areas, such as various device areas, the integrated circuit device 10 to isolate. For example, the isolation feature separates and isolates 30 the core area 12 from the I / O area 14 , The isolation feature 30 separates and isolates the Finns 22A - 22C from each other. In the embodiment shown, the isolation feature surrounds 30 a bottom area of the fins 22A - 22C , The isolation feature 30 includes silicon oxide, silicon nitride, silicon oxynitride, another suitable insulating material (comprising, for example, silicon, oxygen, nitrogen, carbon or other suitable insulating element) or combinations thereof. The isolation feature 30 may include different structures, such as shallow trench isolation (STI) structures, deep trench isolation (DTI) structures, and / or local oxidation of silicon (LOCOS) structures. In some implementations, the STI features may be deposited by depositing an insulator material over the substrate 16 after forming the fins 22A - 22C (In some implementations, such that the insulator material layer has gaps (trenches) between the fins 22A - 22C fills) and etch back the insulator material layer to form the isolation feature 30 train. In some implementations, the STI features may be obtained by etching a trench in the substrate 16 (for example, under Using a dry etching method and / or a wet etching method) and filling the trench with insulator material (for example, by using a chemical vapor deposition method or a spin on glass method). A chemical mechanical polishing (CMP) process may be performed to remove excess insulator material and / or an upper surface of the insulative feature 30 to planarize. In some implementations, the isolation feature includes 30 a multi-layer structure that fills trenches, such as a bulk dielectric layer disposed over a dielectric liner layer, wherein the bulk dielectric layer and the dielectric liner layer include materials depending on design requirements (eg, a bulk dielectric layer comprising silicon nitride) disposed over a dielectric lining layer comprising thermal oxide). In some implementations, the isolation feature includes 30 a dielectric layer disposed over a doped liner layer (eg, comprising borosilicate glass (BSG) or phosphosilicate glass (PSG)).

Bezugnehmend auf die 3A-3C ist 3A eine Draufsicht der integrierten Schaltungsvorrichtung 10, 3B eine fragmentarische Querschnittsansicht der integrierten Schaltungsvorrichtung 10 entlang der Linie 3B-3B von 3A und 3C eine fragmentarische Querschnittsansicht der integrierten Schaltungsvorrichtung 10 entlang der Linie 3C-3C von 3A. Eine Gateschicht 40 ist über den Finnenstrukturen 20A-20C ausgebildet, die jeweils in dem Kernbereich 12 und dem I/O-Bereich 14 angeordnet ist. Zum Beispiel wird mindestens ein Abscheidungsverfahren durchgeführt, um die Gateschicht 40 über dem Substrat 16 auszubilden, insbesondere über den Finnenstrukturen 20A-20C und dem Isolationsmerkmal 30. Die Gateschicht 40 füllt Lücken zwischen den Finnenstrukturen 20A-20C und füllt Lücken zwischen den Finnen 22A-22C. Das Abscheidungsverfahren umfasst chemische Gasphasenabscheidung (CVD), physikalische Gasphasenabscheidung (PVD), Atomlagenabscheidung (ALD), hochdichte Plasma-CVD (HDPCVD), metallorganische CVD (MOCVD), entfernte Plasma-CVD (RPCVD), plasmaunterstützte CVD (PECVD), Niederdruck-CVD (LPCVD), Atomlagen-CVD (ALCVD), Atmosphärendruck-CVD (APCVD), Plattieren, andere geeignete Verfahren oder Kombinationen davon. Danach kann ein CMP-Verfahren durchgeführt werden, um eine obere Fläche der Gateschicht 40 zu planarisieren. In der gezeigten Ausführungsform umfasst die Gateschicht 40 eine Polysiliziumschicht. In einigen Implementierungen umfasst die Gateschicht 40 ferner eine Grenzflächenschicht (die ein Dielektrikum wie zum Beispiel Siliziumoxid umfasst), die zwischen den Finnenstrukturen 20A-20C und der Polysiliziumschicht angeordnet ist. In einigen Implementierungen ist die Gateschicht 40 ein Dummy-Gatestapel, der eine Dummy-Gateelektrode und eine Dummy-Gatedielektrikumsschicht umfasst. In solchen Implementierungen wird ein Abscheidungsverfahren durchgeführt, um eine Dummy-Gatedielektrikumsschicht über dem Substrat 16 auszubilden, und ein Abscheidungsverfahren wird durchgeführt, um eine Dummy-Gateelektrodenschicht über der Gatedielektrikumsschicht auszubilden. In Weiterführung solcher Implementierungen umfasst die Dummy-Gateelektrode Polysilizium oder ein anderes geeignetes Dummy-Gatematerial und das Dummy-Gatedielektrikum umfasst ein Dielektrikum (z. B. Siliziumoxid), ein High-k-Dielektrikum, ein anderes geeignetes Dielektrikum oder Kombinationen davon. Beispiele für High-k-Dielektrika umfassen HfO2, HfSiO, HfSiON, HfTaO, HfTiO, HfZrO, Zirkoniumoxid, Aluminiumoxid, Hafniumdioxid-Aluminiumoxid- (HfO2-Al2O3) -Legierung, andere geeignete High-k-Dielektrika oder Kombinationen davon. Die Gateschicht 40 kann zahlreiche weitere Schichten umfassen, beispielsweise Deckschichten, Grenzflächenschichten, Diffusionsschichten, Sperrschichten, Hartmaskenschichten oder Kombinationen davon. In einem Beispiel ist eine Deckschicht, wie etwa eine Titannitrid- (TiN-) Deckschicht, zwischen dem Dummy-Gatedielektrikum (oder dem Substrat 16, wenn das Dummy-Gatedielektrikum weggelassen wird) und der Dummy-Gateelektrode angeordnet.Referring to the 3A-3C is 3A a plan view of the integrated circuit device 10 . 3B a fragmentary cross-sectional view of the integrated circuit device 10 along the line 3B - 3B from 3A and 3C a fragmentary cross-sectional view of the integrated circuit device 10 along the line 3C - 3C from 3A , A gate layer 40 is above the fin structures 20A - 20C formed, each in the core area 12 and the I / O area 14 is arranged. For example, at least one deposition process is performed on the gate layer 40 above the substrate 16 form, especially over the fin structures 20A - 20C and the isolation feature 30 , The gate layer 40 fills gaps between the fin structures 20A - 20C and fill in gaps between the Finns 22A - 22C , The deposition process includes chemical vapor deposition (CVD), physical vapor deposition (PVD), atomic layer deposition (ALD), high density plasma CVD (HDPCVD), organometallic CVD (MOCVD), remote plasma CVD (RPCVD), plasma assisted CVD (PECVD), low pressure CVD (LPCVD), atomic layer CVD (ALCVD), atmospheric pressure CVD (APCVD), plating, other suitable methods or combinations thereof. Thereafter, a CMP process may be performed to form an upper surface of the gate layer 40 to planarize. In the embodiment shown, the gate layer comprises 40 a polysilicon layer. In some implementations, the gate layer includes 40 an interface layer (comprising a dielectric such as silicon oxide) interposed between the fin structures 20A - 20C and the polysilicon layer is disposed. In some implementations, the gate layer is 40 a dummy gate stack including a dummy gate electrode and a dummy gate dielectric layer. In such implementations, a deposition process is performed to apply a dummy gate dielectric layer over the substrate 16 and a deposition process is performed to form a dummy gate electrode layer over the gate dielectric layer. Continuing such implementations, the dummy gate electrode comprises polysilicon or other suitable dummy gate material, and the dummy gate dielectric comprises a dielectric (eg, silicon oxide), a high-k dielectric, another suitable dielectric, or combinations thereof. Examples of high-k dielectrics include HfO 2 , HfSiO, HfSiON, HfTaO, HfTiO, HfZrO, zirconia, alumina, hafnia-alumina (HfO 2 -Al 2 O 3 ) alloy, other suitable high-k dielectrics, or combinations from that. The gate layer 40 may include numerous other layers, for example, overlays, interfacial layers, diffusion layers, barrier layers, hardmask layers, or combinations thereof. In one example, a capping layer, such as a titanium nitride (TiN) capping layer, is sandwiched between the dummy gate dielectric (or substrate) 16 when the dummy gate dielectric is omitted) and the dummy gate electrode are arranged.

Bezugnehmend auf die 4A-4C ist 4A eine Draufsicht der integrierten Schaltungsvorrichtung 10, 4B eine fragmentarische Querschnittsansicht der integrierten Schaltungsvorrichtung 10 entlang der Linie 4B-4B von 4A, 4C eine fragmentarische Querschnittsansicht der integrierten Schaltungsvorrichtung 10 entlang der Linie 4C-4C von 4A, 4D eine fragmentarische Querschnittsansicht der integrierten Schaltungsvorrichtung 10 entlang der Linie 4D-4D von 4A und 4E eine fragmentarische Querschnittsansicht der integrierten Schaltungsvorrichtung 10 entlang der Linie 4E-4E von 4A. In den 4A-4E ist eine Strukturierungsschicht 50 über der Gateschicht 40 ausgebildet, wobei die Strukturierungsschicht 50 Öffnungen 52 umfasst, die Teile der Gateschicht 40 im Kernbereich 12 freilegen, und Öffnungen 54, die Teile der Gateschicht 40 im I/O-Bereich 14 freilegen. In der gezeigten Ausführungsform umfasst die Strukturierungsschicht 50 eine Maskenschicht 56, die über der Gateschicht 40 angeordnet ist, und eine Resistschicht 58 (auch als Photoresistschicht, lichtempfindliche Schicht, Imaging-Schicht, Strukturierungsschicht oder strahlungsempfindliche Schicht bezeichnet), die über der Maskenschicht 56 angeordnet ist. Die Maskenschicht 56 umfasst ein Material mit einer anderen Ätzrate als ein Material der Gateschicht 40. Zum Beispiel umfasst die Maskenschicht 56 Siliziumnitrid, Siliziumoxid, Siliziumoxinitrid, Siliziumkarbid, Siliziumkarbonitrid, ein anderes geeignetes Material oder Kombinationen davon. In einigen Implementierungen umfasst die Maskenschicht 56 ein Material, das verglichen mit einem Material der Gateschicht 40 eine niedrige Ätzrate aufweist, so dass die Gateschicht 40 gegenüber der Maskenschicht 56 selektiv geätzt werden kann. Die Maskenschicht 56 wird durch irgendein geeignetes Verfahren, etwa ein CVD-Verfahren, mit irgendeiner geeigneten Dicke ausgebildet. In einigen Implementierungen wird eine siliziumhaltige und stickstoffhaltige Maskenschicht unter Verwendung von LPCVD ausgebildet. In einigen Implementierungen wird eine siliziumhaltige und stickstoffhaltige Maskenschicht ausgebildet, indem ein Verfahren durchgeführt wird, das eine thermische Nitrierung einer siliziumhaltigen Schicht umfasst. Die Resistschicht 58 umfasst ein beliebiges geeignetes Resistmaterial. Die Strukturierungsschicht 50 wird durch ein Lithografie-Strukturierungsverfahren ausgebildet, das Resistbeschichtung (zum Beispiel Rotationsbeschichtung), Weichbacken, Maskenausrichtung, Belichtung, Nachbelichtungsbacken, Entwickeln, Spülen, Trocknen (zum Beispiel Hartbacken), andere geeignete Verfahren oder Kombinationen davon umfasst. Alternativ wird das Lithographie-Belichtungsverfahren durch andere Verfahren wie maskenlose Lithographie, Elektronenstrahlschreiben oder Ionenstrahlschreiben unterstützt, implementiert oder ersetzt. In noch einer weiteren Alternative implementiert das Lithographie-Strukturierungsverfahren Nanopräge-Technologie. In einigen Implementierungen implementiert das Lithographieverfahren ein Ätzverfahren, wie z. B. ein Trockenätzen, Nassätzen, ein anderes Ätzverfahren oder Kombinationen davon. Ein Spülverfahren, wie beispielsweise ein deionisiertes (DI-) Wasserspülen, kann vor dem Ausbilden der Strukturierungsschicht 50 auf die Gateschicht 40 angewendet werden.Referring to the 4A-4C is 4A a plan view of the integrated circuit device 10 . 4B a fragmentary cross-sectional view of the integrated circuit device 10 along the line 4B - 4B from 4A . 4C a fragmentary cross-sectional view of the integrated circuit device 10 along the line 4C - 4C from 4A . 4D a fragmentary cross-sectional view of the integrated circuit device 10 along the line 4D - 4D from 4A and 4E a fragmentary cross-sectional view of the integrated circuit device 10 along the line 4E - 4E from 4A , In the 4A-4E is a structuring layer 50 over the gate layer 40 formed, wherein the structuring layer 50 openings 52 includes the parts of the gate layer 40 in the core area 12 expose, and openings 54 , the parts of the gate layer 40 in the I / O area 14 uncover. In the embodiment shown, the structuring layer comprises 50 a mask layer 56 that over the gate layer 40 is arranged, and a resist layer 58 (also referred to as a photoresist layer, photosensitive layer, imaging layer, patterning layer or radiation-sensitive layer) overlying the mask layer 56 is arranged. The mask layer 56 includes a material having a different etch rate than a material of the gate layer 40 , For example, the mask layer comprises 56 Silicon nitride, silicon oxide, silicon oxynitride, silicon carbide, Silicon carbonitride, another suitable material, or combinations thereof. In some implementations, the mask layer includes 56 a material that compared to a material of the gate layer 40 has a low etch rate, leaving the gate layer 40 opposite the mask layer 56 can be selectively etched. The mask layer 56 is formed by any suitable method, such as a CVD method, of any suitable thickness. In some implementations, a silicon-containing and nitrogen-containing mask layer is formed using LPCVD. In some implementations, a silicon-containing and nitrogen-containing mask layer is formed by performing a method comprising thermal nitriding a silicon-containing layer. The resist layer 58 includes any suitable resist material. The structuring layer 50 is formed by a lithographic patterning process that includes resist coating (eg, spin coating), soft baking, mask alignment, exposure, post exposure baking, developing, rinsing, drying (eg, hard baking), other suitable methods, or combinations thereof. Alternatively, the lithography exposure process is assisted, implemented or replaced by other methods such as maskless lithography, electron beam writing or ion beam writing. In yet another alternative, the lithographic patterning technique implements nanoprinting technology. In some implementations, the lithography process implements an etching process, such as an etching process. Dry etching, wet etching, another etching process, or combinations thereof. A rinse process, such as deionized (DI) water rinse, may be performed prior to forming the patterning layer 50 on the gate layer 40 be applied.

Bezugnehmend auf die 5A-5C ist 5A eine Draufsicht der integrierten Schaltungsvorrichtung 10, 5B eine fragmentarische Querschnittsansicht der integrierten Schaltungsvorrichtung 10 entlang der Linie 5B-5B von 5A, 5C eine fragmentarische Querschnittsansicht der integrierten Schaltungsvorrichtung 10 entlang der Linie 5C-5C von 5A, 5D eine fragmentarische Querschnittsansicht der integrierten Schaltungsvorrichtung 10 entlang der Linie 5D-5D von 5A und 5E eine fragmentarische Querschnittsansicht der integrierten Schaltungsvorrichtung 10 entlang der Linie 5E-5E von 5A. In den 5A-5E wird ein Ätzverfahren unter Verwendung der Strukturierungsschicht 50 als Maske durchgeführt, um freiliegende Teile der Gateschicht 40 zu entfernen, wodurch Gatestrukturen 40A-40H ausgebildet werden. Das Ätzverfahren kann ein Trockenätzverfahren (zum Beispiel ein reaktives Ionenätz- (RIE) -Verfahren), ein Nassätzverfahren, ein anderes geeignetes Ätzverfahren oder Kombinationen davon umfassen. Das Ätzverfahren ist nicht in der Lage, freiliegende Teile der Gateschicht 40 in den Öffnungen 54 in dem I/O-Bereich 14 vollständig zu entfernen, wodurch verbleibende Gateschichtanteile 40' zwischen den Finnen 22C verbleiben. Die Gatestrukturen 40F-40H haben somit Breiten, die entlang ihrer Länge und Höhe variieren, während die Gatestrukturen 40A-40E Breiten haben, die im Wesentlichen entlang ihrer Länge und Höhe gleich sind. In 5A ist entlang ihrer Länge eine Breite der Gatestrukturen 40F-40H, die über den Finnen 22C angeordnet sind, kleiner als eine Breite der Gatestrukturen 40F-40H, die zwischen den Finnen 22C angeordnet sind. Entlang ihrer Höhe haben die Gatestrukturen 40F-40H verjüngte Breiten. Zum Beispiel hat in 5E ein Abschnitt einer Gatestruktur 40G, der zwischen den Finnen 22C angeordnet ist, eine Breite, die entlang seiner Höhe abnimmt, so dass eine Breite des Abschnitts der Gatestruktur 40G nahe dem Isolationsmerkmal 30 (oder dem Substrat 16) größer ist als eine Breite des Abschnitts der Gatestruktur 40G in der Nähe der Strukturierungsschicht 50. In einigen Implementierungen ist eine Breite des Abschnitts der Gatestruktur 40G über einer oberen Fläche der Finnenstruktur 22C entlang ihrer Höhe im Wesentlichen gleich, während eine Breite des Abschnitts der Gatestruktur 40G unter der oberen Fläche der Finnenstrukturen 22C entlang ihrer Höhe abnimmt. In herkömmlichen integrierten Schaltungsvorrichtungen, bei denen ein Kernbereich und ein I/O-Bereich Finnenstrukturen mit im Wesentlichen den gleichen kritischen Abmessungen (Breiten) aufweisen, verursachen solche verbleibenden Gateschichtanteile einen Gate-Source/Drain-Durchbruch, was die Leistungsfähigkeit der Vorrichtung verschlechtert. Im Gegensatz dazu werden durch das Implementieren der Finnen-CD-Ladung zwischen dem Kernbereich 12 und dem I/O-Bereich 14, wie hierin beschrieben, (und somit dem Erhöhen der Finnenabstände in dem I/O-Bereich 14 relativ zu den Finnenabständen in dem Kernbereich 12) Ätz-Ladungseffekte während des Ätzverfahrens minimiert, was eine Größe (insbesondere eine Dicke) der verbleibenden Gateschichtabschnitte 40' im Vergleich zu herkömmlichen integrierten Schaltungsvorrichtungen signifikant verringert. Die offenbarte Finnen-CD-Ladung vergrößert ein Durchbruchsspannungsfenster (VBD ) für den I/O-Bereich 14 (zum Beispiel durch Vergrößern des Mittenabstandes zwischen den Finnen im I/O-Bereich 14), während ein kleinerer Mittenabstand zwischen den Finnen, der zur Erhöhen der Leistung des Kernbereich 12 wünschenswert ist, beibehalten wird (zum Beispiel, indem eine Kernfinnen-CD vorgesehen ist, die größer als die I/O-Finnen-CD ist). Das VBD-Fenster für den I/O-Bereich 14 wird somit vergrößert, ohne die Leistung des Kernbereichs 12 zu beeinträchtigen und/oder ohne das Gate-Herstellungsverfahren (insbesondere Polysilizium-Ätzverfahren) zu beeinträchtigen. Verschiedene Ausführungsformen können verschiedene Vorteile haben, und kein besonderer Vorteil ist notwendigerweise in irgendeiner Ausführungsform erforderlich.Referring to the 5A-5C is 5A a plan view of the integrated circuit device 10 . 5B a fragmentary cross-sectional view of the integrated circuit device 10 along the line 5B - 5B from 5A . 5C a fragmentary cross-sectional view of the integrated circuit device 10 along the line 5C - 5C from 5A . 5D a fragmentary cross-sectional view of the integrated circuit device 10 along the line 5D - 5D from 5A and 5E a fragmentary cross-sectional view of the integrated circuit device 10 along the line 5E - 5E from 5A , In the 5A-5E becomes an etching process using the patterning layer 50 performed as a mask to exposed parts of the gate layer 40 to remove, creating gate structures 40A - 40H be formed. The etching process may include a dry etching process (for example, a reactive ion etching (RIE) process), a wet etching process, another suitable etching process, or combinations thereof. The etching process is unable to expose exposed portions of the gate layer 40 in the openings 54 in the I / O area 14 completely, leaving remaining gate layer portions 40 ' between the Finns 22C remain. The gate structures 40F - 40H thus have widths that vary along their length and height, while the gate structures 40A - 40E Have widths that are essentially the same along their length and height. In 5A is along its length a width of the gate structures 40F - 40H that about the Finns 22C are arranged smaller than a width of the gate structures 40F - 40H between the Finns 22C are arranged. Along their height have the gate structures 40F - 40H rejuvenated latitudes. For example, in 5E a section of a gate structure 40G , between the Finns 22C is arranged, a width that decreases along its height, so that a width of the portion of the gate structure 40G near the isolation feature 30 (or the substrate 16 ) is greater than a width of the portion of the gate structure 40G near the patterning layer 50 , In some implementations, a width of the portion of the gate structure is 40G over an upper surface of the fin structure 22C along its height substantially equal, while a width of the portion of the gate structure 40G below the upper surface of the fin structures 22C decreases along its height. In conventional integrated circuit devices in which a core region and an I / O region have fin structures of substantially the same critical dimensions (widths), such remaining gate layer components cause gate-source / drain breakdown, degrading device performance. In contrast, by implementing the fin CD load between the core area 12 and the I / O area 14 as described herein (and thus increasing the fin pitches in the I / O area 14 relative to the fin pitches in the core area 12 ) Minimizes etch-charge effects during the etching process, which adds size (especially thickness) to the remaining gate layer sections 40 ' significantly reduced compared to conventional integrated circuit devices. The disclosed fin CD loading increases a breakdown voltage window (FIG. V BD ) for the I / O area 14 (For example, by increasing the center distance between the fins in the I / O area 14 ), while a smaller center distance between the fins, which helps to increase the power of the core area 12 is desirable (for example, by providing a core fines CD that is larger than the I / O fins CD). The VBD window for the I / O area 14 is thus increased without the performance of the core area 12 to affect and / or without affecting the gate manufacturing process (in particular polysilicon etching). Various embodiments may have various advantages, and no particular advantage necessarily required in any embodiment.

Bezugnehmend auf die 6A-6C ist 6A eine Draufsicht der integrierten Schaltungsvorrichtung 10, 6B eine fragmentarische Querschnittsansicht der integrierten Schaltungsvorrichtung 10 entlang der Linie 6B-6B von 6A, 6C eine fragmentarische Querschnittsansicht der integrierten Schaltungsvorrichtung 10 entlang der Linie 6C-6C von 6A, 6D eine fragmentarische Querschnittsansicht der integrierten Schaltungsvorrichtung 10 entlang der Linie 6D-6D von 6A und 6E eine fragmentarische Querschnittsansicht der integrierten Schaltungsvorrichtung 10 entlang der Linie 6E-6E von 6A. In den 6A-6C sind, nachdem die Strukturierungsschicht 50 von der Gateschicht 40 beispielsweise durch ein Resist-Ablöseverfahren entfernt wurde, die Gatestrukturen 40A-40H über den Finnen 22A-22C angeordnet. Die Gatestrukturen 40A-40H erstrecken sich entlang der y-Richtung (zum Beispiel im Wesentlichen senkrecht zu den Finnen 22A-22C). In der gezeigten Ausführungsform sind die Gatestrukturen 40A-40E über der Finnenstruktur 20A und der Finnenstruktur 20B angeordnet, so dass die Gatestrukturen 40A-40E einen Abschnitt der Finnen 22A und Finnen 22B umhüllen; und die Gatestrukturen 40F-40H sind über der Finnenstruktur 20C angeordnet, so dass die Gatestrukturen 40F-40H einen Abschnitt der Finnen 22C umhüllen. Die Gatestrukturen 40A-40H sind aktive Gatestrukturen oder Dummy-Gatestrukturen, abhängig von den Entwurfsanforderungen der integrierten Schaltungsvorrichtung 10. „Aktive Gatestruktur“ bezeichnet allgemein eine elektrisch funktionale Gatestruktur der integrierten Schaltungsvorrichtung 10, während „Dummy-Gatestruktur“ allgemein eine elektrisch nicht funktionale Gatestruktur der integrierten Schaltungsvorrichtung 10 bezeichnet. In einigen Implementierungen ahmt eine Dummy-Gatestruktur räumliche Eigenschaften einer aktiven Gatestruktur nach, wie etwa räumliche Abmessungen der aktiven Gatestruktur, ist jedoch in einem FinFET nicht betreibbar (mit anderen Worten ermöglicht sie keinen Stromfluss). In einigen Implementierungen umhüllt wenigstens eine der Gatestrukturen 40A-40E Kanalbereiche der Finnen 22A und Finnen 22B, wodurch sie zwischen Source/Drain-Bereichen der Finnen 22A und Finnen 22B liegen; und mindestens eine der Gatestrukturen 40F-40H umhüllt Kanalbereiche der Finnen 22C, wodurch sie zwischen Source/Drain-Bereichen der Finnen 22C liegen. In solchen Implementierungen greift die mindestens eine der Gatestrukturen 40A-40H in die Kanalbereiche der Finnen 22A-22C ein, so dass Strom während des Betriebs zwischen den Source/Drain-Bereichen der Finnen 22A-22C fließen kann. In einigen Implementierungen ermöglichen die Gatestrukturen 40A-40H eine im Wesentlichen einheitliche Verarbeitungsumgebung, was beispielsweise ein einheitliches epitaktisches Materialwachstum in Source/Drain-Bereichen der Finnen 22A-22C (zum Beispiel bei der Ausbildung epitaktischer Source/Drain-Merkmale), einheitliche Ätzraten in Source/Drain-Bereichen der Finnen 22A-22C (zum Beispiel, wenn Source/Drain-Vertiefungen ausgebildet werden) und/oder einheitliche, im Wesentlichen planare Oberflächen (zum Beispiel durch Reduzieren (oder Verhindern) von CMP-induzierten Dishing-Effekten) ermöglicht.Referring to the 6A-6C is 6A a plan view of the integrated circuit device 10 . 6B a fragmentary cross-sectional view of the integrated circuit device 10 along the line 6B - 6B from 6A . 6C a fragmentary cross-sectional view of the integrated circuit device 10 along the line 6C - 6C from 6A . 6D a fragmentary cross-sectional view of the integrated circuit device 10 along the line 6D - 6D from 6A and 6E a fragmentary cross-sectional view of the integrated circuit device 10 along the line 6E - 6E from 6A , In the 6A-6C are after the structuring layer 50 from the gate layer 40 For example, was removed by a resist-stripping process, the gate structures 40A - 40H over the Finn 22A - 22C arranged. The gate structures 40A - 40H extend along the y-direction (for example, substantially perpendicular to the fins 22A - 22C) , In the embodiment shown, the gate structures are 40A - 40E over the fin structure 20A and the fin structure 20B arranged so that the gate structures 40A - 40E a section of the Finns 22A and Finns 22B envelop; and the gate structures 40F - 40H are above the fin structure 20C arranged so that the gate structures 40F - 40H a section of the Finns 22C envelop. The gate structures 40A - 40H are active gate structures or dummy gate structures, depending on the design requirements of the integrated circuit device 10 , "Active gate structure" generally refers to an electrically functional gate structure of the integrated circuit device 10 during "dummy gate structure" generally an electrically non-functional gate structure of the integrated circuit device 10 designated. In some implementations, a dummy gate structure mimics spatial characteristics of an active gate structure, such as spatial dimensions of the active gate structure, but is not operable in a FinFET (in other words, does not allow current flow). In some implementations, at least one of the gate structures envelops 40A - 40E Channel areas of the fins 22A and Finns 22B allowing them to pass between source / drain regions of the fins 22A and Finns 22B lie; and at least one of the gate structures 40F - 40H envelops channel areas of the fins 22C allowing them to pass between source / drain regions of the fins 22C lie. In such implementations, the at least one of the gate structures accesses 40A - 40H into the channel areas of the fins 22A - 22C a, allowing current during operation between the source / drain regions of the fins 22A - 22C can flow. In some implementations, the gate structures enable 40A - 40H a substantially uniform processing environment, such as uniform epitaxial growth of material in source / drain regions of the fins 22A - 22C (For example, in the formation of epitaxial source / drain features), uniform etch rates in source / drain regions of the fins 22A - 22C (For example, when source / drain wells are formed) and / or uniform, substantially planar surfaces (for example, by reducing (or preventing) CMP-induced dishing effects).

Die integrierte Schaltungsvorrichtung 10 kann einer weiteren Verarbeitung unterzogen werden, um die Herstellung abzuschließen. Zum Beispiel werden in einigen Implementierungen Gate-Abstandshalter benachbart zu den Gatestrukturen 40A-40H ausgebildet. Zum Beispiel sind die Gate-Abstandshalter benachbart (zum Beispiel entlang Seitenwänden) zu der Gateschicht 40 angeordnet. Die Gate-Abstandshalter werden durch irgendein geeignetes Verfahren ausgebildet und umfassen ein Dielektrikum. Das Dielektrikum kann Silizium, Sauerstoff, Kohlenstoff, Stickstoff, ein anderes geeignetes Material oder Kombinationen davon (zum Beispiel Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid oder Siliziumkarbid) umfassen. Zum Beispiel kann in der gezeigten Ausführungsform eine dielektrische Schicht, die Silizium und Stickstoff umfasst, wie etwa eine Siliziumnitridschicht, über dem Substrat 16 abgeschieden und anschließend anisotrop geätzt werden, um die Gate-Abstandshalter auszubilden. In einigen Implementierungen umfassen die Gate-Abstandshalter eine Mehrschichtstruktur, wie zum Beispiel eine erste dielektrische Schicht, die Siliziumnitrid umfasst, und eine zweite dielektrische Schicht, die Siliziumoxid umfasst. In einigen Implementierungen werden mehr als ein Satz von Abstandshaltern, wie etwa Dichtungsabstandshalter, Versetzungs-Abstandshalter, Opferabstandshalter, Dummy-Abstandshalter und/oder Hauptabstandshalter benachbart zu den Gatestrukturen 40A-40H ausgebildet. In solchen Implementierungen können die verschiedenen Sätze von Abstandshaltern Materialien mit unterschiedlichen Ätzraten umfassen. Zum Beispiel kann eine erste dielektrische Schicht, die Silizium und Sauerstoff (zum Beispiel Siliziumoxid) umfasst, über dem Substrat 16 abgeschieden und anschließend anisotrop geätzt werden, um einen ersten Abstandshaltersatz neben den Gatestrukturen 40A-40H auszubilden, und eine zweite dielektrische Schicht, die Silizium und Stickstoff umfasst (zum Beispiel Siliziumnitrid), kann über dem Substrat 16 abgeschieden und anschließend anisotrop geätzt werden, um einen zweiten Abstandshaltersatz benachbart zu dem ersten Abstandshaltersatz auszubilden.The integrated circuit device 10 may be subjected to further processing to complete the production. For example, in some implementations, gate spacers become adjacent to the gate structures 40A - 40H educated. For example, the gate spacers are adjacent (eg, along sidewalls) to the gate layer 40 arranged. The gate spacers are formed by any suitable method and include a dielectric. The dielectric may comprise silicon, oxygen, carbon, nitrogen, another suitable material, or combinations thereof (eg, silicon oxide, silicon nitride, silicon oxynitride or silicon carbide). For example, in the illustrated embodiment, a dielectric layer comprising silicon and nitrogen, such as a silicon nitride layer, may overlie the substrate 16 deposited and then anisotropically etched to form the gate spacers. In some implementations, the gate spacers include a multilayer structure, such as a first dielectric layer comprising silicon nitride and a second dielectric layer comprising silicon oxide. In some implementations, more than one set of spacers, such as gasket spacers, offset spacers, sacrificial spacers, dummy spacers, and / or main spacers, become adjacent to the gate structures 40A - 40H educated. In such implementations, the various sets of spacers may include materials having different etch rates. For example, a first dielectric layer comprising silicon and oxygen (eg, silicon oxide) may overlie the substrate 16 deposited and subsequently anisotropically etched to form a first spacer set adjacent to the gate structures 40A - 40H and a second dielectric layer comprising silicon and nitrogen (eg, silicon nitride) may overlie the substrate 16 and then anisotropically etched to form a second spacer set adjacent to the first spacer set.

In einigen Implementierungen werden Source/Drain-Merkmale, wie etwa stark dotierte Source/Drain-Bereiche und/oder leicht dotierte Source/Drain- (LDD) - Merkmale, in den Source/Drain-Bereichen der Finnen 22A-22C ausgebildet. In einigen Implementierungen werden epitaktische Source-Merkmale und epitaktische Drain-Merkmale (als epitaktische Source/Drain-Merkmale bezeichnet) in den Source/Drain-Bereichen der Finnen 22A-22C ausgebildet. Zum Beispiel wird ein Halbleitermaterial epitaktisch auf freiliegenden Abschnitten der Finnen 22A-22C gezüchtet, wodurch epitaktische Source/Drain-Merkmale ausgebildet werden. Ein Epitaxieverfahren kann CVD-Abscheidungstechniken (zum Beispiel VPE und/oder UHV-CVD), Molekularstrahlepitaxie, andere geeignete epitaktische Wachstumsverfahren oder Kombinationen davon verwenden. Das Epitaxieverfahren kann gasförmige und/oder flüssige Vorläufer verwenden, die mit der Zusammensetzung der Finnen 22A-22C interagieren. Die epitaktischen Source/Drain-Merkmale werden mit n-Dotierstoffen und/oder p-Dotierstoffen dotiert. In einigen Implementierungen sind die epitaktischen Source/Drain-Merkmale epitaktische Schichten, die Silizium und/oder Kohlenstoff umfassen, wobei siliziumhaltige epitaktische Schichten oder Silizium-Kohlenstoffhaltige epitaktische Schichten mit Phosphor, einem anderen n-Dotierstoff oder Kombinationen davon dotiert werden (wodurch zum Beispiel eine Si:P-Epitaxieschicht oder eine Si:C:P-Epitaxieschicht ausgebildet wird). In einigen Implementierungen sind die epitaktischen Source/Drain-Merkmale epitaktische Schichten, die Silizium und Germanium umfassen, wobei die Silizium-Germanium-haltigen epitaktischen Schichten mit Bor, einem anderen p-Dotierstoff oder Kombinationen davon dotiert werden (wodurch zum Beispiel eine Si:Ge:B-Epitaxieschicht ausgebildet wird). In einigen Implementierungen umfassen die epitaktischen Source/Drain-Merkmale Materialien und/oder Dotierstoffe, die eine gewünschte Zugspannung und/oder Druckspannung in den Kanalbereichen der Finnen 22A-22C erreichen. In einigen Implementierungen werden die epitaktischen Source/Drain-Merkmale während der Abscheidung durch Hinzufügen von Verunreinigungen zu einem Quellenmaterial des Epitaxieverfahrens dotiert. In einigen Implementierungen werden die epitaktischen Source/Drain-Merkmale durch ein Ionenimplantationsverfahren im Anschluss an ein Abscheidungsverfahren dotiert. In einigen Implementierungen werden Temperverfahren durchgeführt, um Dotierstoffe in den epitaktischen Source/Drain-Merkmalen und/oder anderen Source/Drain-Bereichen der integrierten Schaltungsvorrichtung 10 zu aktivieren (zum Beispiel HDD-Bereichen und/oder LDD-Bereichen, die in den Source/Drain-Bereichen der Finnen 22A-22C und/oder den epitaktischen Source/Drain-Merkmalen ausgebildet sind).In some implementations, source / drain features, such as heavily doped source / drain regions and / or lightly doped source / drain (LDD) features, are in the source / drain regions of the fins 22A - 22C educated. In some Implementations include epitaxial source features and epitaxial drain features (referred to as epitaxial source / drain features) in the source / drain regions of the fins 22A - 22C educated. For example, a semiconductor material becomes epitaxially on exposed portions of the fins 22A - 22C grown, whereby epitaxial source / drain features are formed. An epitaxy technique may use CVD deposition techniques (for example, VPE and / or UHV-CVD), molecular beam epitaxy, other suitable epitaxial growth methods, or combinations thereof. The epitaxy process may use gaseous and / or liquid precursors that are related to the composition of the fins 22A - 22C to interact. The epitaxial source / drain features are doped with n-type dopants and / or p-type dopants. In some implementations, the epitaxial source / drain features are epitaxial layers comprising silicon and / or carbon, wherein silicon-containing epitaxial layers or silicon carbonaceous epitaxial layers are doped with phosphorus, another n-type dopant, or combinations thereof (e.g. Si: P epitaxial layer or Si: C: P epitaxial layer is formed). In some implementations, the epitaxial source / drain features are epitaxial layers comprising silicon and germanium, wherein the silicon germanium-containing epitaxial layers are doped with boron, another p-type dopant, or combinations thereof (whereby, for example, an Si: Ge : B epitaxial layer is formed). In some implementations, the epitaxial source / drain features materials and / or dopants that provide a desired tensile and / or compressive stress in the channel regions of the fins 22A - 22C to reach. In some implementations, the epitaxial source / drain features are doped during deposition by adding impurities to a source material of the epitaxial growth process. In some implementations, the epitaxial source / drain features are doped by an ion implantation process following a deposition process. In some implementations, annealing processes are performed to provide dopants in the epitaxial source / drain features and / or other source / drain regions of the integrated circuit device 10 (For example, HDD areas and / or LDD areas that are in the source / drain areas of the fins 22A - 22C and / or the epitaxial source / drain features are formed).

Ein Gate-Ersetzungsverfahren kann dann durchgeführt werden, um einen Dummy-Gatestapel von mindestens einer der Gatestrukturen 40A-40H durch ein Gate, wie etwa einen Metall-Gatestapel, zu ersetzen. Zum Beispiel kann eine Zwischenebenen-Dielektrikums- (ILD) -Schicht über dem Substrat 16 ausgebildet werden, beispielsweise durch ein Abscheidungsverfahren (wie CVD, PVD, ALD, HDPCVD, MOCVD, RPCVD, PECVD, LPCVD, ALCVD, APCVD, Plattieren, andere geeignete Verfahren oder Kombinationen davon). Die ILD-Schicht umfasst ein Dielektrikum, das beispielsweise Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid, mit TEOS ausgebildetes Oxid, PSG, BPSG, ein Low-k-Dielektrikum, ein anderes geeignetes Dielektrikum oder Kombinationen davon umfasst. Beispielhafte Low-k-Dielektrika umfassen FSG, kohlenstoffdotiertes Siliziumoxid, Black Diamond® (Applied Materials, Santa Clara, Kalifornien), Xerogel, Aerogel, amorphen fluorierten Kohlenstoff, Parylen, BCB, SiLK (Dow Chemical, Midland, Michigan), Polyimid, ein anderes Low-k-Dielektrikum oder Kombinationen davon. Die ILD-Schicht kann eine Mehrschichtstruktur mit mehreren Dielektrika umfassen. Nach dem Abscheiden der ILD-Schicht kann ein CMP-Verfahren durchgeführt werden, so dass ein oberer Abschnitt der Gatestrukturen 40A-40H erreicht (freigelegt) wird, wie etwa eine Dummy-Gateelektrode (zum Beispiel eine Polysiliziumschicht) der Gateschicht 40. Ein Teil der mindestens einen der Gatestrukturen 40A-40H (wie die Dummy-Gateelektrode der Gateschicht 40) wird dann entfernt, wodurch ein Graben (Öffnung) ausgebildet wird, der eine Grenzschicht und/oder ein Gatedielektrikum freilegen kann. In einigen Implementierungen entfernt ein Ätzverfahren selektiv die Dummy-Gateelektrode (und in einigen Implementierungen ein Dummy-Gatedielektrikum). Das Ätzverfahren ist ein Trockenätzverfahren, ein Nassätzverfahren oder Kombinationen davon. Ein selektives Ätzverfahren kann so abgestimmt werden, dass die Dummy-Gateelektrodenschicht eine geeignete Ätzrate relativ zu der Grenzschicht, den Abstandshaltern und/oder der ILD-Schicht aufweist.A gate replacement process may then be performed to form a dummy gate stack of at least one of the gate structures 40A - 40H by a gate, such as a metal gate stack. For example, an interlevel dielectric (ILD) layer may be over the substrate 16 by a deposition method (such as CVD, PVD, ALD, HDPCVD, MOCVD, RPCVD, PECVD, LPCVD, ALCVD, APCVD, plating, other suitable methods or combinations thereof). The ILD layer comprises a dielectric comprising, for example, silicon oxide, silicon nitride, silicon oxynitride, TEOS-formed oxide, PSG, BPSG, a low-k dielectric, another suitable dielectric, or combinations thereof. Exemplary low-k dielectrics include FSG, carbon-doped silica, Black Diamond® (Applied Materials, Santa Clara, California), xerogel, airgel, amorphous fluorinated carbon, parylene, BCB, SiLK (Dow Chemical, Midland, Michigan), polyimide another low-k dielectric or combinations thereof. The ILD layer may comprise a multilayer structure with multiple dielectrics. After depositing the ILD layer, a CMP process may be performed such that an upper portion of the gate structures 40A - 40H is reached, such as a dummy gate electrode (for example, a polysilicon layer) of the gate layer 40 , Part of the at least one of the gate structures 40A - 40H (like the dummy gate electrode of the gate layer 40 ) is then removed, thereby forming a trench (opening) that can expose a barrier layer and / or a gate dielectric. In some implementations, an etching process selectively removes the dummy gate electrode (and in some implementations, a dummy gate dielectric). The etching method is a dry etching method, a wet etching method or combinations thereof. A selective etching process may be tuned such that the dummy gate electrode layer has a suitable etch rate relative to the barrier, the spacers, and / or the ILD layer.

Ein Metall-Gatestapel der mindestens einen der Gatestrukturen 40A-40H wird dann in der Öffnung (Graben) ausgebildet. Der Metall-Gatestapel der mindestens einen der Gatestrukturen 40A-40H umfasst ein Gatedielektrikum (zum Beispiel eine Gatedielektrikumsschicht) und eine Gateelektrode (zum Beispiel eine Austrittsarbeitsschicht und eine leitfähige Bulk- (oder Füll-) Schicht). Die Gatestapel können zahlreiche weitere Schichten umfassen, beispielsweise Deckschichten, Grenzflächenschichten, Diffusionsschichten, Sperrschichten, Hartmaskenschichten oder Kombinationen davon. Die Gatedielektrikumsschicht umfasst ein Dielektrikum, wie z. B. Siliziumoxid, ein High-k-Dielektrikum, ein anderes geeignetes Dielektrikum oder Kombinationen davon. Beispiele für High-k-Dielektrika sind Hafniumdioxid (HfO2,), HfSiO, HfSiON, HfTaO, HfTiO, HfZrO, Zirkoniumoxid, Aluminiumoxid, Hafniumdioxid-Aluminiumoxid (HfO2-Al2O3), andere geeignete High-k-Dielektrika oder Kombinationen davon. In einigen Implementierungen ist die Gatedielektrikumsschicht eine High-k-Dielektrikumsschicht. Die Gateelektrode umfasst ein leitfähiges Material, wie z. B. Polysilizium, Aluminium (Al), Kupfer (Cu), Titan (Ti), Tantal (Ta), Wolfram (W), Molybdän (Mo), Kobalt (Co), TaN, NiSi B. CoSi, TiN, WN, TiAl, TiAlN, TaCN, TaC, TaSiN, andere leitfähige Materialien oder Kombinationen davon. In einigen Implementierungen ist die Austrittsarbeitsschicht eine leitfähige Schicht, die so abgestimmt ist, dass sie eine gewünschte Austrittsarbeit aufweist (wie eine n-Austrittsarbeit oder eine p-Austrittsarbeit), und die leitfähige Bulk-Schicht ist eine leitfähige Schicht, die über der Austrittsarbeitsschicht ausgebildet ist. In einigen Implementierungen umfasst die Austrittsarbeitsschicht n-Austrittsarbeitsmaterialien, wie etwa Ti, Silber (Ag), TaAl, TaAlC, TiAlN, TaC, TaCN, TaSiN, Mangan (Mn), Zirkonium (Zr), andere geeignete n-Austrittsarbeitsmaterialien oder Kombinationen davon. In einigen Implementierungen umfasst die Austrittsarbeitsschicht ein p-Austrittsarbeitsmaterial, wie etwa TiN, TaN, Ruthenium (Ru), Mo, Al, WN, ZrSi2, MoSi2, TaSi2, NiSi2, WN, andere geeignete p-Austrittsarbeitsmaterialien oder Kombinationen davon. Die leitfähige Bulk-Schicht umfasst ein geeignetes leitfähiges Material wie Al, W und/oder Cu. In einigen Implementierungen umfasst die leitfähige Bulk-Schicht zusätzlich oder gemeinsam Polysilizium, Ti, Ta, Metalllegierungen, andere geeignete Materialien oder Kombinationen davon. Die Gatedielektrikumsschicht, die Austrittsarbeitsschicht und die leitfähige Bulk-Schicht werden durch verschiedene Abscheidungsverfahren ausgebildet, wie etwa ALD, CVD, PVD und/oder andere geeignete Verfahren. Ein CMP-Verfahren kann durchgeführt werden, um überschüssiges Material (wie alle überschüssigen Anteile der Austrittsarbeitsschicht und/oder alle überschüssigen Anteile der Metall-Füllschicht) zu entfernen, was die Gatestrukturen 40A-40H planarisiert. Es versteht sich, dass die Gatestrukturen 40A-40H Gatestapel aufweisen, die konfiguriert sind, um die gewünschte Funktionalität gemäß den Entwurfsanforderungen der integrierten Schaltungsvorrichtung 10 zu erreichen, so dass die Gatestrukturen 40A-40H die gleichen oder unterschiedliche Schichten und/oder Materialien umfassen.A metal gate stack of the at least one of the gate structures 40A - 40H is then formed in the opening (trench). The metal gate stack of the at least one of the gate structures 40A - 40H includes a gate dielectric (eg, a gate dielectric layer) and a gate electrode (eg, a work function layer and a conductive bulk (or fill) layer). The gate stacks may include numerous other layers, for example, capping layers, interfacial layers, diffusion layers, barrier layers, hardmask layers, or combinations thereof. The gate dielectric layer comprises a dielectric, such as. As silicon oxide, a high-k dielectric, another suitable dielectric or combinations thereof. Examples of high-k dielectrics are hafnium dioxide (HfO 2 ,), HfSiO, HfSiON, HfTaO, HfTiO, HfZrO, zirconia, alumina, hafnia-alumina (HfO 2 -Al 2 O 3 ), other suitable high-k dielectrics or Combinations of it. In some implementations, the gate dielectric layer is a high-k dielectric layer. The gate electrode comprises a conductive material, such as. Polysilicon, Aluminum (Al), copper (Cu), titanium (Ti), tantalum (Ta), tungsten (W), molybdenum (Mo), cobalt (Co), TaN, NiSi B. CoSi, TiN, WN, TiAl, TiAlN, TaCN, TaC, TaSiN, other conductive materials or combinations thereof. In some implementations, the work function layer is a conductive layer that is tuned to have a desired work function (such as an n work function or a p work function), and the conductive bulk layer is a conductive layer formed over the work function layer is. In some implementations, the work function layer includes n-type work function materials such as Ti, silver (Ag), TaAl, TaAlC, TiAlN, TaC, TaCN, TaSiN, manganese (Mn), zirconium (Zr), other suitable n-type workfunction materials, or combinations thereof. In some implementations, the work function layer includes a p-work function material, such as TiN, TaN, ruthenium (Ru), Mo, Al, WN, ZrSi 2, MoSi 2, TaSi 2, NiSi 2, WN, other suitable p-work function materials or combinations thereof , The conductive bulk layer comprises a suitable conductive material such as Al, W and / or Cu. In some implementations, the conductive bulk layer additionally or jointly comprises polysilicon, Ti, Ta, metal alloys, other suitable materials, or combinations thereof. The gate dielectric layer, the work function layer, and the conductive bulk layer are formed by various deposition methods, such as ALD, CVD, PVD, and / or other suitable methods. A CMP process may be performed to remove excess material (such as all excess work function layer portions and / or excess metal fill layer portions), resulting in the gate structures 40A - 40H planarized. It is understood that the gate structures 40A - 40H Having gate stacks configured to provide the desired functionality in accordance with the design requirements of the integrated circuit device 10 to reach, so the gate structures 40A - 40H comprise the same or different layers and / or materials.

In einigen Implementierungen werden verschiedene Kontakte ausgebildet, um den Betrieb der integrierten Schaltungsvorrichtung 10 zu erleichtern. Zum Beispiel können zusätzliche ILD-Schichten über dem Substrat 16 (in der gezeigten Ausführungsform über der ILD-Schicht und den Gatestrukturen 40A-40H) ausgebildet werden. Die Kontakte können in den ILD-Schichten ausgebildet werden, wie z. B. Kontakte, die elektrisch mit den Gatestrukturen 40A-40H gekoppelt sind, und Kontakte, die elektrisch mit den Source/Drain-Bereichen der Finnenstrukturen 20A-20C gekoppelt sind. Die Kontakte umfassen ein leitfähiges Material, wie beispielsweise Metall. Metalle umfassen Aluminium, Aluminiumlegierung (wie Aluminium/Silizium/Kupferlegierung), Kupfer, Kupferlegierung, Titan, Titannitrid, Tantal, Tantalnitrid, Wolfram, Polysilizium, Metallsilizid, andere geeignete Metalle oder Kombinationen davon. Das Metallsilizid kann Nickelsilizid, Kobaltsilizid, Wolframsilizid, Tantalsilizid, Titansilizid, Platinsilizid, Erbiumsilizid, Palladiumsilizid oder Kombinationen davon umfassen. In einigen Implementierungen sind die ILD-Schichten und die Kontakte Teil eines Mehrschicht-Verbindungs- (MLI) -Merkmals, das über dem Substrat 16 angeordnet ist. Das MLI-Merkmal verbindet verschiedene Komponenten der integrierten Schaltungsvorrichtung 10 elektrisch so, dass die verschiedenen Komponenten geeignet sind, so zu funktionieren, wie es durch die Entwurfsanforderungen spezifiziert ist. Das MLI-Merkmal kann eine Kombination von Metallschichten und ILD-Schichten umfassen, die so konfiguriert sind, dass vertikale Verbindungsmerkmale wie Kontakte und/oder Durchkontaktierungen und/oder horizontale Verbindungsmerkmale wie beispielsweise Leitungen ausgebildet werden. Die verschiedenen leitfähigen Merkmale umfassen Materialien, die denen der Kontakte ähneln. In einigen Implementierungen wird ein Damascene-Verfahren und/oder ein Dual-Damascene-Verfahren verwendet, um eine mehrschichtige Verbindungsstruktur auf Kupferbasis auszubilden. Die integrierte Schaltungsvorrichtung 10 kann einer nachfolgenden Verarbeitung unterzogen werden, um die Herstellung in Abhängigkeit von Entwurfsanforderungen abzuschließen.In some implementations, various contacts are formed to facilitate operation of the integrated circuit device 10 to facilitate. For example, additional ILD layers may be above the substrate 16 (In the embodiment shown above the ILD layer and the gate structures 40A - 40H) be formed. The contacts may be formed in the ILD layers, such as. B. contacts that are electrically connected to the gate structures 40A - 40H and contacts electrically connected to the source / drain regions of the fin structures 20A -20C are coupled. The contacts comprise a conductive material, such as metal. Metals include aluminum, aluminum alloy (such as aluminum / silicon / copper alloy), copper, copper alloy, titanium, titanium nitride, tantalum, tantalum nitride, tungsten, polysilicon, metal silicide, other suitable metals, or combinations thereof. The metal silicide may include nickel silicide, cobalt silicide, tungsten silicide, tantalum silicide, titanium silicide, platinum silicide, erbium silicide, palladium silicide, or combinations thereof. In some implementations, the ILD layers and the contacts are part of a multilayer interconnect (MLI) feature that overlies the substrate 16 is arranged. The MLI feature connects various components of the integrated circuit device 10 electrically such that the various components are capable of functioning as specified by the design requirements. The MLI feature may include a combination of metal layers and ILD layers configured to form vertical interconnect features such as contacts and / or vias, and / or horizontal interconnect features such as lines. The various conductive features include materials similar to those of the contacts. In some implementations, a damascene process and / or a dual damascene process is used to form a copper-based multilayer interconnect structure. The integrated circuit device 10 may be subjected to subsequent processing to complete production depending on design requirements.

7 ist ein Flussdiagramm eines Verfahrens 100 zum Herstellen einer integrierten Schaltungsvorrichtung, wie der integrierten Schaltungsvorrichtung 10, gemäß verschiedenen Aspekten der vorliegenden Offenbarung. Bei Block 102 umfasst das Verfahren 100 das Ausbilden einer ersten Mehrfach-Finnenstruktur mit einer ersten kritischen Abmessung in einem Kernbereich und einer zweiten Mehrfach-Finnenstruktur mit einer zweiten kritischen Abmessung in einem Eingabe/AusgabeBereich. Die erste kritische Abmessung ist größer als die zweite kritische Abmessung. In einigen Implementierungen sind die erste Mehrfach-Finnenstruktur und die zweite Mehrfach-Finnenstruktur so ausgebildet, wie es in Bezug auf die 1A-1C offenbart ist. Bei Block 104 umfasst das Verfahren 100 das Ausbilden einer ersten Gatestruktur über der ersten Mehrfach-Finnenstruktur und einer zweiten Gatestruktur über der zweiten Mehrfach-Finnenstruktur. In einigen Implementierungen werden die erste Gatestruktur und die zweite Gatestruktur so ausgebildet, wie es in Bezug auf die 2A-2C, 3A-3C 4A-4E 5A-5E und 6A-6E offenbart ist. Bei Block 106 kann das Verfahren 100 fortfahren, die Herstellung der FinFET-Vorrichtung abzuschließen. Zum Beispiel wird in Implementierungen, bei denen die erste Gatestruktur und/oder die zweite Gatestruktur ein Dummy-Gate umfassen, ein Gate-Ersetzungsverfahren durchgeführt, um das eine oder die mehreren Dummy-Gates durch ein oder mehrere Metallgates zu ersetzen. Zusätzliche Schritte können vor, während und nach dem Verfahren 100 vorgesehen sein und einige der beschriebenen Schritte können für zusätzliche Ausführungsformen des Verfahrens 100 verschoben, ersetzt oder beseitigt werden. 7 is a flowchart of a method 100 for manufacturing an integrated circuit device, such as the integrated circuit device 10 According to various aspects of the present disclosure. At block 102 includes the method 100 forming a first multiple fin structure having a first critical dimension in a core region and a second multiple fin structure having a second critical dimension in an input / output region. The first critical dimension is greater than the second critical dimension. In some implementations, the first multiple fin structure and the second multiple fin structure are configured as described with respect to FIGS 1A-1C is disclosed. At block 104 includes the method 100 forming a first gate structure over the first multiple fin structure and a second gate structure over the second multiple fin structure. In some implementations, the first gate structure and the second gate structure are formed as described with respect to FIG 2A-2C . 3A-3C 4A-4E 5A-5E and 6A-6E is disclosed. At block 106 can the procedure 100 continue to complete the manufacture of the FinFET device. For example, in implementations where the first gate structure and / or the second gate structure includes a dummy gate, a gate replacement process is performed to replace the one or more dummy gates with one or more metal gates. Additional steps may be taken before, during and after method 100 may be provided and some of the steps described may be for additional embodiments of the method 100 postponed, replaced or eliminated.

8 ist eine fragmentarische Querschnittsansicht einer integrierten Schaltungsvorrichtung 200, in Teilen oder vollständig, gemäß verschiedenen Aspekten der vorliegenden Offenbarung. Die integrierte Schaltungsvorrichtung 200 kann in einem Mikroprozessor, einem Speicher und/oder einer anderen integrierten Schaltungsvorrichtung vorgesehen sein. In einigen Implementierungen ist die integrierte Schaltungsvorrichtung 200 Teil eines IC-Chips, eines SoC oder eines Teils davon, die verschiedene passive und aktive mikroelektronische Vorrichtungen wie Widerstände, Kondensatoren, Induktoren, Dioden, PFETs, NFETs, MOSFETs, CMOS-Transistoren, BJTs, LDMOS-Transistoren, Hochspannungstransistoren, Hochfrequenztransistoren, andere geeignete Komponenten oder Kombinationen davon umfassen. 8 wurde aus Gründen der Klarheit vereinfacht, um die erfinderischen Konzepte der vorliegenden Offenbarung besser zu verstehen. Zusätzliche Merkmale können in der integrierten Schaltungsvorrichtung 200 hinzugefügt werden, und einige der nachstehend beschriebenen Merkmale können in anderen Ausführungsformen der integrierten Schaltungsvorrichtung 200 ersetzt, modifiziert oder eliminiert werden. 8th FIG. 12 is a fragmentary cross-sectional view of an integrated circuit device. FIG 200 in parts or in full, according to various aspects of the present disclosure. The integrated circuit device 200 may be provided in a microprocessor, memory and / or other integrated circuit device. In some implementations, the integrated circuit device is 200 Part of an integrated circuit chip, a SoC or a part thereof, which includes various passive and active microelectronic devices such as resistors, capacitors, inductors, diodes, PFETs, NFETs, MOSFETs, CMOS transistors, BJTs, LDMOS transistors, high voltage transistors, high frequency transistors, others include suitable components or combinations thereof. 8th has been simplified for the sake of clarity in order to better understand the inventive concepts of the present disclosure. Additional features may be found in the integrated circuit device 200 may be added, and some of the features described below may be used in other embodiments of the integrated circuit device 200 replaced, modified or eliminated.

In 8 umfasst die integrierte Schaltungsvorrichtung 200 ein Substrat 116, das dem Substrat 16 der integrierten Schaltungsvorrichtung 10 ähnelt, das oben unter Bezugnahme auf die 1A-1C beschrieben wurde. Die integrierte Schaltungsvorrichtung 200 umfasst ferner eine Finnenstruktur 220A, eine Finnenstruktur 220B (die Finnen 222B umfasst) und eine Finnenstruktur 220C (die Finnen 222C umfasst). Die Finnenstruktur 220A ist zwischen der Finnenstruktur 220B und der Finnenstruktur 220C angeordnet. In der gezeigten Ausführungsform ist die Finnenstruktur 220A eine dichte Finnenstruktur (zum Beispiel mit drei Finnen oder mehr), und die Finnenstruktur 220B und die Finnenstruktur 220C sind isolierte Finnenstrukturen (zum Beispiel mit zwei Finnen oder weniger). Obwohl die Finnenstrukturen 220A-220C in der gezeigten Ausführungsform Mehrfach-Finnenstrukturen sind, zieht die vorliegende Offenbarung Ausführungsformen in Betracht, bei denen die Finnenstruktur 220B und/oder die Finnenstruktur 220C nur eine Finne umfassen. Die vorliegende Offenbarung zieht ferner Ausführungsformen in Betracht, bei denen die Finnenstruktur 220A mehr oder weniger Finnen aufweist als in 8 gezeigt. In einigen Implementierungen gehören die Finnenstrukturen 220A-220C zu unterschiedlichen FinFET-Vorrichtungen der integrierten Schaltungsvorrichtung 200. In einigen Implementierungen gehören die Finnenstrukturen 220A-220C zu der gleichen FinFET-Vorrichtung der integrierten Schaltungsvorrichtung 200. In einigen Implementierungen gehören die Finnenstrukturen 220A-220C zu unterschiedlichen Bereichen der integrierten Schaltungsvorrichtung 200. Zum Beispiel ist in einigen Implementierungen die Finnenstruktur 220A in einem I/O-Bereich der integrierten Schaltungsvorrichtung 200 angeordnet und die Finnenstruktur 220B und/oder die Finnenstruktur 220C sind in einem Kernbereich der integrierten Schaltungsvorrichtung 200 angeordnet.In 8th includes the integrated circuit device 200 a substrate 116 that the substrate 16 the integrated circuit device 10 similar to the above with reference to the 1A-1C has been described. The integrated circuit device 200 further comprises a fin structure 220A , a fin structure 220B (Finns 222B includes) and a fin structure 220C (Finns 222C comprises). The fin structure 220A is between the fin structure 220B and the fin structure 220C arranged. In the embodiment shown, the fin structure is 220A a dense fin structure (for example, with three fins or more), and the fin structure 220B and the fin structure 220C are isolated fin structures (for example with two fins or less). Although the fin structures 220A - 220C In the embodiment shown, multiple fin structures are contemplated, the present disclosure contemplates embodiments in which the fin structure 220B and / or the fin structure 220C include only one fin. The present disclosure further contemplates embodiments in which the fin structure 220A has more or fewer Finns than in 8th shown. In some implementations, the fin structures are included 220A -220C to different FinFET devices of the integrated circuit device 200 , In some implementations, the fin structures are included 220A - 220C to the same FinFET device of the integrated circuit device 200 , In some implementations, the fin structures are included 220A - 220C to different areas of the integrated circuit device 200 , For example, in some implementations, the fin structure is 220A in an I / O area of the integrated circuit device 200 arranged and the fin structure 220B and / or the fin structure 220C are in a core area of the integrated circuit device 200 arranged.

Die Finnenstruktur 220A weist Inter-Finnen oder Zwischen-Finnen 222A-1 und Intra-Finnen oder Innen-Finnen 222A-2 auf, wobei die Intra-Finnen 222A-2 zwischen den Inter-Finnen 222A-1 angeordnet sind. In der gezeigten Ausführungsform sind die Inter-Finnen 22A-1 die äußersten Finnen der Finnenstruktur 220A und die Intra-Finnen 222A-2 sind die inneren Finnen der Finnenstruktur 220A. In einigen Implementierungen bezeichnet eine Innen-Finne allgemein eine Finne, die zwischen Finnen der gleichen Finnenstruktur (hier der Finnenstruktur 220A) angeordnet ist, wohingegen eine Zwischen-Finne allgemein eine Finne bezeichnet, die zwischen einer Finne derselben Finnenstruktur (oder FinFET-Vorrichtung) und einer Finne einer anderen Finnenstruktur (oder FinFET-Vorrichtung) (hier der Finnenstruktur 220B oder der Finnenstruktur 220C) angeordnet ist. In einigen Implementierungen bezeichnet eine Innen-Finne allgemein eine Finne, die zwischen Finnen angeordnet ist, die eine Gatestruktur und/oder ein Source/Drain-Merkmal teilen, wohingegen eine Zwischen-Finne allgemein eine Finne bezeichnet, die zwischen Finnen angeordnet ist, die keine Gatestruktur und/oder kein Source/Drain-Merkmal teilen.The fin structure 220A has inter-fins or intermediate fins 222A-1 and intra-Finns or inside Finns 222A-2 on, with the intra-Finns 222A-2 between the inter-fins 222A-1 are arranged. In the embodiment shown, the inter-fins are 22A-1 the outermost fins of the fin structure 220A and the intra-Finns 222A-2 are the inner fins of the fin structure 220A , In some implementations, an inner fin generally refers to a fin that exists between fins of the same fin structure (here the fin structure 220A ), whereas an intermediate fin generally designates a fin formed between a fin of the same fin structure (or FinFET device) and a fin of another fin structure (or FinFET device) (here the fin structure 220B or the fin structure 220C ) is arranged. In some implementations, an inner fin generally designates a fin disposed between fins that share a gate structure and / or a source / drain feature, whereas an intermediate fin generally designates a fin disposed between fins that do not Share gate structure and / or no source / drain feature.

Finnen-CD-Ladungsoptimierung wird bei den Finnen 222A-1 und den Finnen 222A-2 erreicht, indem die Finnenstruktur 220A mit unterschiedlichen kritischen Abmessungen konfiguriert wird. In der gezeigten Ausführungsform haben die Inter-Finnen 222A-1 eine Inter-Finnen-CD und die Intra-Finnen 222A-2 eine Intra-Finnen-CD, wobei die Inter-Finnen-CD größer als die Intra-Finnen-CD ist. In einigen Implementierungen ist Finnen-CD-Ladung für eine dichte Finnenstruktur als ein Differenz zwischen der Inter-Finnen-CD und der Intra-Finnen-CD definiert und die Finnen-CD-Ladung optimiert den Abstand zwischen den Finnen für Ätzverfahren, die während der Gate-Ausbildung verwendet werden, wenn die Finnen-CD-Ladung größer als o ist (mit anderen Worten ist dichte Finnen-CD-Ladung = Inter-Finnen-CD - Intra-Finnen-CD > o). Zum Beispiel haben die Inter-Finnen 222A-1 jeweils eine Breite Winter und die Intra-Finnen 222A-2 haben jeweils eine Breite Wintra . Wenn, wie in der gezeigten Ausführungsform, die Inter-Finnen 222A-1 eine Breite haben, die größer ist als eine Breite der Intra-Finnen 222A-2 (mit anderen Worten Winter > Wintra ist), ist ein Abstand S1 (Distanz) zwischen den Intra-Finnen 222A-2 kleiner als ein Abstand S2 zwischen den Inter-Finnen 222A-1 und den Intra-Finnen 222A-2 (mit anderen Worten ist S1 < S2). In einigen Implementierungen beträgt die Breite Winter etwa 1 nm bis etwa 30 nm und die Breite Wintra etwa 1 nm bis etwa 30 nm. In einigen Implementierungen beträgt der Abstand S1 etwa 10 nm bis etwa 30 nm und der Abstand S2 etwa 10 nm bis etwa 30 nm. Die Inter-Finnen 222A-1 haben jeweils eine Höhe hinter und die Intra-Finnen 222A-2 haben jeweils eine Höhe hintra . In einigen Implementierungen beträgt die Höhe hinter etwa 30 nm bis etwa 150 nm und die Höhe hintra etwa 30 nm bis etwa 150 nm. Ein Mittenabstand P der Finnenstruktur 220A bezieht sich allgemein auf eine Summe einer Breite w der Finnen (die zusammen die Inter-Finnen 222A-1 und die Intra-Finnen 222A-2 umfassen) und dem Abstand S zwischen benachbarten Finnen (mit anderen Worten P = w + S). In der gezeigten Ausführungsform bezieht sich ein Mittenabstand Pintra der Finnenstruktur 220A allgemein auf einen Mitte-Mitte-Abstand zwischen den Intra-Finnen 222A-2 und ein Mittenabstand Pinter der Finnenstruktur 220A bezieht sich allgemein auf eine Summe eines Mitte-Mitte-Abstands zwischen einer der Inter-Finnen 222A-1 und der benachbarten Innen-Finne 222A-2. In einigen Implementierungen beträgt der Mittenabstand Pintra ungefähr 10 nm bis ungefähr 50 nm und der Mittenabstand Pinter ungefähr 30 nm bis ungefähr 600 nm. In einigen Implementierungen sind der Mittenabstand Pintra und der Mittenabstand Pinter gleich (zum Beispiel Pintra = Pintra). In einigen Implementierungen sind der Mittenabstand Pintra und der Mittenabstand Pinter unterschiedlich. Zum Beispiel ist in einigen Implementierungen der Mittenabstand Pintra größer als der Mittenabstand Pinter . In einigen Implementierungen ist der Mittenabstand Pintra und/oder der Mittenabstand Pinter äquivalent zu einem minimalen Finnen-Mittenabstand (Pm ). In einigen Implementierungen bezeichnet der minimale Finnen-Mittenabstand allgemein einen kleinsten Finnen-Mittenabstand, der durch ein Lithographieverfahren und/oder ein Finnenherstellungsverfahren (das Abscheidungs-, Lithographie- und/oder Ätzverfahren umfasst) eines gegebenen IC-Technologieknotens erreichbar ist. In einigen Implementierungen bezeichnet der minimale Finnen-Mittenabstand allgemein einen kleinsten Finnen-Mittenabstand, der durch Entwurfsanforderungen für die integrierte Schaltungsvorrichtung 200 spezifiziert ist.Fin CD optimizing is done by the Finns 222A-1 and the Finns 222A-2 achieved by the fin structure 220A is configured with different critical dimensions. In the embodiment shown, the inter-fins 222A-1 an inter-fin CD and the Intra-Finns 222A-2 an intra-fin CD, where the inter-fin CD is larger than the intra-fin CD. In some implementations, fin CD loading for a dense fin structure is defined as a difference between the inter-fin CD and the intra-fin CD, and the fin-CD loading optimizes the spacing between the fins for etch techniques used during the Gate training can be used when the fin CD load is greater than o (in other words, dense fin CD charge = inter-fin CD - intra-fin CD> o). For example, the inter-fins have 222A-1 one width each W inter and the intra-Finns 222A-2 each have a width W intra , If, as in the embodiment shown, the inter-fins 222A-1 have a width that is greater than a width of the intra-fins 222A-2 (in other words W inter > W intra ), is a distance S 1 (Distance) between the intra-Finns 222A-2 smaller as a distance S 2 between the inter-fins 222A-1 and the intra-Finns 222A-2 (in other words, S 1 <S 2 ). In some implementations, the width is W inter about 1 nm to about 30 nm and the width W intra about 1 nm to about 30 nm. In some implementations, the distance is S 1 about 10 nm to about 30 nm and the distance S2 about 10 nm to about 30 nm. The inter-fins 222A-1 each have a height h inter and the intra-Finns 222A-2 each have a height h intra , In some implementations, the height is h inter about 30 nm to about 150 nm and the height behind about 30 nm to about 150 nm. A pitch P of the fin structure 220A generally refers to a sum of a width w of the fins (which together are the inter-fins 222A-1 and the intra-Finns 222A-2 include) and the distance S between adjacent fins (in other words P = w + S). In the illustrated embodiment, a pitch Pintra of the fin structure 220A generally to a center-to-center distance between the intra-fins 222A-2 and a center distance P inter the fin structure 220A generally refers to a sum of a center-to-center distance between one of the inter-fins 222A-1 and the adjacent inner fin 222A-2 , In some implementations, the pitch Pintra is about 10 nm to about 50 nm and the pitch P inter about 30 nm to about 600 nm. In some implementations, the pitch is pintra and the pitch P inter equal (for example, P intra = P intra ). In some implementations, the pitch is pintra and the pitch P inter differently. For example, in some implementations, the pitch is P intra greater than the center distance P inter , In some implementations, the pitch is P intra and / or the center distance P inter equivalent to a minimum fin pitch ( P m ). In some implementations, the minimum fin center-to-center spacing generally indicates a minimum fin center distance achievable by a lithography process and / or a fin fabrication process (the deposition, lithography, and / or etching process) of a given IC technology node. In some implementations, the minimum fin pitch generally refers to a smallest fin pitch that is dictated by design requirements for the integrated circuit device 200 is specified.

In Weiterführung der gezeigten Ausführungsform weisen die Inter-Finnen 222A-1 einen benachbarten Inter-Finnen-Mittenabstand (Pinter-adjacent ) und die Intra-Finnen 222A-2 einen benachbarten Intra-Finnen-Mittenabstand (Pintra-adjacent ) auf, die konfiguriert werden können, um Abstände zwischen Finnen für Ätzverfahren weiter zu optimieren, die während der Gate-Ausbildung wie oben beschrieben verwendet werden. Der benachbarte Inter-Finnen-Mittenabstand ist eine Summe des Mittenabstands einer Zwischen-Finne zu einer rechten Finne und des Mittenabstands der Zwischen-Finne zu einer linken Finne. Der Mittenabstand der rechten Finne (PR-inter ) einer Zwischen-Finne definiert einen Abstand zwischen einer Mitte einer Zwischen-Finne und einer Mitte einer benachbarten (angrenzenden) Finne, die rechts von der Finne angeordnet ist, und ein linker Finnen-Mittenabstand (PL-inter ) einer Zwischen-Finne definiert einen Abstand zwischen einer Mitte einer Zwischen-Finne und einer Mitte einer benachbarten (angrenzenden) Finne, die links von der Finne angeordnet ist. Einer des Mittenabstands der linken Finne einer Zwischen-Finne und des Mittenabstand der rechten Finne einer Zwischen-Finne ist zwischen einer Zwischen-Finne und einer Innen-Finne derselben Finnenstruktur definiert, während der andere des Mittenabstands der linken Finne einer Zwischen-Finne und des Mittenabstands der rechten Finne einer Zwischen-Finne zwischen der Zwischen-Finne und einer Finne einer anderen Finnenstruktur definiert ist. Ein benachbarter Intra-Finnen-Mittenabstand ist eine Summe eines Mittenabstands der rechten Finne der Innen-Finne und eines Mittenabstands der linken Finne der Innen-Finne. Der Mittenabstand der rechten Finne (PR-intra ) einer Innen-Finne definiert einen Abstand zwischen einer Mitte einer Innen-Finne und einer Mitte einer benachbarten (angrenzenden) Finne, die rechts von der Innen-Finne angeordnet ist, und ein linker Finnen-Mittenabstand (PL-intra ) einer Innen-Finne definiert einen Abstand zwischen einer Mitte einer Innen-Finne und einer Mitte einer benachbarten (angrenzenden) Finne, die links von der Innen-Finne angeordnet ist Der Mittenabstand der linken Finne der Innen-Finne und der Mittenabstand der rechten Finne der Innen-Finne sind zwischen Finnen derselben Finnenstruktur definiert. In der gezeigten Ausführungsform haben die Inter-Finnen 222A-1 einen benachbarten Inter-Finnen-Mittenabstand, der größer oder gleich dem Dreifachen eines minimalen Finnen-Mittenabstands ist (mit anderen Worten ist Pinter-adjacent ≥ 3Pm), und die Intra-Finnen 222A-2 einen benachbarten Intra-Finnen-Mittenabstand, der kleiner oder gleich dem Zweifachen eines minimalen Finnen-Mittenabstands ist (mit anderen Worten ist Pintra-adjacent ≤ 2Pm) ist. Beispielsweise hat die am weitesten links liegende Zwischen-Finne 222A-1 der Finnenstruktur 220A einen Mittenabstand der linken Finne, der gleich dem Zweifachen des minimalen Finnen-Mittenabstands ist (PL-inter = 2Pm), und einen Mittenabstand der rechten Finne, der gleich dem minimalen Finnen-Mittenabstand ist (PR-inter = Pm), so dass der benachbarte Finnen-Mittenabstand der Zwischen-Finne gleich dem Dreifachen des minimalen Finnen-Mittenabstands ist (mit anderen Worten ist Pinter-adjacent = 2Pm + Pm = 3Pm). In einigen Implementierungen ist der Mittenabstand der linken Finne der am weitesten links liegenden Zwischen-Finne 222A-1 größer als das Zweifache des minimalen Finnen-Mittenabstands und/oder der Mittenabstand der linken Finne der am weitesten links liegenden Zwischen-Finne 222A-1 ist kleiner als der minimale Finnen-Mittenabstand. Im Gegensatz dazu weist eine der Intra-Finnen 222A-2 einen Mittenabstand der linken Finne auf, der gleich dem minimalen Finnen-Mittenabstand ist (PL-intra = Pm), und einen Mittenabstand der rechten Finne, der gleich dem minimalen Finnen-Mittenabstand ist (PR-intra = Pm), so dass der benachbarte Finnen-Mittenabstand der Innen-Finne gleich dem Zweifachen des minimalen Finnen-Mittenabstands ist (mit anderen Worten ist Pintra-adjacent = Pm + Pm = 2Pm). In einigen Implementierungen ist der Mittenabstand der linken Finne und/oder der Mittenabstand der rechten Finne der Innen-Finne 222A-2 kleiner als der minimale Finnen- Mittenabstand.In continuation of the embodiment shown have the inter-fins 222A-1 an adjacent inter-fin pitch ( P inter-adjacent ) and the intra-Finns 222A-2 an adjacent intra-fin center distance ( P intra-adjacent ), which may be configured to further optimize gaps between fins for etching processes used during gate formation as described above. The adjacent inter-fin pitch is a sum of the center distance of an intermediate fin to a right fin and the center distance of the intermediate fin to a left fin. The center distance of the right fin ( P R-inter ) of an intermediate fin defines a distance between a center of an intermediate fin and a center of an adjacent (adjacent) fin, which is located to the right of the fin, and a left fin pitch ( P L-inter ) of an intermediate fin defines a distance between a center of an intermediate fin and a center of an adjacent (adjacent) fin disposed to the left of the fin. One of the center distance of the left fin of an intermediate fin and the center distance of the right fin of an intermediate fin is defined between an intermediate fin and an inner fin of the same fin structure, while the other of the center distance of the left fin of an intermediate fin and the center distance the right fin of an intermediate fin is defined between the intermediate fin and a fin of another fin structure. An adjacent intra-fin center distance is a sum of a center distance of the right fin of the inner fin and a center distance of the left fin of the inner fin. The center distance of the right fin ( P R intra ) an inner fin defines a distance between a center of an inner fin and a center of an adjacent (adjacent) fin, which is located to the right of the inner fin, and a left fin pitch ( P L-intra ) of an inner fin defines a distance between a center of an inner fin and a center of an adjacent (adjacent) fin located to the left of the inner fin. The center distance of the left fin of the inner fin and the center distance of the right fin of Inside fin are defined between fins of the same fin structure. In the embodiment shown, the inter-fins 222A-1 an adjacent inter-fin pitch greater than or equal to three times a minimum fin pitch (in other words, P is inter-adjacent ≥ 3P m ), and the intra-fins 222A-2 an adjacent intra-fin pitch that is less than or equal to twice a minimum fin pitch (in other words, P is intra-adjacent ≤ 2Pm). For example, has the leftmost intermediate fin 222A-1 the fin structure 220A a center distance of the left fin equal to twice the minimum fin pitch (P L -inter = 2P m ), and a pitch of the right fin equal to the minimum fin pitch (P R -inter = P m ) such that the adjacent fin center distance of the intermediate fin is equal to three times the minimum fin center distance (in other words, P is inter-adjacent = 2P m + P m = 3P m ). In some implementations, the center distance of the left fin is the leftmost intermediate fin 222A-1 greater than twice the minimum fin center distance and / or the center distance of the left fin of the leftmost intermediate fin 222A-1 is smaller than that minimum fin center distance. In contrast, one of the intra-Finns 222A-2 a center distance of the left fin, which is equal to the minimum fin center pitch (P L-intra = P m ), and a center distance of the right fin, which is equal to the minimum fin pitch (P R-intra = P m ), such that the adjacent fin center distance of the inner fin is equal to twice the minimum fin center distance (in other words, P intra-adjacent = Pm + Pm = 2P m ). In some implementations, the center distance of the left fin and / or the center distance of the right fin of the inner fin 222A-2 less than the minimum fin pitch.

Die vorliegende Offenbarung betrachtet Abweichungen in der Höhe, Breite und/oder Länge der Inter-Finnen 222A-1 und der Intra-Finnen 222A-2, die sich aus der Verarbeitung und Herstellung der integrierten Schaltungsvorrichtung 200 ergeben können. In der gezeigten Ausführungsform haben die Inter-Finnen 222A-1 und die Intra-Finnen 222A-2 verjüngte Breiten entlang ihrer jeweiligen Höhe, wobei die Breite Winter und die Breite Wintra jeweils einen Mittelwert der variierenden Breiten repräsentieren. Zum Beispiel verringern sich die Breiten der Inter-Finnen 222A-1 und/oder der Intra-Finnen 222A-2 von unteren Abschnitten der Inter-Finnen 222A-1 und/oder der Intra-Finnen 222A-2 zu oberen Abschnitten der Inter-Finnen 222A-1 und/oder die Intra-Finnen 222A-2, so dass die mittleren Breiten der oberen Abschnitte kleiner als die mittleren Breiten der unteren Abschnitte sind. In einigen Implementierungen können die Breiten von etwa 5 nm bis etwa 15 nm entlang der Inter-Finnen 222A-1 und/oder der Intra-Finnen 222A-2 schwanken, in Abhängigkeit davon, wo die Breiten entlang der Höhe der Inter-Finnen 222A-1 und der Intra-Finnen 222A-2 gemessen werden. Obwohl die Inter-Finnen 222A-1 und die Intra-Finnen 222A-2 so gezeigt sind, dass sie sich verjüngende Breiten aufweisen, weisen die Inter-Finnen 222A-1 und/oder die Intra-Finnen 222A-2 in einigen Implementierungen im Wesentlichen die gleiche Breite entlang ihrer jeweiligen Höhe auf.The present disclosure contemplates variations in the height, width and / or length of the inter-fins 222A-1 and the intra-Finns 222A-2 arising from the processing and manufacture of the integrated circuit device 200 can result. In the embodiment shown, the inter-fins 222A-1 and the intra-Finns 222A-2 tapered widths along their respective height, wherein the width W inter and the width W intra each represent an average of the varying widths. For example, the widths of the inter-fins decrease 222A-1 and / or the intra-Finns 222A-2 from lower sections of the inter-fins 222A-1 and / or the intra-Finns 222A-2 to upper sections of the inter-fins 222A-1 and / or the intra-Finns 222A-2 such that the average widths of the upper portions are smaller than the average widths of the lower portions. In some implementations, the widths may be from about 5 nm to about 15 nm along the inter-fins 222A-1 and / or the intra-Finns 222A-2 vary, depending on where the widths are along the height of the inter-fins 222A-1 and the intra-Finns 222A-2 be measured. Although the inter-fins 222A-1 and the intra-Finns 222A-2 The inter-fins are shown to have tapered widths 222A-1 and / or the intra-Finns 222A-2 in some implementations, essentially the same width along their respective height.

Die vorliegende Offenbarung sieht viele verschiedene Ausführungsformen vor. Eine beispielhafte integrierte Schaltungsvorrichtung umfasst einen Kernbereich, der eine erste Mehrfach-Finnenstruktur umfasst, und einen Eingabe/Ausgabe-Bereich, der eine zweite Mehrfach-Finnenstruktur umfasst. Die erste Mehrfach-Finnenstruktur hat eine erste Breite und die zweite Mehrfach-Finnenstruktur hat eine zweite Breite, wobei die erste Breite größer als die zweite Breite ist. In einigen Implementierungen weist die erste Mehrfach-Finnenstruktur einen ersten Finnenabstand auf und die zweite Mehrfach-Finnenstruktur weist einen zweiten Finnenabstand auf, wobei der erste Finnenabstand kleiner ist als der zweite Finnenabstand. In einigen Implementierungen weist die erste Mehrfach-Finnenstruktur zwei Finnen oder weniger auf und die zweite Mehrfach-Finnenstruktur drei Finnen oder mehr auf. In einigen Implementierungen ist ein erster Mittenabstand benachbarter Finnen der ersten Mehrfach-Finnenstruktur größer oder gleich dem Dreifachen eines minimalen Finnen-Mittenabstands und ein zweiter Mittenabstand benachbarter Finnen der zweiten Mehrfach-Finnenstruktur ist kleiner oder gleich dem Zweifachen des minimalen Finnen-Mittenabstands. In einigen Implementierungen umfassen die erste Mehrfach-Finnenstruktur und die zweite Mehrfach-Finnenstruktur Finnen mit sich verjüngender Breite.The present disclosure provides many different embodiments. An exemplary integrated circuit device includes a core region that includes a first multiple fin structure and an input / output region that includes a second multiple fin structure. The first multiple fin structure has a first width and the second multiple fin structure has a second width, wherein the first width is greater than the second width. In some implementations, the first multiple fin structure has a first fin spacing, and the second multiple fin structure has a second fin spacing, wherein the first fin spacing is less than the second fin spacing. In some implementations, the first multiple fin structure has two fins or less and the second multiple fin structure has three fins or more. In some implementations, a first pitch of adjacent fins of the first multiple fin structure is greater than or equal to three times a minimum fin pitch and a second pitch of adjacent fins of the second multiple fin structure is less than or equal to twice the minimum fin pitch. In some implementations, the first multiple fin structure and the second multiple fin structure include fins of tapered width.

In einigen Implementierungen umfasst die zweite Mehrfach-Finnenstruktur eine Zwischen-Finne mit einer Inter-Finnen-Breite und eine Innen-Finne mit einer Intra-Finnen-Breite, wobei die Inter-Finnen-Breite größer als die Intra-Finnen-Breite ist. In einigen Implementierungen weist die Zwischen-Finne einen Mittenabstand benachbarter Finnen der Zwischen-Finne auf, der größer oder gleich dem Dreifachen eines minimalen Finnen-Mittenabstands ist. In einigen Implementierungen weist die Innen-Finne einen Mittenabstand benachbarter Finnen der Innen-Finne auf, der kleiner oder gleich dem Zweifachen des minimalen Finnen-Mittenabstands ist. In einigen Implementierungen umfasst die integrierte Schaltungsvorrichtung ferner eine erste Gatestruktur, die über der ersten Mehrfach-Finnenstruktur angeordnet ist, und eine zweite Gatestruktur, die über der zweiten Mehrfach-Finnenstruktur angeordnet ist. In einigen Implementierungen umfasst der Kernbereich ferner eine dritte Mehrfach-Finnenstruktur mit einer dritten Breite, die größer als die zweite Breite ist, und wobei ferner ein Abstand zwischen der ersten Mehrfach-Finnenstruktur und der dritten Mehrfach-Finnenstruktur größer oder gleich dem Zweifachen eines minimalen Finnen-Mittenabstands ist.In some implementations, the second multiple fin structure includes an intermediate fin having an inter-fin width and an inner fin having an intra-fin width, wherein the inter-fin width is larger than the intra-fin width. In some implementations, the intermediate fin has a pitch of adjacent fins of the intermediate fin that is greater than or equal to three times a minimum fin pitch. In some implementations, the inner fin has a pitch of adjacent fins of the inner fin that is less than or equal to twice the minimum fin center distance. In some implementations, the integrated circuit device further includes a first gate structure disposed over the first multiple fin structure and a second gate structure disposed over the second multiple fin structure. In some implementations, the core region further includes a third multiple fin structure having a third width greater than the second width, and further wherein a distance between the first multiple fin structure and the third multiple fin structure is greater than or equal to twice a minimum fin Middle distance is.

Eine weitere beispielhafte integrierte Schaltungsvorrichtung umfasst eine Mehrfach-Finnenstruktur, die über einem Substrat angeordnet ist, wobei die Mehrfach-Finnenstruktur Intra-Finnen umfasst, die zwischen Inter-Finnen angeordnet sind. Die Inter-Finnen haben eine Inter-Finnen-Breite und die Intra-Finnen haben eine Intra-Finnen-Breite, wobei die Inter-Finnen-Breite größer ist als die Intra-Finnen-Breite. Die Inter-Finnen haben einen Mittenabstand benachbarter Finnen der Inter-Finnen, der größer oder gleich dem Dreifachen eines minimalen Finnen-Mittenabstands ist. Die Intra-Finnen haben einen Mittenabstand benachbarter Finnen der Intra-Finnen, der kleiner oder gleich dem Zweifachen des minimalen Finnen-Mittenabstands ist. In einigen Implementierungen ist die Mehrfach-Finnenstruktur in einem Eingabe/Ausgabe-Bereich angeordnet. In einigen Implementierungen umfasst der benachbarte Mittenabstand der Intra-Finnen einen ersten Mittenabstand zwischen einer ersten Innen-Finne der Intra-Finnen und einer zweiten Innen-Finne der Intra-Finnen und einen zweiten Mittenabstand zwischen der ersten Innen-Finne und einer dritten Innen-Finne der Intra-Finnen. In solchen Implementierungen sind der erste Mittenabstand und der zweite Mittenabstand gleich dem minimalen Finnen-Mittenabstand. In einigen Implementierungen umfasst die integrierte Schaltungsvorrichtung ferner eine Gatestruktur, die über der Mehrfach-Finnenstruktur angeordnet ist, wobei die Gatestruktur die Mehrfach-Finnenstruktur durchquert. In einigen Implementierungen haben die Inter-Finnen und die Intra-Finnen verjüngte Breiten. In einigen Implementierungen umfasst die integrierte Schaltungsvorrichtung ferner eine Mehrfach-Finnenstruktur, die in einem ersten Bereich angeordnet ist. In solchen Implementierungen umfasst der benachbarte Mittenabstand der Zwischen-Finne einen ersten Mittenabstand zwischen einer Zwischen-Finne der Inter-Finnen und einer benachbarten Innen-Finne der Intra-Finnen und einen zweiten Mittenabstand zwischen der Zwischen-Finne und einer Finne, die in einem zweiten Bereich angeordnet ist, der benachbart zu dem ersten Bereich ist. Der erste Mittenabstand ist gleich dem minimalen Finnen-Mittenabstand. Der zweite Mittenabstand ist größer oder gleich dem Zweifachen des minimalen Finnen-Mittenabstands. In einigen Implementierungen ist der erste Bereich ein Eingabe/Ausgabe-Bereich und der zweite Bereich ist ein Logik-Bereich.Another exemplary integrated circuit device includes a multi-fin structure disposed over a substrate, wherein the multiple fin structure includes intra-fins interposed between inter-fins. The inter-fins have an inter-fin width and the intra-fins have an intra-fin width, with the inter-fin width being greater than the intra-fin width. The inter-fins have a pitch of adjacent fins of the inter-fins that is greater than or equal to three times a minimum fin pitch. The intra-fins have a pitch of adjacent fins of the intra-fins that is less than or equal to twice the minimum fin-center pitch. In some implementations, the multiple fin structure is arranged in an input / output area. In some implementations, the adjacent center distance of the intra-fins includes a first center distance between a first inner fin of the intra-fins and a second inner fin of the intra-fins and a second center distance between the first inner fin and a third inner fin the Intra-Finns. In such implementations, the first pitch and the second pitch are equal to the minimum fin pitch. In some implementations, the integrated circuit device further includes a gate structure disposed over the multiple fin structure, wherein the gate structure traverses the multiple fin structure. In some implementations, the inter-fins and the intra-fins have tapered widths. In some implementations, the integrated circuit device further includes a multiple fin structure disposed in a first region. In such implementations, the adjacent center-to-center spacing of the intermediate fin comprises a first pitch between an intermediate fin of the inter-fins and an adjacent inner fin of the intra-fins and a second pitch between the intermediate fin and a fin in a second Area is arranged, which is adjacent to the first area. The first pitch is equal to the minimum fin pitch. The second center distance is greater than or equal to twice the minimum fin center distance. In some implementations, the first area is an input / output area and the second area is a logic area.

Ein beispielhaftes Verfahren umfasst das Ausbilden einer ersten Mehrfach-Finnenstruktur in einem Kernbereich, das Ausbilden einer zweiten Mehrfach-Finnenstruktur in einem Randbereich und das Ausbilden einer ersten Gatestruktur über der ersten Mehrfach-Finnenstruktur und einer zweiten Gatestruktur über der zweiten Mehrfach-Finnenstruktur. Die erste Mehrfach-Finnenstruktur hat eine erste Breite. Die zweite Mehrfach-Finnenstruktur hat eine zweite Breite. Die erste Breite ist größer als die zweite Breite. In einigen Implementierungen weist die erste Mehrfach-Finnenstruktur zwei Finnen oder weniger auf und die zweite Mehrfach-Finnenstruktur weist drei Finnen oder mehr auf. In einigen Implementierungen ist ein erster Mittenabstand benachbarter Finnen der ersten Mehrfach-Finnenstruktur mindestens das Dreifache eines minimalen Finnen-Mittenabstands und ein zweiter Mittenabstand benachbarter Finnen der zweiten Mehrfach-Finnenstruktur ist kleiner oder gleich dem Zweifachen des minimalen Finnen-Mittenabstands. In einigen Implementierungen werden die erste Mehrfach-Finnenstruktur und die zweite Mehrfach-Finnenstruktur gleichzeitig ausgebildet. In einigen Implementierungen umfasst das Ausbilden der ersten Mehrfach-Finnenstruktur und das Ausbilden der zweiten Mehrfach-Finnenstruktur das Ausbilden einer strukturierten Maskenschicht über einem Substrat, wobei die strukturierte Maskenschicht erste Öffnungen zum Definieren der ersten Mehrfach-Finnenstruktur und zweite Öffnungen zum Definieren der zweiten Mehrfach-Finnenstruktur umfasst, und das Ätzen durch die ersten Öffnungen und die zweiten Öffnungen in der strukturierten Maskenschicht freigelegten Substrats. In einigen Implementierungen umfassen die erste Gatestruktur und die zweite Gatestruktur Dummy-Gates, und das Verfahren umfasst ferner das Ersetzen der Dummy-Gates durch Metallgates.An exemplary method includes forming a first multiple fin structure in a core region, forming a second multiple fin structure in an edge region, and forming a first gate structure over the first multiple fin structure and a second gate structure over the second multiple fin structure. The first multiple fin structure has a first width. The second multiple fin structure has a second width. The first width is greater than the second width. In some implementations, the first multiple fin structure has two fins or less and the second multiple fin structure has three fins or more. In some implementations, a first pitch of adjacent fins of the first multiple fin structure is at least three times a minimum fin pitch and a second pitch of adjacent fins of the second multiple fin structure is less than or equal to twice the minimum fin pitch. In some implementations, the first multiple fin structure and the second multiple fin structure are formed simultaneously. In some implementations, forming the first multiple fin structure and forming the second multiple fin structure comprises forming a patterned mask layer over a substrate, wherein the patterned mask layer includes first openings for defining the first multiple fin structure and second openings for defining the second multiple fin structure. Fin structure, and etching through the first openings and the second openings in the patterned mask layer exposed substrate. In some implementations, the first gate structure and the second gate structure include dummy gates, and the method further includes replacing the dummy gates with metal gates.

Das Vorangehende beschreibt Merkmale von mehreren Ausführungsformen, so dass ein Fachmann die Aspekte der vorliegenden Offenbarung besser verstehen kann. Der Fachmann sollte anerkennen, dass er die vorliegende Offenbarung leicht als Basis verwenden kann, um andere Verfahren und Strukturen zu entwerfen oder zu modifizieren, um die gleichen Ziele zu erreichen und/oder die gleichen Vorteile der hier eingeführten Ausführungsformen zu realisieren. Der Fachmann sollte auch erkennen, dass solche äquivalenten Konstruktionen nicht von dem Geist und Schutzumfang der vorliegenden Offenbarung abweichen und dass er verschiedene Änderungen, Ersetzungen und Modifikationen hier vornehmen kann, ohne von dem Geist und Schutzumfang der vorliegenden Offenbarung abzuweichen.The foregoing describes features of several embodiments so that one skilled in the art can better understand the aspects of the present disclosure. One skilled in the art should appreciate that the present disclosure may be readily utilized as a basis to design or modify other methods and structures to achieve the same objects and / or to realize the same advantages of the embodiments introduced herein. It should also be understood by those skilled in the art that such equivalent constructions do not depart from the spirit and scope of the present disclosure and that various changes, substitutions, and alterations can be made herein without departing from the spirit and scope of the present disclosure.

Claims (20)

Integrierte Schaltungsvorrichtung, umfassend: einen Kernbereich, der eine erste Mehrfach-Finnenstruktur umfasst; einen Eingabe/Ausgabe-Bereich, der eine zweite Mehrfach-Finnenstruktur umfasst; und wobei die erste Mehrfach-Finnenstruktur eine erste Breite und die zweite Mehrfach-Finnenstruktur eine zweite Breite aufweist, wobei die erste Breite größer als die zweite Breite ist.Integrated circuit device comprising: a core portion comprising a first multiple fin structure; an input / output area including a second multiple fin structure; and wherein the first multiple fin structure has a first width and the second multiple fin structure has a second width, wherein the first width is greater than the second width. Integrierte Schaltungsvorrichtung nach Anspruch 1, wobei die erste Mehrfach-Finnenstruktur einen ersten Finnenabstand aufweist und die zweite Mehrfach-Finnenstruktur einen zweiten Finnenabstand aufweist, wobei der erste Finnenabstand kleiner als der zweite Finnenabstand ist.Integrated circuit device according to Claim 1 wherein the first multiple fin structure has a first fin pitch and the second multiple fin structure has a second fin spacing, the first fin spacing being smaller than the second fin pitch. Integrierte Schaltungsvorrichtung nach Anspruch 1 oder 2, wobei: die erste Mehrfach-Finnenstruktur zwei Finnen oder weniger aufweist, wobei ein erster Mittenabstand benachbarter Finnen der ersten Mehrfach-Finnenstruktur größer oder gleich dem Dreifachen eines minimalen Finnen-Mittenabstands ist; und die zweite Mehrfach-Finnenstruktur drei Finnen oder mehr aufweist, wobei ein zweiter Mittenabstand benachbarter Finnen der zweiten Mehrfach-Finnenstruktur kleiner oder gleich dem Zweifachen des minimalen Finnen-Mittenabstands ist.Integrated circuit device according to Claim 1 or 2 wherein: the first multiple fin structure has two fins or less, wherein a first pitch of adjacent fins of the first multiple fin structure is greater than or equal to three times a minimum fin center distance; and the second multiple fin structure has three fins or more, wherein a second center distance of adjacent fins of the second multiple fin structure is less than or equal to twice the minimum fin center distance. Integrierte Schaltungsvorrichtung nach einem der vorhergehenden Ansprüche, wobei die zweite Mehrfach-Finnenstruktur eine Zwischen-Finne mit einer Inter-Finnen-Breite und eine Innen-Finne mit einer Intra-Finnen-Breite umfasst, wobei die Inter-Finnen-Breite größer als die Inter-Finnen-Breite ist.Integrated circuit device according to one of the preceding claims, wherein the second Multiple fin structure comprises an intermediate fin having an inter-fin width and an inner fin having an intra-fin width, wherein the inter-fin width is larger than the inter-fin width. Integrierte Schaltungsvorrichtung nach Anspruch 4, wobei: die Zwischen-Finne einen Mittenabstand zwischen einer benachbarten Finne und der Zwischen-Finne aufweist, der größer oder gleich dem Dreifachen eines minimalen Finnen-Mittenabstands ist; und die Innen-Finne einen Mittenabstand zwischen einer benachbarten Finne und der Innen-Finne aufweist, der kleiner oder gleich dem Zweifachen des minimalen Finnen-Mittenabstands ist.Integrated circuit device according to Claim 4 wherein: the intermediate fin has a center distance between an adjacent fin and the intermediate fin that is greater than or equal to three times a minimum fin center distance; and the inner fin has a center distance between an adjacent fin and the inner fin that is less than or equal to twice the minimum fin center distance. Integrierte Schaltungsvorrichtung nach einem der vorhergehenden Ansprüche, wobei die erste Mehrfach-Finnenstruktur und die zweite Mehrfach-Finnenstruktur Finnen mit sich verjüngender Breite aufweisen.The integrated circuit device of any one of the preceding claims, wherein the first multiple fin structure and the second multiple fin structure comprise fins of tapered width. Integrierte Schaltungsvorrichtung nach einem der vorhergehenden Ansprüche, die ferner eine erste Gatestruktur, die über der ersten Mehrfach-Finnenstruktur angeordnet ist, und eine zweite Gatestruktur umfasst, die über der zweiten Mehrfach-Finnenstruktur angeordnet ist.The integrated circuit device of claim 1, further comprising a first gate structure disposed over the first multiple fin structure and a second gate structure disposed over the second multiple fin structure. Integrierte Schaltungsvorrichtung nach einem der vorhergehenden Ansprüche, wobei der Kernbereich ferner eine dritte Mehrfach-Finnenstruktur mit einer dritten Breite umfasst, die größer als die zweite Breite ist, und wobei ferner ein Abstand zwischen der ersten Mehrfach-Finnenstruktur und der dritten Mehrfach-Finnenstruktur größer oder gleich dem Zweifachen eines minimalen Finnen-Mittenabstands ist.The integrated circuit device of claim 1, wherein the core region further comprises a third multiple fin structure having a third width greater than the second width, and further wherein a distance between the first multiple fin structure and the third multiple fin structure is greater than or equal to is equal to twice a minimum fin center distance. Integrierte Schaltungsvorrichtung, umfassend: eine Mehrfach-Finnenstruktur, die über einem Substrat angeordnet ist, wobei die Mehrfach-Finnenstruktur Intra-Finnen aufweist, die zwischen Inter-Finnen angeordnet sind; wobei die Inter-Finnen eine Inter-Finnen-Breite aufweisen und die Intra-Finnen eine Intra-Finnen-Breite aufweisen, wobei die Inter-Finnen-Breite größer als die Intra-Finnen-Breite ist; wobei die Inter-Finnen einen Mittenabstand zu benachbarten Finnen der Inter-Finnen aufweisen, der größer oder gleich dem Dreifachen eines minimalen Finnen-Mittenabstands ist; und wobei die Intra-Finnen einen Mittenabstand zu benachbarten Finnen der Intra-Finnen aufweisen, der kleiner oder gleich dem Zweifachen des minimalen Finnen-Mittenabstands ist.Integrated circuit device comprising: a multiple fin structure disposed over a substrate, the multiple fin structure having intra-fins interposed between inter-fins; the inter-fins having an inter-fin width and the intra-fins having an intra-fin width, the inter-fin width being greater than the intra-fin width; wherein the inter-fins have a pitch to adjacent fins of the inter-fins that is greater than or equal to three times a minimum fin pitch; and wherein the intra-fins have a center-to-center distance to adjacent fins of the intra-fins that is less than or equal to twice the minimum fin-center distance. Integrierte Schaltungsvorrichtung nach Anspruch 9, wobei: die Mehrfach-Finnenstruktur in einem ersten Bereich angeordnet ist; der Mittenabstand zwischen einer benachbarten Finne und der Inter-Finne einen ersten Mittenabstand zwischen einer Zwischen-Finne der Inter-Finnen und einer benachbarten Innen-Finne der Intra-Finnen und einen zweiten Mittenabstand zwischen der Zwischen-Finne und einer in einem zweiten Bereich angeordneten Finne umfasst, der benachbart zu dem ersten Bereich ist; und der erste Mittenabstand gleich dem minimalen Finnen-Mittenabstand ist; und der zweite Mittenabstand größer oder gleich dem Zweifachen des minimalen Finnen-Mittenabstands ist.Integrated circuit device according to Claim 9 wherein: the multiple fin structure is disposed in a first region; the center distance between an adjacent fin and the inter-fin has a first center distance between an intermediate fin of the inter-fins and an adjacent inner fin of the intra-fins and a second center distance between the intermediate fin and a fin arranged in a second region which is adjacent to the first area; and the first pitch is equal to the minimum fin pitch; and the second pitch is greater than or equal to twice the minimum fin center distance. Integrierte Schaltungsvorrichtung nach Anspruch 10, wobei der erste Bereich ein Eingabe/Ausgabe-Bereich ist und der zweite Bereich ein Logik-Bereich ist.Integrated circuit device according to Claim 10 wherein the first area is an input / output area and the second area is a logic area. Integrierte Schaltungsvorrichtung nach einem der Ansprüche 9 bis 11, wobei: der Mittenabstand zwischen einer benachbarten Finne und der Intra-Finnen einen ersten Mittenabstand zwischen einer ersten Innen-Finne und einer zweiten Innen-Finne und einen zweiten Mittenabstand zwischen der ersten Innen-Finne und einer dritten Innen-Finne der Intra-Finnen umfasst; und der erste Mittenabstand und der zweite Mittenabstand gleich dem minimalen Finnen-Mittenabstand sind.Integrated circuit device according to one of Claims 9 to 11 wherein: the center distance between an adjacent fin and the intra-fin includes a first center distance between a first inner fin and a second inner fin and a second center distance between the first inner fin and a third inner fin of the intra-fins ; and the first center distance and the second center distance are equal to the minimum fin pitch. Integrierte Schaltungsvorrichtung nach einem der Ansprüche 9 bis 12, wobei die Mehrfach-Finnenstruktur in einem Eingabe/Ausgabe-Bereich angeordnet ist.Integrated circuit device according to one of Claims 9 to 12 wherein the multiple fin structure is arranged in an input / output area. Integrierte Schaltungsvorrichtung nach einem der Ansprüche 9 bis 13, wobei die Inter-Finnen und die Intra-Finnen sich verjüngende Breiten aufweisen.Integrated circuit device according to one of Claims 9 to 13 wherein the inter-fins and the intra-fins have tapered widths. Integrierte Schaltungsvorrichtung nach einem der Ansprüche 9 bis 14, die ferner eine Gatestruktur umfasst, die über der Mehrfach-Finnenstruktur angeordnet ist, wobei die Gatestruktur die Mehrfach-Finnenstruktur quert.Integrated circuit device according to one of Claims 9 to 14 further comprising a gate structure disposed over the multiple fin structure, wherein the gate structure traverses the multiple fin structure. Verfahren, umfassend: Ausbilden einer ersten Mehrfach-Finnenstruktur in einem Kernbereich, wobei die erste Mehrfach-Finnenstruktur eine erste Breite aufweist; Ausbilden einer zweiten Mehrfach-Finnenstruktur in einem Randbereich, wobei die zweite Mehrfach-Finnenstruktur eine zweite Breite aufweist und wobei die erste Breite größer als die zweite Breite ist; und Ausbilden einer ersten Gatestruktur über der ersten Mehrfach-Finnenstruktur und einer zweiten Gatestruktur über der zweiten Mehrfach-Finnenstruktur.Method, comprising: Forming a first multiple fin structure in a core region, the first multiple fin structure having a first width; Forming a second multiple fin structure in an edge region, the second multiple fin structure having a second width, and wherein the first width is greater than the second width; and Forming a first gate structure over the first multiple fin structure and a second gate structure over the second multiple fin structure. Verfahren nach Anspruch 16, wobei: die erste Mehrfach-Finnenstruktur zwei Finnen oder weniger aufweist, wobei ein erster Mittenabstand benachbarter Finnen der ersten Mehrfach-Finnenstruktur mindestens das Dreifache eines minimalen Finnen-Mittenabstands beträgt; und die zweite Mehrfach-Finnenstruktur drei Finnen oder mehr aufweist, wobei ein zweiter Mittenabstand benachbarter Finnen der zweiten Mehrfach-Finnenstruktur kleiner oder gleich dem Zweifachen des minimalen Finnen-Mittenabstands ist.Method according to Claim 16 , in which: the first multiple fin structure has two fins or less, wherein a first pitch of adjacent fins of the first multiple fin structure is at least three times a minimum fin pitch; and the second multiple fin structure has three fins or more, wherein a second center distance of adjacent fins of the second multiple fin structure is less than or equal to twice the minimum fin center distance. Verfahren nach Anspruch 16 oder 17, wobei die erste Mehrfach-Finnenstruktur und die zweite Mehrfach-Finnenstruktur gleichzeitig ausgebildet werden.Method according to Claim 16 or 17 wherein the first multiple fin structure and the second multiple fin structure are formed simultaneously. Verfahren nach einem der Ansprüche 16 bis 18, wobei das Ausbilden der ersten Mehrfach-Finnenstruktur und das Ausbilden der zweiten Mehrfach-Finnenstruktur umfasst: Ausbilden einer strukturierten Maskenschicht über einem Substrat, wobei die strukturierte Maskenschicht erste Öffnungen zum Definieren der ersten Mehrfach-Finnenstruktur und zweite Öffnungen zum Definieren der zweiten Mehrfach-Finnenstruktur umfasst; und Ätzen des durch die ersten Öffnungen und die zweiten Öffnungen in der strukturierten Maskenschicht freigelegten Substrats.Method according to one of Claims 16 to 18 wherein forming the first multiple fin structure and forming the second multiple fin structure comprises forming a patterned mask layer over a substrate, wherein the patterned mask layer includes first openings for defining the first multiple fin structure and second openings for defining the second multiple fin structure includes; and etching the substrate exposed by the first openings and the second openings in the patterned masking layer. Verfahren nach einem der Ansprüche 16 bis 19, wobei die erste Gatestruktur und die zweite Gatestruktur Dummy-Gates umfassen und das Verfahren ferner das Ersetzen der Dummy-Gates durch Metallgates umfasst.Method according to one of Claims 16 to 19 wherein the first gate structure and the second gate structure comprise dummy gates, and the method further comprises replacing the dummy gates with metal gates.
DE102017126538.4A 2017-08-29 2017-11-13 LOAD OPTIMIZATION OF CRITICAL DIMENSIONS OF FINNEN Pending DE102017126538A1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762551624P 2017-08-29 2017-08-29
US62/551,624 2017-08-29
US15/799,611 US10692769B2 (en) 2017-08-29 2017-10-31 Fin critical dimension loading optimization
US15/799,611 2017-10-31

Publications (1)

Publication Number Publication Date
DE102017126538A1 true DE102017126538A1 (en) 2019-02-28

Family

ID=65320831

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102017126538.4A Pending DE102017126538A1 (en) 2017-08-29 2017-11-13 LOAD OPTIMIZATION OF CRITICAL DIMENSIONS OF FINNEN

Country Status (1)

Country Link
DE (1) DE102017126538A1 (en)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130037871A1 (en) * 2011-08-08 2013-02-14 Kabushiki Kaisha Toshiba Integrated circuit device and method for manufacturing same
US20170148681A1 (en) * 2015-11-23 2017-05-25 International Business Machines Corporation Fin pitch scaling for high voltage devices and low voltage devices on the same wafer
US9691664B1 (en) * 2016-06-01 2017-06-27 Globalfoundries Inc. Dual thick EG oxide integration under aggressive SG fin pitch

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130037871A1 (en) * 2011-08-08 2013-02-14 Kabushiki Kaisha Toshiba Integrated circuit device and method for manufacturing same
US20170148681A1 (en) * 2015-11-23 2017-05-25 International Business Machines Corporation Fin pitch scaling for high voltage devices and low voltage devices on the same wafer
US9691664B1 (en) * 2016-06-01 2017-06-27 Globalfoundries Inc. Dual thick EG oxide integration under aggressive SG fin pitch

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
ZHANG, Xiaoxiao et al.: Adressing FinFET metrology challenges in 1x node using tilt-beam critical dimension scanning electron microscope. In: J. Micro/Nanolith., MEMS, MOEMS, Vol. 13, 2014, No. 4, S. 041407-1 bis 6. *

Similar Documents

Publication Publication Date Title
US11004747B2 (en) Fin critical dimension loading optimization
DE102017119616B4 (en) Spacers for nanowire-based integrated circuit devices and manufacturing methods
DE102019116861B4 (en) Fin patterning method and integrated circuit device with adjacent FinFET devices
DE102019116730B4 (en) Partially barrier-free vias for cobalt-based interconnects and methods for their manufacture
DE102018113843B4 (en) Fin and gate dimensions to optimize gate formation
DE102015113081B4 (en) Field effect transistor structure with multiple threshold voltages and manufacturing method therefor
DE102012110995B4 (en) Method for producing a FinFET device
DE102015112267B4 (en) PROCEDURE AND STRUCTURE FOR FINFET
DE102017120565B4 (en) THROUGH CONTACTS FOR INTERMEDIATE CONNECTIONS ON THE BASIS OF COBALT AND PROCESS FOR THEIR PRODUCTION
DE102018109911A1 (en) Finn-based strip cell structure to improve storage performance
DE102017127708A1 (en) Threshold voltage tuning for fin-based integrated circuit device
DE102015100165A1 (en) METHOD AND STRUCTURE FOR FINFET INSULATION
DE102020115430A1 (en) P-METALL-GATE FIRST-GATE REPLACEMENT PROCESS FOR MULTIPLE GATE DEVICES
DE102018122862A1 (en) Method for improving topography of dielectric interlayers
DE102021108885A1 (en) FORMATION OF ESD COMPONENTS USING MULTIGATE COMPATIBLE PROCESSES
DE102019130454A1 (en) GATE STRUCTURES WITH NEUTRAL ZONES TO MINIMIZE METAL GATE LIMIT EFFECTS AND METHOD FOR THE PRODUCTION THEREOF
DE102021100877A1 (en) AIR GAP CENTERLINE CONNECTION STRUCTURE AND METHOD OF MANUFACTURE THEREOF
US20210384352A1 (en) Methods of Forming Contact Features in Field-Effect Transistors
DE102017126850A1 (en) Doping method with compliant insertion for a fin field effect transistor
DE102018124812B4 (en) GATE DIELECTRIUM PRESERVING GATE CUTTING PROCESS
DE102021109461A1 (en) NANOSTRUCTURE FIELD EFFECT TRANSISTOR DEVICE AND METHOD OF FORMING SAME
DE102017126538A1 (en) LOAD OPTIMIZATION OF CRITICAL DIMENSIONS OF FINNEN
DE102017111540A1 (en) PROCESS FOR SOURCE AND DRAIN MANUFACTURING FOR FINNEL FIELD EFFECT TRANSISTORS
DE102023100726A1 (en) ISOLATION FOR MULTIGATE DEVICES
DE102021116974A1 (en) MULTI-GATE DEVICES WITH VARYING CHANNEL LAYERS

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R016 Response to examination communication