DE102017111540A1 - PROCESS FOR SOURCE AND DRAIN MANUFACTURING FOR FINNEL FIELD EFFECT TRANSISTORS - Google Patents

PROCESS FOR SOURCE AND DRAIN MANUFACTURING FOR FINNEL FIELD EFFECT TRANSISTORS Download PDF

Info

Publication number
DE102017111540A1
DE102017111540A1 DE102017111540.4A DE102017111540A DE102017111540A1 DE 102017111540 A1 DE102017111540 A1 DE 102017111540A1 DE 102017111540 A DE102017111540 A DE 102017111540A DE 102017111540 A1 DE102017111540 A1 DE 102017111540A1
Authority
DE
Germany
Prior art keywords
epitaxial
drain
containing precursor
source
fin
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102017111540.4A
Other languages
German (de)
Inventor
Yao-De Chiou
Wei-Yuan Lu
Chien-I Kuo
Sai-Hooi Yeong
Yen-Ming Chen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/596,963 external-priority patent/US10510762B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102017111540A1 publication Critical patent/DE102017111540A1/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/12Static random access memory [SRAM] devices comprising a MOSFET load element

Abstract

Hier werden Verfahren zur Source- und Drain-Herstellung für Finnen-Feldeffekttransistoren (FinFETs) beschrieben. Ein beispielhaftes Verfahren zur Herstellung von epitaxialen Source-/Drain-Strukturelementen für einen FinFET umfasst das epitaxiale Aufwachsen eines Halbleitermaterials auf eine Vielzahl von Finnen unter Verwendung eines siliziumhaltigen Vorläufers und eines chlorhaltigen Vorläufers. Das Halbleitermaterial verschmilzt zu einem epitaxialen Strukturelement, das sich über die Vielzahl von Finnen erstreckt, wobei die Vielzahl von Finnen einen Finnenabstand hat, der kleiner als etwa 25 nm ist. Ein Verhältnis eines Durchsatzes des siliziumhaltigen Vorläufers zu einem Durchsatz des chlorhaltigen Vorläufers ist kleiner als etwa 5. Das Verfahren umfasst weiterhin das Rückätzen des Halbleitermaterials unter Verwendung des chlorhaltigen Vorläufers, wodurch ein Profil des epitaxialen Strukturelements modifiziert wird. Das epitaxiale Aufwachsen und das Rückätzen brauchen nur einmal durchgeführt zu werden. Bei einigen Ausführungsformen, bei denen der FinFET ein n-FinFET ist, wird für das epitaxiale Aufwachsen außerdem ein phosphorhaltiger Vorläufer verwendet.Here, methods for source and drain fabrication for fin field effect transistors (FinFETs) are described. An exemplary method of fabricating epitaxial source / drain features for a FinFET involves epitaxially growing a semiconductor material on a plurality of fins using a silicon-containing precursor and a chlorine-containing precursor. The semiconductor material fuses into an epitaxial structure member extending over the plurality of fins, the plurality of fins having a fin pitch that is less than about 25 nm. A ratio of throughput of the silicon-containing precursor to throughput of the chlorine-containing precursor is less than about 5. The method further comprises re-etching the semiconductor material using the chlorine-containing precursor thereby modifying a profile of the epitaxial structural element. Epitaxial growth and re-etching only need to be done once. In some embodiments, where the FinFET is an n-FinFET, a phosphorus-containing precursor is also used for epitaxial growth.

Description

Diese Anmeldung ist eine nicht vorläufige Anmeldung und beansprucht die Priorität der am 15. Dezember 2016 eingereichten vorläufigen US-Patentanmeldung mit dem Aktenzeichen 62/434.608, die durch Bezugnahme aufgenommen ist.This application is a non-provisional application and claims priority from US Provisional Patent Application No. 62 / 434,608 filed Dec. 15, 2016, which is incorporated by reference.

Hintergrund der ErfindungBackground of the invention

Die IC-Branche (IC: integrierter Schaltkreis) hat ein exponentielles Wachstum erfahren. Technologische Fortschritte bei IC-Materialien und -Entwürfen haben Generationen von ICs hervorgebracht, wobei jede Generation kleinere und komplexere Schaltkreise als die vorhergehende Generation hat. Im Laufe der IC-Evolution hat die Funktionsdichte (d. h. die Anzahl von miteinander verbundenen Bauelementen je Chipfläche) im Allgemeinen zugenommen, während die Strukturgröße (d. h. die kleinste Komponente oder Leitung, die mit einem Herstellungsverfahren erzeugt werden kann) abgenommen hat. Dieser Prozess der Verkleinerung bietet im Allgemeinen Vorteile durch die Erhöhung der Produktivität und die Senkung der entsprechenden Kosten.The integrated circuit (IC) industry has experienced exponential growth. Technological advances in IC materials and designs have spawned generations of ICs, with each generation having smaller and more complex circuits than the previous generation. In the course of IC evolution, the functional density (i.e., the number of interconnected devices per die area) has generally increased while the feature size (i.e., the smallest component or line that can be produced with a fabrication process) has decreased. This process of downsizing generally provides benefits by increasing productivity and reducing associated costs.

Diese Verkleinerung hat aber auch die Komplexität der Bearbeitung und Herstellung von ICs erhöht, und damit diese Fortschritte realisiert werden können, sind ähnliche Entwicklungen bei der IC-Bearbeitung und -Herstellung erforderlich. Da sich zum Beispiel FinFET-Technologien (FinFET: Finnen-Feldeffekttransistor) hin zu kleineren Strukturgrößen (wie etwa 32 Nanometer, 28 Nanometer, 20 Nanometer und kleiner) bewegen, werden moderne Verfahren zum exakten Steuern von Profilen und/oder Abmessungen von Source-/Drain-Strukturelementen benötigt, um die Zuverlässigkeit von FinFET-Bauelementen zu gewährleisten und/oder zu optimieren. Zwar sind bestehende Verfahren zur Source- und Drain-Herstellung für FinFETs bisher im Großen und Ganzen für ihren Zweck adäquat gewesen, aber sie sind nicht in jeder Hinsicht zufriedenstellend.However, this downsizing has also increased the complexity of processing and manufacturing ICs, and in order for these advances to be realized, similar developments in IC processing and fabrication are required. For example, as finFET (finFET: field effect transistor) technologies move to smaller feature sizes (such as 32 nanometers, 28 nanometers, 20 nanometers, and smaller), modern methods for accurately controlling profiles and / or dimensions of source / Drain features needed to ensure and / or optimize the reliability of FinFET devices. While existing methods of source and drain fabrication for FinFETs have heretofore been broadly adequate for their purpose, they are not satisfactory in every way.

Figurenlistelist of figures

Die vorliegende Erfindung lässt sich am besten anhand der nachstehenden detaillierten Beschreibung in Verbindung mit den beigefügten Zeichnungen verstehen. Es ist zu beachten, dass entsprechend der üblichen Praxis in der Branche verschiedene Elemente nicht maßstabsgetreu gezeichnet sind und nur der Erläuterung dienen. Vielmehr können der Übersichtlichkeit der Erörterung halber die Abmessungen der verschiedenen Elemente beliebig vergrößert oder verkleinert sein.

  • 1 ist eine vereinfachte schematische Draufsicht (teilweise und vollständig) eines FinFET-basierten SRAM-Bauelements (SRAM: statischer Direktzugriffsspeicher) gemäß verschiedenen Aspekten der vorliegenden Erfindung.
  • 2 ist ein Ablaufdiagramm eines Verfahrens zur Herstellung eines integrierten Schaltkreiselements mit FinFET-Bauelementen gemäß verschiedenen Aspekten der vorliegenden Erfindung.
  • Die 3A bis 3J sind Teilschnittansichten eines integrierten Schaltkreises mit FinFET-Bauelementen (teilweise oder vollständig) auf verschiedenen Herstellungsstufen, wie etwa denen, die mit dem Verfahren von 2 assoziiert sind, gemäß verschiedenen Aspekten der vorliegenden Erfindung.
The present invention will be best understood from the following detailed description taken in conjunction with the accompanying drawings. It should be noted that, according to common practice in the industry, various elements are not drawn to scale and are only illustrative. Rather, for the sake of clarity of the discussion, the dimensions of the various elements can be arbitrarily increased or reduced.
  • 1 FIG. 5 is a simplified schematic plan view (partial and complete) of a FinFET based SRAM (SRAM) device in accordance with various aspects of the present invention.
  • 2 FIG. 10 is a flowchart of a method of fabricating an integrated circuit device with FinFET devices according to various aspects of the present invention.
  • The 3A to 3J 12 are partial cross-sectional views of an integrated circuit with FinFET devices (partially or completely) at various stages of fabrication, such as those obtained by the method of FIG 2 according to various aspects of the present invention.

Detaillierte BeschreibungDetailed description

Die vorliegende Erfindung betrifft allgemein integrierte Schaltkreiselemente und insbesondere Finnen-Feldeffekttransistoren (FinFETs).The present invention relates generally to integrated circuit elements, and more particularly to fin field effect transistors (FinFETs).

Die nachstehende Beschreibung stellt viele verschiedene Ausführungsformen oder Beispiele zum Implementieren verschiedener Merkmale der Erfindung bereit. Nachstehend werden spezielle Beispiele für Komponenten und Anordnungen beschrieben, um die vorliegende Erfindung zu vereinfachen. Diese sind natürlich lediglich Beispiele und sollen nicht beschränkend sein. Zum Beispiel kann die Herstellung eines ersten Elements über oder auf einem zweiten Element in der nachstehenden Beschreibung Ausführungsformen umfassen, bei denen das erste und das zweite Element in direktem Kontakt ausgebildet werden, und sie kann auch Ausführungsformen umfassen, bei denen zusätzliche Elemente zwischen dem ersten und dem zweiten Element so ausgebildet werden können, dass das erste und das zweite Element nicht in direktem Kontakt sind.The following description provides many different embodiments or examples for implementing various features of the invention. Hereinafter, specific examples of components and arrangements will be described in order to simplify the present invention. Of course these are just examples and should not be limiting. For example, the fabrication of a first element over or on a second element in the description below may include embodiments in which the first and second elements are formed in direct contact, and may also include embodiments in which additional elements are interposed between the first and second elements the second element can be formed so that the first and the second element are not in direct contact.

Darüber hinaus können in der vorliegenden Erfindung Bezugszahlen und/oder -buchstaben in den verschiedenen Beispielen wiederholt werden. Diese Wiederholung dient der Einfachheit und Übersichtlichkeit und schreibt an sich keine Beziehung zwischen den verschiedenen erörterten Ausführungsformen und/oder Konfigurationen vor. Außerdem kann in der nachstehend beschriebenen vorliegenden Erfindung die Herstellung eines Elements auf einem anderen Element und/oder die Herstellung eines Elements, das mit einem anderen Element verbunden und/oder gekoppelt ist, Ausführungsformen umfassen, bei denen die Elemente in direktem Kontakt hergestellt werden, und sie kann auch Ausführungsformen umfassen, bei denen zusätzliche Elemente zwischen den Elementen hergestellt werden können, sodass die Elemente nicht in direktem Kontakt sind. Darüber hinaus werden zur Vereinfachung der Beschreibung der Beziehung eines Elements zu einem anderen Element räumlich relative Begriffe, wie etwa „unterer“, „oberer“, „horizontaler“, „vertikaler“, „oberhalb“, „über“, „unterhalb“, „unter“, „nach oben“, „nach unten“, „oben“, „unten“ usw., sowie deren Derivate (z. B. die Adverbien „horizontal“, „nach unten“, „nach oben“ usw.) verwendet. Die räumlich relativen Begriffe sollen verschiedene Orientierungen des Bauelements abdecken, das die Strukturelemente aufweist.Moreover, in the present invention, reference numerals and / or letters may be repeated in the various examples. This repetition is for simplicity and clarity and as such does not dictate any relationship between the various embodiments and / or configurations discussed. In addition, in the present invention described below, fabrication of one element on another element and / or fabrication of an element connected and / or coupled to another element may include embodiments in which the elements are made in direct contact, and it may also include embodiments in which additional elements can be made between the elements so that the elements are not in direct contact Contact are. Moreover, to simplify the description of the relationship of one element to another element, spatially relative terms such as "lower,""upper,""horizontal,""vertical,""above,""above,""below,"" under "," up "," down "," up "," down "etc., as well as their derivatives (eg the adverbs" horizontal "," down "," up ", etc.) , The spatially relative terms are intended to cover various orientations of the device comprising the structural elements.

1 ist eine vereinfachte schematische Draufsicht (teilweise und vollständig) eines SRAM-Bauelements 10 gemäß verschiedenen Aspekten der vorliegenden Erfindung. In 1 wird das SRAM-Bauelement 10 in einer X-Y-Ebene auf einer Zwischenstufe der Herstellung betrachtet. Das SRAM-Bauelement 10 kann in einem Mikroprozessor, einem Speicher und/oder einem anderen integrierten Schaltkreiselement enthalten sein. Bei einigen Ausführungsformen kann das SRAM-Bauelement 10 ein Teil eines IC-Chips, ein Ein-Chip-System (system on chip; SoC) oder ein Teil davon sein und verschiedene passive und aktive mikroelektronische Bauelemente umfassen, wie etwa Widerstände, Kondensatoren, Induktoren, Dioden, p-Feldeffekttransistoren (PFETs), n-Feldeffekttransistoren (NFETs), Metall-Oxid-Halbleiter-Feldeffekttransistoren (MOSFETs), komplementäre Metall-Oxid-Halbleiter-Transistoren (CMOS-Transistoren), Bipolartransistoren (bipolar-junction transistors; BJTs), seitlich ausdiffundierte MOS-Transistoren (laterally diffused MOS transistors; LDMOS-Transistoren), Hochspannungstransistoren, Hochfrequenztransistoren, andere geeignete Komponenten oder Kombinationen davon. 1 ist der Übersichtlichkeit halber vereinfacht worden, um die Erfindungsgedanken der vorliegenden Erfindung besser verständlich zu machen. Für das SRAM-Bauelement 10 können weitere Strukturelemente verwendet werden, und einige der nachstehend beschriebenen Strukturelemente können bei anderen Ausführungsformen des SRAM-Bauelements 10 ersetzt, modifiziert oder weggelassen werden. 1 is a simplified schematic plan view (partial and complete) of an SRAM device 10 according to various aspects of the present invention. In 1 becomes the SRAM device 10 considered in an XY plane at an intermediate stage of manufacturing. The SRAM device 10 may be included in a microprocessor, memory and / or other integrated circuit element. In some embodiments, the SRAM device may 10 be a part of an IC chip, a system on chip (SoC) or a part thereof and include various passive and active microelectronic devices, such as resistors, capacitors, inductors, diodes, p-type field effect transistors (PFETs) , N-type field effect transistors (NFETs), metal oxide semiconductor field effect transistors (MOSFETs), complementary metal oxide semiconductor (CMOS) transistors, bipolar-junction transistors (BJTs), laterally diffused MOS transistors (laterally diffused MOS transistors; LDMOS transistors), high voltage transistors, high frequency transistors, other suitable components or combinations thereof. 1 has been simplified for clarity, to better understand the inventive idea of the present invention. For the SRAM device 10 For example, other structural elements may be used, and some of the structural elements described below may be used in other embodiments of the SRAM device 10 replaced, modified or omitted.

Das SRAM-Bauelement 10 weist verschiedene Bereiche auf, wie etwa einen ersten Bereich 12, einen zweiten Bereich 14 und einen dritten Bereich 16, die entsprechend den Anforderungen an den Entwurf des SRAM-Bauelements 10 konfiguriert sind. Bei der dargestellten Ausführungsform ist der erste Bereich 12 als ein n-leitender Bauelementbereich konfiguriert, der zweite Bereich 14 ist ebenfalls als ein n-leitender Bauelementbereich konfiguriert, und der dritte Bereich 16 ist als ein p-leitender Bauelementbereich konfiguriert. Zum Beispiel weist der erste Bereich 12 einen Pull-down-FinFET (PD) auf, der zweite Bereich 14 weist ebenfalls einen Pull-down-FinFET (PD) auf, und der dritte Bereich 16 weist einen Pull-up-FinFET (PU) auf. Zur Unterstützung der dargestellten Ausführungsform sind die Pull-down-FinFETs in dem ersten Bereich 12 und dem zweiten Bereich 14 als n-FinFETs konfiguriert, während der Pull-up-FinFET in dem dritten Bereich 16 als ein p-FinFET konfiguriert ist. Bei einigen Ausführungsformen können entsprechend den Anforderungen an den Entwurf des SRAM-Bauelements 10 ein oder mehrere Pass-Gate-FinFETs (nicht dargestellt) und/oder andere funktionelle FinFETs in dem ersten Bereich 12, dem zweiten Bereich 14, dem dritten Bereich 16 und/oder einem anderen Bereich enthalten sein.The SRAM device 10 has various areas, such as a first area 12 , a second area 14 and a third area 16 according to the requirements of the design of the SRAM device 10 are configured. In the illustrated embodiment, the first area is 12 configured as an n-type device region, the second region 14 is also configured as an n-type device region, and the third region 16 is configured as a p-type device region. For example, the first area points 12 a pull-down FinFET (PD), the second area 14 also has a pull-down FinFET (PD), and the third area 16 has a pull-up FinFET (PU). In support of the illustrated embodiment, the pull-down FinFETs are in the first region 12 and the second area 14 configured as n-type FinFETs, while the pull-up FinFET is configured in the third range 16 is configured as a p-FinFET. In some embodiments, according to the design requirements of the SRAM device 10 one or more pass-gate FinFETs (not shown) and / or other functional FinFETs in the first region 12 , the second area 14 the third area 16 and / or another area.

Das SRAM-Bauelement 10 weist verschiedene Finnen 20A, 20B, 20C, 20D und 20E (die auch als aktive Finnenbereiche bezeichnet werden) auf, die über einem Substrat 25 angeordnet sind. Die Finnen 20A bis 20E sind im Wesentlichen parallel zueinander ausgerichtet, wobei sie jeweils eine in einer X-Richtung definierte Breite, eine in einer Y-Richtung definierte Länge und eine in einer Z-Richtung definierte Höhe (nicht dargestellt) haben. In 1 weist der Pull-down-Transistor in dem ersten Bereich 12 die Finne 20A und die Finne 20B auf, der Pull-down-Transistor in dem zweiten Bereich 14 weist die Finne 20C und die Finne 20D auf, und der Pull-up-Transistor in dem dritten Bereich 16 weist die Finne 20E auf (mit anderen Worten, die Pull-down-Transistoren sind Mehrfinnen-FinFETs, während der Pull-up-Transistor ein Einfinnen-FinFET ist). Die Finnen 20A bis 20E haben jeweils einen Kanalbereich, einen Source-Bereich und einen Drain-Bereich, die entlang ihrer Länge in der Y-Richtung definiert sind, wobei der Kanalbereich zwischen dem Source-Bereich und dem Drain-Bereich (im Allgemeinen als Source-/Drain-Bereiche bezeichnet) angeordnet ist. Bei einigen Ausführungsformen sind die Finnen 20A bis 20E ein Teil des Substrats 25 (wie etwa ein Teil einer Materialschicht des Substrats 25). Alternativ sind bei einigen Ausführungsformen die Finnen 20A bis 20E in einer Materialschicht, wie etwa einer oder mehreren Halbleitermaterialschichten, über dem Substrat 25 definiert. Bei einigen Ausführungsformen sind die Finnen 20A bis 20E über dotierten Bereichen des Substrats 25 entsprechend der Konfiguration des ersten Bereichs 12, des zweiten Bereichs 14 und/oder des dritten Bereichs 16 angeordnet. Zum Beispiel können die Finnen 20A bis 20D über p-dotierten Bereichen (Wannen) angeordnet sein, die sich in dem Substrat 25 in dem ersten Bereich 12 und dem zweiten Bereich 14 befinden, während die Finne 20E über einem n-dotierten Bereich (Wanne) angeordnet sein kann, der sich in dem Substrat 25 in dem dritten Bereich 16 befindet.The SRAM device 10 has different fins 20A . 20B . 20C . 20D and 20E (also referred to as active fin areas) located above a substrate 25 are arranged. Finns 20A to 20E are aligned substantially parallel to one another, each having a width defined in an X-direction, a length defined in a Y-direction, and a height (not shown) defined in a Z-direction. In 1 indicates the pull-down transistor in the first area 12 the Finn 20A and the Finn 20B on, the pull-down transistor in the second area 14 instructs the Finn 20C and the Finn 20D on, and the pull-up transistor in the third area 16 instructs the Finn 20E (in other words, the pull-down transistors are multi-finned FinFETs, while the pull-up transistor is a single-ended FinFET). Finns 20A to 20E each have a channel region, a source region and a drain region defined along their length in the Y direction, the channel region between the source region and the drain region (generally as source / drain regions) is designated) is arranged. In some embodiments, the fins are 20A to 20E a part of the substrate 25 (Such as a part of a material layer of the substrate 25 ). Alternatively, in some embodiments, the fins are 20A to 20E in a layer of material, such as one or more layers of semiconductor material, over the substrate 25 Are defined. In some embodiments, the fins are 20A to 20E over doped areas of the substrate 25 according to the configuration of the first area 12 , the second area 14 and / or the third area 16 arranged. For example, the Finns 20A to 20D be arranged over p-doped regions (wells), which are located in the substrate 25 in the first area 12 and the second area 14 are located while the Finn 20E may be disposed over an n-doped region (well) located in the substrate 25 in the third area 16 located.

Über den Kanalbereichen der Finnen 20A bis 20E sind Gate-Strukturen 30A, 30B, 30C und 30D angeordnet. Bei einigen Ausführungsformen umschließen die Gate-Strukturen 30A bis 30D die Kanalbereiche der Finnen 20A bis 20E, wodurch die Source-/Drain-Bereiche der Finnen 20A bis 20E dazwischen geschichtet werden. Bei der dargestellten Ausführungsform verlaufen die Gate-Strukturen 30A bis 30D entlang der X-Richtung (zum Beispiel im Wesentlichen senkrecht zu den Finnen 20A bis 20E). Die Gate-Strukturen 30A bis 30D sind in Kontakt mit den Kanalbereichen der Finnen 20A bis 20E, sodass bei Betrieb ein Strom zwischen den Source-/Drain-Bereichen der Finnen 20A bis 20E fließen kann. Die Gate-Strukturen 30A bis 30D weisen Gate-Stapel auf, die entsprechend dem Umstand konfiguriert sind, ob das SRAM-Bauelement 10 mit dem Gate-zuletzt-Verfahren oder dem Gate-zuerst-Verfahren hergestellt wird. Bei der dargestellten Ausführungsform wird das SRAM-Bauelement 10 mit dem Gate-zuletzt-Verfahren hergestellt, bei dem die dargestellten Gate-Strukturen 30A bis 30D Dummy-Gate-Stapel aufweisen, die anschließend durch Metall-Gate-Stapel ersetzt werden. Die Dummy-Gate-Stapel weisen zum Beispiel eine Zwischenschicht und eine Dummy-Gate-Elektrodenschicht auf. Die Zwischenschicht ist zwischen der Dummy-Gate-Elektrodenschicht und den Finnen 20A bis 20E angeordnet, wobei die Zwischenschicht und die Dummy-Gate-Elektrodenschicht so konfiguriert sind, dass sie die Kanalbereiche der Finnen 20A bis 20E umschließen. Bei einigen Ausführungsformen weist die Zwischenschicht ein dielektrisches Material, wie etwa Siliziumoxid, auf, und die Dummy-Gate-Elektrodenschicht weist Polysilizium oder ein anderes geeignetes Dummy-Gate-Material auf. Bei einigen Ausführungsformen weist der Dummy-Gate-Stapel weiterhin eine dielektrische Dummy-Gate-Schicht auf, die zwischen die Grenzschicht und die Dummy-Gate-Elektrodenschicht geschichtet ist. Die dielektrische Dummy-Gate-Schicht kann ein dielektrisches Material (zum Beispiel Siliziumoxid), ein dielektrisches High-k-Material, ein anderes geeignetes dielektrisches Material oder eine Kombination davon umfassen. Beispiele für das dielektrische High-k-Material sind HfO2, HfSiO, HfSiON, HfTaO, HfTiO, HfZrO, Zirconiumoxid, Aluminiumoxid, Hafniumdioxid-Aluminiumoxid(HfO2-Al2O3)-Legierung, andere geeignete dielektrische High-k-Materialien oder Kombinationen davon. Bei einigen Ausführungsformen wird die Zwischenschicht aus dem Dummy-Gate-Stapel entfernt, sodass die dielektrische Dummy-Gate-Schicht zwischen die Finnen 20A bis 20E und die Dummy-Gate-Elektrodenschicht geschichtet wird. Die Dummy-Gate-Stapel können zahlreiche weitere Schichten aufweisen, zum Beispiel eine Zwischenschicht, eine Deckschicht, eine Diffusionsschicht, eine Sperrschicht, eine Hartmaskenschicht, andere geeignete Schichten oder Kombinationen davon. Bei einigen Ausführungsformen weisen die Gate-Strukturen 30A bis 30D Abstandshalter auf, die angrenzend an die Dummy-Gate-Stapel angeordnet sind. Die Abstandshalter weisen ein dielektrisches Material auf, wie etwa Siliziumoxid, Siliziumnitrid, Siliziumoxidnitrid, Siliziumcarbid, weitere geeignete dielektrische Materialien oder Kombinationen davon. Bei einigen Ausführungsformen umfassen die Abstandshalter mehr als eine Gruppe von Abstandshaltern (zum Beispiel Dichtungsabstandshalter, Versetzungsabstandshalter und Dummy-Abstandshalter). Bei einigen Ausführungsformen haben die Abstandshalter eine Mehrschichtstruktur, wie etwa eine Siliziumnitridschicht und eine Siliziumoxidschicht. Die Abstandshalter werden mit einem geeigneten Verfahren hergestellt. Zum Beispiel kann eine Siliziumoxidschicht über den Finnen 20A bis 20D abgeschieden werden und anschließend anisotrop geätzt (zum Beispiel trockengeätzt) werden, um eine erste Gruppe von Abstandshaltern (wie etwa Dichtungsabstandshalter) angrenzend an die Dummy-Gate-Stapel herzustellen, und eine Siliziumnitridschicht kann über den Finnen 20A bis 20D abgeschieden werden und anschließend geätzt (zum Beispiel trockengeätzt) werden, um eine zweite Gruppe von Abstandshaltern (wie etwa Versetzungsabstandshalter oder Dummy-Abstandshalter) angrenzend an die erste Gruppe von Abstandshaltern herzustellen.Above the channel areas of the fins 20A to 20E are gate structures 30A . 30B , 30C and 30D. In some embodiments, the gate structures 30A-30D enclose the channel regions of the fins 20A to 20E , causing the Source / drain regions of the fins 20A to 20E be layered in between. In the illustrated embodiment, the gate structures extend 30A to 30D along the X direction (for example, substantially perpendicular to the fins 20A to 20E ). The gate structures 30A to 30D are in contact with the channel areas of the fins 20A to 20E such that, during operation, a current flows between the source / drain regions of the fins 20A to 20E can flow. The gate structures 30A to 30D have gate stacks that are configured according to whether the SRAM device 10 is made by the gate-last method or the gate-first method. In the illustrated embodiment, the SRAM device becomes 10 made with the gate-last method, in which the gate structures shown 30A to 30D Have dummy gate stack, which are then replaced by metal gate stack. The dummy gate stacks have, for example, an intermediate layer and a dummy gate electrode layer. The intermediate layer is between the dummy gate electrode layer and the fins 20A to 20E wherein the interlayer and the dummy gate electrode layer are configured to match the channel regions of the fins 20A to 20E enclose. In some embodiments, the interlayer comprises a dielectric material, such as silicon oxide, and the dummy gate electrode layer comprises polysilicon or other suitable dummy gate material. In some embodiments, the dummy gate stack further includes a dummy gate dielectric layer sandwiched between the barrier layer and the dummy gate electrode layer. The dummy gate dielectric layer may comprise a dielectric material (eg, silicon oxide), a high-k dielectric material, another suitable dielectric material, or a combination thereof. Examples of the high-k dielectric material are HfO 2 , HfSiO, HfSiON, HfTaO, HfTiO, HfZrO, zirconia, alumina, hafnia-alumina (HfO 2 -Al 2 O 3 ) alloy, other suitable high-k dielectric materials or combinations thereof. In some embodiments, the interlayer is removed from the dummy gate stack so that the dummy gate dielectric layer is between the fins 20A to 20E and the dummy gate electrode layer is layered. The dummy gate stacks may comprise numerous further layers, for example an interlayer, a capping layer, a diffusion layer, a barrier layer, a hardmask layer, other suitable layers or combinations thereof. In some embodiments, the gate structures 30A to 30D Spacers, which are arranged adjacent to the dummy gate stack. The spacers comprise a dielectric material, such as silicon oxide, silicon nitride, silicon oxynitride, silicon carbide, other suitable dielectric materials, or combinations thereof. In some embodiments, the spacers include more than one group of spacers (eg, seal spacers, offset spacers, and dummy spacers). In some embodiments, the spacers have a multi-layer structure, such as a silicon nitride layer and a silicon oxide layer. The spacers are manufactured by a suitable method. For example, a silicon oxide layer may be deposited over the fins 20A-20D and then anisotropically etched (eg, dry-etched) to form a first set of spacers (such as seal spacers) adjacent the dummy gate stacks, and a silicon nitride layer may overflow the Finns 20A to 20D are deposited and then etched (e.g., dry etched) to form a second set of spacers (such as offset spacers or dummy spacers) adjacent the first set of spacers.

Obgleich nicht dargestellt, wird schließlich ein Gate-Ersetzungsprozess durchgeführt, um die Dummy-Gate-Stapel der Gate-Strukturen 30A bis 30D durch Metall-Gate-Stapel zu ersetzen. Bei Ausführungsformen mit dem Gate-zuerst-Verfahren umfassen die dargestellten Gate-Strukturen 30A bis 30D Metall-Gate-Stapel. Die Metall-Gate-Stapel weisen zum Beispiel eine dielektrische Gate-Schicht (die ähnliche Materialien wie die Zwischenschicht und/oder die Dummy-Gate-Elektrodenschicht haben kann) und eine Gate-Elektrodenschicht auf, die über der dielektrischen Gate-Schicht angeordnet ist. Die Gate-Elektrodenschicht weist ein leitendes Material auf, wie etwa Polysilizium, Al, Cu, Ti, Ta, W, Mo, TaN, NiSi, CoSi, TiN, WN, TiAl, TiAlN, TaCN, TaC, TaSiN, andere leitende Materialien oder Kombinationen davon. Bei einigen Ausführungsformen weist die Gate-Elektrodenschicht eine Austrittsarbeitsschicht, die eine leitende Schicht ist, die so konfiguriert ist, dass sie eine gewünschte Austrittsarbeit (wie etwa eine n-Austrittsarbeit oder eine p-Austrittsarbeit) hat, und eine leitende Schicht auf, die über der Austrittsarbeitsschicht hergestellt ist (gelegentlich auch als Metallfüllschicht bezeichnet). Bei verschiedenen Beispielen weist die Austrittsarbeitsschicht Ta, TaN, NiSi, CoSi, TiN, WN, TiAl, TiAlN, TaCN, TaC, TaSiN, andere Materialien, die zum Erzielen einer gewünschten Austrittsarbeit geeignet sind, oder Kombinationen davon auf. Die leitende Schicht, die über der Austrittsarbeitsschicht hergestellt ist, weist ein geeignetes leitendes Material auf, wie etwa Al, W, Cu oder eine Kombination davon. Die leitende Schicht kann zusätzlich oder alternativ Polysilizium, Ti, Ta, Metalllegierungen, andere geeignete Materialien oder Kombinationen davon aufweisen. Die Metall-Gate-Stapel können mehrere weitere Schichten aufweisen, zum Beispiel eine Zwischenschicht, eine Deckschicht, eine Diffusionsschicht, eine Sperrschicht, eine Hartmaskenschicht, andere geeignete Schichten oder eine Kombination davon.Although not shown, a gate replacement process is eventually performed to surround the dummy gate stacks of the gate structures 30A to 30D to replace with metal gate stacks. In gate-first method embodiments, the illustrated gate structures include 30A to 30D Metal gate stack. The metal gate stacks include, for example, a gate dielectric layer (which may include similar materials as the interlayer and / or the dummy gate electrode layer) and a gate electrode layer disposed over the gate dielectric layer. The gate electrode layer comprises a conductive material such as polysilicon, Al, Cu, Ti, Ta, W, Mo, TaN, NiSi, CoSi, TiN, WN, TiAl, TiAlN, TaCN, TaC, TaSiN, other conductive materials or Combinations of it. In some embodiments, the gate electrode layer includes a work function layer that is a conductive layer configured to have a desired work function (such as an n work function or a p work function) and a conductive layer that over the work function layer is made (sometimes also referred to as metal filling layer). In various examples, the work function layer comprises Ta, TaN, NiSi, CoSi, TiN, WN, TiAl, TiAlN, TaCN, TaC, TaSiN, other materials suitable for achieving a desired work function, or combinations thereof. The conductive layer formed over the work function layer comprises a suitable conductive material, such as Al, W, Cu, or a combination thereof. The conductive layer may additionally or alternatively comprise polysilicon, Ti, Ta, metal alloys, other suitable materials, or combinations thereof. The metal gate stacks may comprise a plurality of further layers, for example an intermediate layer, a cover layer, a diffusion layer, a barrier layer, a Hard mask layer, other suitable layers or a combination thereof.

Über den Source-/Drain-Bereichen der Finnen 20A bis 20D sind epitaxial aufgewachsene Strukturelemente 40A, 40B, 40C, 40D, 40E und 40F angeordnet. Bei der dargestellten Ausführungsform werden die epitaxial aufgewachsenen Strukturelemente 40A bis 40F über den Source-/Drain-Bereichen der Finnen 20A bis 20D nach einem Finnenaussparungsprozess (zum Beispiel einem Rückätzprozess) hergestellt, sodass die epitaxialen Strukturelemente 40A bis 40F aus den ausgesparten Finnen 20A bis 20D aufwachsen gelassen werden. Bei einigen Ausführungsformen umschließen die epitaxialen Strukturelemente 40A bis 40F die Source-/Drain-Bereiche der Finnen 20A bis 20D. Bei diesen Ausführungsformen brauchen die Finnen 20A bis 20D keinem Finnenaussparungsprozess unterzogen zu werden. Die epitaxialen Strukturelemente 40A bis 40F sind für n-leitende Bauelemente, wie etwa die Pull-down-Transistoren in dem ersten Bereich 12 und dem zweiten Bereich 14, konfiguriert, sodass die epitaxialen Strukturelemente 40A bis 40F alternativ als epitaxiale n-leitende Source-/Drain-Strukturelemente bezeichnet werden können. Die epitaxialen Strukturelemente 40A bis 40F weisen zum Beispiel Silizium oder Silizium-Kohlenstoff auf, wobei das Silizium oder der Silizium-Kohlenstoff mit Phosphor, Arsen, anderen n-Dotanden oder einer Kombination davon dotiert ist. Bei einigen Ausführungsformen sind die epitaxialen Strukturelemente 40A bis 40F epitaxial aufgewachsene Si:P-Strukturelemente. Bei einigen Ausführungsformen sind die epitaxialen Strukturelemente 40A bis 40F epitaxial aufgewachsene Si:C:P-Strukturelemente. Die epitaxialen Strukturelemente 40A bis 40F verlaufen (werden aufwachsen gelassen) seitlich entlang der X-Richtung (bei einigen Ausführungsformen im Wesentlichen senkrecht zu den Finnen 20A bis 20D), wobei die epitaxialen Strukturelemente 40A bis 40F jeweils eine entsprechende Querabmessung a haben, wie etwa eine Breite der epitaxialen Strukturelemente 40A bis 40F. Zum Beispiel stellt a1 eine Querabmessung des epitaxialen Strukturelements 40C dar, und a2 stellt eine Querabmessung des epitaxialen Strukturelements 40D dar.Over the source / drain regions of the fins 20A to 20D are epitaxially grown structural elements 40A . 40B . 40C . 40D . 40E and 40F arranged. In the illustrated embodiment, the epitaxially grown structural elements 40A to 40F over the source / drain regions of the fins 20A to 20D after a fin recessing process (eg, an etch back process), so that the epitaxial structural elements 40A to 40F from the recessed fins 20A to 20D be grown up. In some embodiments, the epitaxial structural elements enclose 40A to 40F the source / drain regions of the fins 20A to 20D , In these embodiments, the fins need 20A to 20D to undergo no fin-saving process. The epitaxial structural elements 40A to 40F are for n-type devices, such as the pull-down transistors in the first region 12 and the second area 14 , configured so that the epitaxial structural elements 40A to 40F alternatively may be referred to as epitaxial n-type source / drain structure elements. The epitaxial structural elements 40A to 40F For example, silicon or silicon carbon is doped with the silicon or silicon carbon doped with phosphorus, arsenic, other n-dopants, or a combination thereof. In some embodiments, the epitaxial structural elements are 40A to 40F epitaxially grown Si: P structural elements. In some embodiments, the epitaxial structural elements are 40A to 40F epitaxially grown Si: C: P structural elements. The epitaxial structural elements 40A to 40F extend (grow) laterally along the X direction (in some embodiments, substantially perpendicular to the fins 20A to 20D ), wherein the epitaxial structural elements 40A to 40F each have a corresponding transverse dimension a, such as a width of the epitaxial structural elements 40A to 40F , For example, a 1 represents a transverse dimension of the epitaxial structural element 40C and a 2 represents a transverse dimension of the epitaxial structure element 40D represents.

Normalerweise werden n-leitende epitaxiale Source-/Drain-Strukturelemente für n-leitende Source-/Drain-Bereiche (wie etwa die epitaxialen Strukturelemente 40A bis 40F) mit einem CDE-Verfahren (CDE: cyclic deposition etch; zyklische Abscheidung und Ätzung) hergestellt, das eine Folge von Abscheidungsprozessen und Ätzprozessen ist, die so konfiguriert sind, dass ein Halbleitermaterial abwechselnd abgeschieden und geätzt wird. Jeder Zyklus des CDE-Verfahrens umfasst einen Abscheidungsprozess und einen Ätzprozess, wobei für das CDE-Verfahren mehrere Zyklen implementiert werden, um epitaxiale Source-/Drain-Strukturelemente herzustellen. Bei einigen Ausführungsformen ist der Abscheidungsprozess ein CVD-Prozess (CVD: chemische Aufdampfung), der so konfiguriert ist, dass ein Halbleitermaterial, wie etwa Silizium, aus Source-/Drain-Bereichen von Finnen epitaxial aufwachsen gelassen wird. Während des CVD-Prozesses können dem Halbleitermaterial Dotanden zugesetzt werden. Da die Dicke des Halbleitermaterials während des CVD-Prozesses zunimmt, zeigt das Halbleitermaterial oft Kristallfehler (die auch als Gitterfehler bezeichnet werden) und/oder Kristallstörstellen. Das Halbleitermaterial kann zum Beispiel amorphe (nicht-kristalline) Teile haben, die die Bauelementleistung beeinträchtigen können. Bei einigen Ausführungsformen ist der Ätzprozess ein selektiver Ätzprozess, der die Kristallfehler und/oder Kristallstörstellen, wie etwa die amorphen Teile des Halbleitermaterials, selektiv entfernen kann. Durch Anpassen verschiedener Parameter jedes CDE-Zyklus (wie etwa des CVD-Prozesses und des selektiven Ätzprozesses) hat sich das CDE-Verfahren bei der Herstellung von n-leitenden epitaxialen Source-/Drain-Strukturelementen mit minimalen Defekten bei gleichzeitiger Erzielung der gewünschten Spannungseigenschaften im Kanalbereich im Allgemeinen als erfolgreich erwiesen.Normally, n-type epitaxial source / drain features become n-type source / drain regions (such as the epitaxial features 40A to 40F ) with a CDE (cyclic deposition etch) method, which is a sequence of deposition processes and etching processes configured to alternately deposit and etch a semiconductor material. Each cycle of the CDE process includes a deposition process and an etch process, where multiple cycles are implemented for the CDE process to fabricate epitaxial source / drain features. In some embodiments, the deposition process is a CVD (Chemical Vapor Deposition) process configured to epitaxially grow a semiconductor material, such as silicon, from source / drain regions of fins. During the CVD process dopants may be added to the semiconductor material. As the thickness of the semiconductor material increases during the CVD process, the semiconductor material often exhibits crystal defects (also referred to as lattice defects) and / or crystal defects. The semiconductor material may, for example, have amorphous (non-crystalline) parts which may affect device performance. In some embodiments, the etching process is a selective etching process that can selectively remove the crystal defects and / or crystal defects, such as the amorphous portions of the semiconductor material. By adjusting various parameters of each CDE cycle (such as the CVD process and the selective etch process), the CDE process has evolved to produce n-type epitaxial source / drain features with minimal defects while achieving the desired voltage characteristics in the Channel area generally proved successful.

Da sich FinFET-Technologien hin zu kleineren Strukturgrößen (wie etwa 16 Nanometer, 10 Nanometer, 7 Nanometer und kleiner) entwickeln, ist das Begrenzen des seitlichen Wachstums (und somit der Querabmessung a) von epitaxialen Source-/Drain-Strukturelementen, wie etwa a1 und a2 der epitaxialen Source-/Drain-Strukturelemente 40A bis 40F, von entscheidender Bedeutung für die Gewährleistung der Funktionssicherheit des SRAM-Bauelements 10. Es ist festgestellt worden, dass es herkömmlichen CDE-Verfahren an einer exakten Profilsteuerung in hochentwickelten FinFET-Technologieknoten mangelt, insbesondere solchen Verfahren, die auf einen Finnenabstand von weniger als oder gleich etwa 25 nm abzielen. Insbesondere kann bei herkömmlichen CDE-Verfahren das seitliche epitaxiale Aufwachsen des Halbleitermaterials nicht adäquat begrenzt werden, sodass starke Schwankungen bei der Querabmessung a von epitaxialen Source-/Drain-Strukturelementen entstehen, wodurch epitaxiale Source-/Drain-Strukturelemente von unterschiedlichen Bauelementen unbeabsichtigt verschmelzen können und/oder epitaxiale Source-/Drain-Strukturelemente unbeabsichtigt in Bereiche eines Bauelements (wie etwa des SRAM-Bauelements 10) hinein reichen können, was die epitaxialen Source-/Drain-Strukturelemente bei der Herstellung beschädigen kann. Wenn zum Beispiel in 1 a1 des epitaxialen Strukturelements 40C und a2 des epitaxialen Strukturelements 40D nicht richtig begrenzt werden, kann das epitaxiale Strukturelement 40C mit dem epitaxialen Strukturelement 40D verschmelzen, was zu einem elektrischen Kurzschluss zwischen dem Pull-down-Transistor des ersten Bereichs 12 und dem Pull-down-Transistor des zweiten Bereichs 14 führt. In einem anderen Beispiel kann, wenn in 1 a2 des epitaxialen Strukturelements 40D nicht richtig begrenzt wird, das epitaxiale Strukturelement 40D in ein Ätzprozessfenster 50 hinein reichen, das zum Aussparen der Finne 20E in dem dritten Bereich 16 verwendet wird, sodass das epitaxiale Strukturelement 40D einem Ätzprozess ausgesetzt wird, der zum Aussparen der Finne 20E verwendet wird, wodurch das epitaxiale Strukturelement 40D beschädigt wird. Bei einigen Ausführungsformen kann das epitaxiale Strukturelement 40D in ein Prozessfenster hinein reichen, das zum Durchführen eines epitaxialen Source-/Drain-Herstellungsprozesses an der Finne 20E in dem dritten Bereich 16 verwendet wird, sodass das epitaxiale Strukturelement 40D Halbleitermaterialien (wie etwa denen, die für ein p-leitendes Bauelement verwendet werden) ausgesetzt wird, die die Funktion des Pull-down-Transistors in dem zweiten Bereich 14 ändern und/oder beeinträchtigen können. Daher werden hochentwickelte epitaxiale Source-/Drain-Herstellungsverfahren benötigt, um eine exakte Profilsteuerung von epitaxialen Source-/Drain-Strukturelementen mit minimaler Breitenschwankung zu gewährleisten.As FinFET technologies evolve toward smaller feature sizes (such as 16 nanometers, 10 nanometers, 7 nanometers, and smaller), limiting the lateral growth (and thus transverse dimension a) of epitaxial source / drain features, such as a 1 and a 2 of the epitaxial source / drain structure elements 40A to 40F, vital for ensuring the reliability of the SRAM device 10 , It has been found that conventional CDE methods lack exact profile control in sophisticated FinFET technology nodes, particularly those methods that aim for a fin pitch of less than or equal to about 25 nm. In particular, in conventional CDE methods, the lateral epitaxial growth of the semiconductor material can not be adequately limited, so that large variations in the transverse dimension a of epitaxial source / drain structure elements arise, whereby epitaxial source / drain structure elements of different components can unintentionally merge and / or epitaxial source / drain features inadvertently into areas of a device (such as the SRAM device 10 ), which can damage the epitaxial source / drain features during fabrication. If, for example, in 1 a 1 of the epitaxial structural element 40C and a 2 of the epitaxial structural element 40D can not be properly limited, the epitaxial structural element 40C with the epitaxial structural element 40D fuse, resulting in an electrical short between the pull-down transistor of the first range 12 and the pull-down transistor of the second region 14 leads. In another example, if in 1 a 2 of the epitaxial structural element 40D is not properly limited, the epitaxial structural element 40D in an etching process window 50 into it, to the exclusion of the Finn 20E in the third area 16 is used, so that the epitaxial structural element 40D subjected to an etching process, which is to save the fin 20E is used, whereby the epitaxial structural element 40D is damaged. In some embodiments, the epitaxial structural element 40D into a process window for performing an epitaxial source / drain fabrication process on the fin 20E in the third area 16 is used so that the epitaxial structure element 40D is exposed to semiconductor materials (such as those used for a p-type device), which functions as the pull-down transistor in the second region 14 change and / or affect. Therefore, sophisticated epitaxial source / drain fabrication processes are needed to provide accurate profile control of epitaxial source / drain features with minimal width variation.

2 ist ein Ablaufdiagramm eines Verfahrens 100 zur Herstellung eines integrierten Schaltkreiselements gemäß verschiedenen Aspekten der vorliegenden Erfindung. Bei der vorliegenden Ausführungsform wird mit dem Verfahren 100 ein integriertes Schaltkreiselement hergestellt, das ein FinFET-Bauelement aufweist. Im Block 110 werden eine erste Finne und eine zweite Finne über einem Substrat hergestellt. Die erste und die zweite Finne haben einen Finnenabstand von weniger als etwa 25 nm. Die erste und die zweite Finne haben jeweils einen Kanalbereich, der zwischen einem Source-Bereich und einem Drain-Bereich angeordnet ist. Im Block 120 wird eine Gate-Struktur über den Kanalbereichen der ersten und der zweiten Finne hergestellt. Bei einigen Ausführungsformen weist die Gate-Struktur einen Dummy-Gate-Stapel auf. Im Block 130 wird eine Kombination aus einem Abscheidungsprozess und einem Ätzprozess nur ein Mal durchgeführt, um ein verschmolzenes epitaxiales Source-Strukturelement, das sich über die Source-Bereiche der ersten und der zweiten Finne erstreckt, und ein verschmolzenes epitaxiales Drain-Strukturelement herzustellen, das sich über die Drain-Bereiche der ersten und der zweiten Finne erstreckt. Bei einigen Ausführungsformen umfasst der Abscheidungsprozess das epitaxiale Aufwachsen eines Halbleitermaterials auf der ersten und der zweiten Finne unter Verwendung eines siliziumhaltigen Vorläufers und eines chlorhaltigen Vorläufers [zum Beispiel Chlorwasserstoff (HCl)]. Das Verhältnis des Durchsatzes des siliziumhaltigen Vorläufers zu dem Durchsatz des chlorhaltigen Vorläufers ist kleiner als etwa 5. Bei einigen Ausführungsformen wird der chlorhaltige Vorläufer für den Ätzprozess verwendet, wodurch das Profil des verschmolzenen epitaxialen Source-Strukturelements und des verschmolzenen epitaxialen Drain-Strukturelements modifiziert wird. Bei einigen Ausführungsformen wird für den Abscheidungsprozess außerdem ein dotandenhaltiger Vorläufer, wie etwa ein phosphorhaltiger Vorläufer, verwendet, wodurch das verschmolzene epitaxiale Source-Strukturelement und das verschmolzene epitaxiale Drain-Strukturelement dotiert werden. Im Block 140 kann das Verfahren 100 mit der Beendigung der Herstellung des integrierten Schaltkreiselements weitergehen. Bei einigen Ausführungsformen wird zum Beispiel ein Gate-Ersetzungsprozess durchgeführt, um den Dummy-Gate-Stapel durch einen Metall-Gate-Stapel zu ersetzen. Weitere Schritte können vor, während und nach dem Verfahren 100 vorgesehen werden, und einige der beschriebenen Schritte können bei weiteren Ausführungsformen des Verfahrens 100 verschoben, ersetzt oder weggelassen werden. 2 is a flowchart of a method 100 for producing an integrated circuit element according to various aspects of the present invention. In the present embodiment, the method 100 made an integrated circuit element having a FinFET device. In the block 110 For example, a first fin and a second fin are made over a substrate. The first and second fins have a fin pitch of less than about 25 nm. The first and second fins each have a channel region disposed between a source region and a drain region. In the block 120 For example, a gate structure is fabricated over the channel regions of the first and second fins. In some embodiments, the gate structure includes a dummy gate stack. In the block 130 For example, a combination of a deposition process and an etching process is performed only once to produce a fused epitaxial source structure extending across the source regions of the first and second fins and a fused epitaxial drain structure extending across the fused surface Drain regions of the first and second fin extends. In some embodiments, the deposition process comprises epitaxially growing a semiconductor material on the first and second fins using a silicon-containing precursor and a chlorine-containing precursor [eg, hydrogen chloride (HCl)]. The ratio of the silicon-containing precursor flow rate to the chlorine-containing precursor throughput is less than about 5. In some embodiments, the chlorine-containing precursor is used for the etching process, thereby modifying the profile of the fused epitaxial source structural element and the fused epitaxial drain structural element. In some embodiments, a dopant-containing precursor, such as a phosphorus-containing precursor, is also used for the deposition process, thereby doping the fused epitaxial source structure element and the fused epitaxial drain structure element. In the block 140 can the procedure 100 continue with the completion of the production of the integrated circuit element. For example, in some embodiments, a gate replacement process is performed to replace the dummy gate stack with a metal gate stack. Further steps may be provided before, during, and after method 100, and some of the steps described may be used in other embodiments of the method 100 moved, replaced or omitted.

Die 3A bis 3J sind Teilschnittansichten eines integrierten Schaltkreiselements 200 (teilweise oder vollständig) auf verschiedenen Herstellungsstufen (wie etwa denen, die mit dem Verfahren 100 assoziiert sind) gemäß verschiedenen Aspekten der vorliegenden Erfindung. Das integrierte Schaltkreiselement 200 kann in einem Mikroprozessor, einem Speicher und/oder einem anderen Schaltkreiselement enthalten sein. Bei einigen Ausführungsformen kann das integrierte Schaltkreiselement 200 ein Teil eines IC-Chips, ein SoC oder ein Teil davon sein und verschiedene passive und aktive mikroelektronische Bauelemente umfassen, wie etwa Widerstände, Kondensatoren, Induktoren, Dioden, PFETs, NFETs, MOSFETs, CMOS-Transistoren, BJTs, LDMOS-Transistoren, Hochspannungstransistoren, Hochfrequenztransistoren, andere geeignete Komponenten oder Kombinationen davon. Die 3A bis 3J sind der Übersichtlichkeit halber vereinfacht worden, um die Erfindungsgedanken der vorliegenden Erfindung besser verständlich zu machen. In dem integrierten Schaltkreiselement 200 können weitere Strukturelemente verwendet werden, und einige der nachstehend beschriebenen Strukturelemente können bei anderen Ausführungsformen des integrierten Schaltkreiselements 200 ersetzt, modifiziert oder weggelassen werden.The 3A to 3J are partial sectional views of an integrated circuit element 200 (partially or completely) at different stages of production (such as those with the method 100 according to various aspects of the present invention. The integrated circuit element 200 may be included in a microprocessor, memory and / or other circuit element. In some embodiments, the integrated circuit element 200 may be part of an IC chip, a SoC or a part thereof, and include various passive and active microelectronic devices, such as resistors, capacitors, inductors, diodes, PFETs, NFETs, MOSFETs, CMOS transistors, BJTs, LDMOS transistors, high voltage transistors , High frequency transistors, other suitable components or combinations thereof. The 3A to 3J have been simplified for clarity, to better understand the inventive idea of the present invention. In the integrated circuit element 200 For example, other structural elements may be used, and some of the structural elements described below may be used in other embodiments of the integrated circuit element 200 replaced, modified or omitted.

In 3A weist das integrierte Schaltkreiselement 200 ein Substrat (Wafer) 210 auf. Bei der dargestellten Ausführungsform weist das Substrat 210 Silizium auf. Alternativ oder zusätzlich umfasst das Substrat 210 Folgendes: einen anderen elementaren Halbleiter, wie etwa Germanium; einen Verbindungshalbleiter, wie etwa Siliziumcarbid, Galliumarsenid, Galliumphosphid, Indiumphosphid, Indiumarsenid und/oder Indiumantimonid; einen Legierungshalbleiter, wie etwa Siliziumgermanium (SiGe), GaAsP, AlInAs, AlGaAs, GaInAs, GaInP und/oder GaInAsP; oder Kombinationen davon. Alternativ ist das Substrat 210 ein Halbleiter-auf-Isolator-Substrat, wie etwa ein SOI-Substrat (SOI: Silizium auf Isolator), ein SGOI-Substrat (SGOI: silicon germanium on insulator; Siliziumgermanium auf Isolator) oder ein GOI-Substrat (GOI: germanium on insulator; Germanium auf Isolator). Halbleiter-auf-Isolator-Substrate können durch Trennung mittels Implantation von Sauerstoff (SIMOX), Waferbonden und/oder andere geeignete Verfahren hergestellt werden. Das Substrat 210 kann in Abhängigkeit von den Anforderungen an den Entwurf des integrierten Schaltkreiselements 200 verschiedene dotierte Bereiche (nicht dargestellt) haben. Bei einigen Ausführungsformen weist das Substrat 210 p-dotierte Bereiche (zum Beispiel p-Wannen) auf, die mit p-Dotanden, wie etwa Bor, Indium, anderen p-Dotanden oder einer Kombination davon, dotiert sind. Bei einigen Ausführungsformen weist das Substrat 210 n-dotierte Bereiche (zum Beispiel n-Wannen) auf, die mit n-Dotanden, wie etwa Phosphor, Arsen, anderen n-Dotanden oder einer Kombination davon, dotiert sind. Bei einigen Ausführungsformen weist das Substrat 210 dotierte Bereiche auf, die mit einer Kombination aus p-Dotanden und n-Dotanden hergestellt sind. Die verschiedenen dotierten Bereiche können direkt auf und/oder in dem Substrat 210 hergestellt werden, zum Beispiel durch Bereitstellen einer p-Wannen-Struktur, einer n-Wannen-Struktur, einer Doppelwannenstruktur, einer erhabenen Struktur oder einer Kombination davon. Zum Herstellen der verschiedenen dotierten Bereiche in dem Substrat 210 können ein Ionenimplantationsprozess, ein Diffusionsprozess und/oder andere geeignete Dotierungsprozesse durchgeführt werden.In 3A has the integrated circuit element 200 a substrate (wafer) 210 on. In the illustrated embodiment, the substrate 210 Silicon on. Alternatively or additionally, the substrate comprises 210 The following: another elemental semiconductor such as germanium; a compound semiconductor such as silicon carbide, gallium arsenide, gallium phosphide, indium phosphide, indium arsenide and / or indium antimonide; an alloy semiconductor such as silicon germanium (SiGe), GaAsP, AlInAs, AlGaAs, GaInAs, GaInP and / or GaInAsP; or combinations thereof. Alternatively, the substrate 210 a semiconductor-on-insulator substrate, such as an SOI substrate (SOI: silicon on insulator), an SGOI substrate (SGOI: silicon germanium on insulator) or a GOI substrate (GOI: germanium on insulator Germanium on insulator). Semiconductor-on-insulator substrates can be made by oxygen implantation (SIMOX) separation, wafer bonding, and / or other suitable methods. The substrate 210 may have different doped regions (not shown) depending on the design requirements of the integrated circuit device 200. In some embodiments, the substrate 210 p-type doped regions (eg, p-wells) doped with p-type dopants, such as boron, indium, other p-type dopants, or a combination thereof. In some embodiments, the substrate 210 n-type doped regions (eg, n-type wells) doped with n-type dopants such as phosphorus, arsenic, other n-type dopants, or a combination thereof. In some embodiments, the substrate 210 doped regions made with a combination of p-type dopants and n-type dopants. The various doped regions may be directly on and / or in the substrate 210 by, for example, providing a p-well structure, an n-well structure, a dual well structure, a raised structure, or a combination thereof. For producing the various doped regions in the substrate 210 For example, an ion implantation process, a diffusion process, and / or other suitable doping processes may be performed.

In dem Substrat 210 sind verschiedene Bereiche definiert, wie etwa ein erster Bereich 212, ein zweiter Bereich 214 und ein dritter Bereich 216. Zum Zweck der nachstehenden Erörterung ist der erste Bereich 212 als ein n-leitender FinFET-Bereich konfiguriert, der zweite Bereich 214 ist ebenfalls als ein n-leitender FinFET-Bereich konfiguriert, und der dritte Bereich 216 ist als ein p-leitender FinFET-Bereich konfiguriert. Dotierte Bereiche, die auf und/oder in dem Substrat 210 hergestellt sind, sind so konfiguriert, dass sie der Funktionalität von Bauelementen und/oder Strukturelementen Rechnung tragen, die in dem ersten Bereich 212, dem zweiten Bereich 214 und dem dritten Bereich 216 hergestellt sind. Bei einigen Ausführungsformen bilden der erste Bereich 212, der zweite Bereich 214 und der dritte Bereich 216 einen Teil eines Speicherbereichs des integrierten Schaltkreiselements 200, wie etwa eines SRAM-Bereichs. Zum Beispiel weist bei der dargestellten Ausführungsform der erste Bereich 212 einen Pull-down-FinFET auf, der zweite Bereich 214 weist ebenfalls einen Pull-down-FinFET auf, und der dritte Bereich 216 weist einen Pull-up-FinFET auf. Bei diesen Ausführungsformen sind die Pull-down-FinFETs in dem ersten Bereich 212 und dem zweiten Bereich 214 als n-FinFETs konfiguriert, während der Pull-up-FinFET in dem dritten Bereich 216 als ein p-FinFET konfiguriert ist. Bei einigen Ausführungsformen entsprechen der erste Bereich 212, der zweite Bereich 214 und der dritte Bereich 216 dem ersten Bereich 12, dem zweiten Bereich 14 bzw. dem dritten Bereich 16 des SRAM-Bauelements 10 von 1. Bei einigen Ausführungsformen können ein oder mehrere Pass-Gate-FinFETs in dem ersten Bereich 212, dem zweiten Bereich 214, dem dritten Bereich 216 und/oder einem anderen Bereich enthalten sein, der in dem Substrat 210 definiert ist. Diese Konfiguration des integrierten Schaltkreiselements 200 dient der Vereinfachung und dem besseren Verständnis und beschränkt die dargestellte Ausführungsform nicht unbedingt auf eine Anzahl von Bauelementen, eine Anzahl von Bereichen oder eine Konfiguration von Strukturen und/oder Bereichen. Zum Beispiel kann das integrierte Schaltkreiselement 200 weiterhin einen oder mehrere Bereiche aufweisen, die in Abhängigkeit von den Anforderungen an den Entwurf des integrierten Schaltkreiselements 200 als ein Logikbereich, ein anderer Speicherbereich, ein analoger Bereich, ein Eingangs-/Ausgangsbereich (oder peripherer Bereich), ein Dummy-Bereich oder ein anderer Bereich konfiguriert sind.In the substrate 210 different areas are defined, such as a first area 212 , a second area 214 and a third area 216 , For the purposes of the discussion below, the first area is 212 configured as an n-type FinFET region, the second region 214 is also configured as an n-type FinFET region, and the third region 216 is configured as a p-type FinFET region. Doped areas on and / or in the substrate 210 are configured to accommodate the functionality of devices and / or features that are in the first region 212 , the second area 214 and the third area 216 are made. In some embodiments, the first region is formed 212 , the second area 214 and the third region 216 forms part of a memory area of the integrated circuit element 200 such as an SRAM area. For example, in the illustrated embodiment, the first region 212 comprises a pull-down FinFET, the second region 214 also has a pull-down FinFET, and the third area 216 has a pull-up FinFET. In these embodiments, the pull-down FinFETs are in the first region 212 and the second area 214 configured as n-type FinFETs, while the pull-up FinFET is configured in the third range 216 is configured as a p-FinFET. In some embodiments, the first region 212 corresponds to the second region 214 and the third area 216 the first area 12 , the second area 14 or the third area 16 of the SRAM device 10 from 1 , In some embodiments, one or more pass-gate FinFETs may be in the first region 212 , the second area 214 the third area 216 and / or another region included in the substrate 210 is defined. This configuration of the integrated circuit element 200 is for simplicity and understanding and does not necessarily limit the illustrated embodiment to a number of components, a number of ranges, or a configuration of structures and / or portions. For example, the integrated circuit element 200 further comprise one or more regions, depending on the design requirements of the integrated circuit element 200 are configured as a logic area, another memory area, an analog area, an input / output area (or peripheral area), a dummy area, or another area.

Über dem Substrat 210 wird eine Finnenstruktur 220 hergestellt. In 3A weist die Finnenstruktur 220 eine Vielzahl von Finnen auf, die von dem Substrat 210 abgehen, obgleich die vorliegende Erfindung Ausführungsformen in Betracht zieht, bei denen die Finnenstruktur 220 nur eine einzige Finne aufweist, die von dem Substrat 210 abgeht. Zum Beispiel weist die Finnenstruktur 220 Finnen 222A in dem ersten Bereich 212, Finnen 222B in dem zweiten Bereich 214 und eine Finne 222C in dem dritten Bereich 216 auf. An sich ist die Finnenstruktur 220 so konfiguriert, dass sie einem Mehrfinnen-FinFET in dem ersten Bereich 212, einem Mehrfinnen-FinFET in dem zweiten Bereich 214 und einem Einfinnen-FinFET in dem dritten Bereich 216 Rechnung trägt, obwohl die vorliegende Erfindung verschiedene Anzahlen von Finnen in dem ersten Bereich 212, dem zweiten Bereich 214 und dem dritten Bereich 216 in Betracht zieht, um die Leistung des integrierten Schaltkreiselements 200 zu optimieren. Bei einigen Ausführungsformen ist die Finnenstruktur 220 ein Teil des Substrats 210 (wie etwa ein Teil einer Materialschicht des Substrats 210). Zum Beispiel weist bei der dargestellten Ausführungsform, bei der das Substrat 210 Silizium aufweist, auch die Finnenstruktur 220 Silizium auf. Alternativ ist bei einigen Ausführungsformen die Finnenstruktur 220 in einer Materialschicht, wie etwa einer Halbleitermaterialschicht, über dem Substrat 210 definiert. Bei diesen Ausführungsformen kann die Finnenstruktur 220 ein Halbleiterschichtstapel (zum Beispiel eine Heterostruktur) sein, der verschiedene Halbleiterschichten hat. Die Halbleiterschichten umfassen ein geeignetes Material, wie etwa Silizium, Germanium, Siliziumgermanium, andere geeignete Materialien oder eine Kombination davon. Die Halbleiterschichten können in Abhängigkeit von den Anforderungen an den Entwurf des integrierten Schaltkreiselements 200 gleiche oder unterschiedliche Materialien, Dotanden, Ätzraten, Atomprozentsätze ihrer Bestandteile, Massenprozentsätze ihrer Bestandteile, Dicken und/oder Konfigurationen haben.Above the substrate 210 becomes a fin structure 220 produced. In 3A has the fin structure 220 a variety of fins coming from the substrate 210 Although the present invention contemplates embodiments in which the fin structure 220 has only a single fin coming from the substrate 210 going on. For example, the fin structure indicates 220 Finns 222A in the first area 212 , Finns 222B in the second area 214 and a Finn 222C in the third area 216 on. In itself is the fin structure 220 configured to be a multi-finned FinFET in the first region 212, a multi-finned FinFET in the second region 214 and an introducing FinFET in the third region 216 Although the present invention contemplates different numbers of fins in the first range, it does take account 212 , the second area 214 and the third area 216 considers the performance of the integrated circuit element 200 to optimize. In some embodiments, the fin structure is 220 a portion of the substrate 210 (such as a portion of a material layer of the substrate 210 ). For example, in the illustrated embodiment, the substrate has 210 Silicon, including the fin structure 220 Silicon on. Alternatively, in some embodiments, the fin structure is 220 in a material layer, such as a semiconductor material layer, over the substrate 210 Are defined. In these embodiments, the fin structure 220 a semiconductor layer stack (for example, a heterostructure) having different semiconductor layers. The semiconductor layers comprise a suitable material, such as such as silicon, germanium, silicon germanium, other suitable materials, or a combination thereof. The semiconductor layers may vary depending on the design requirements of the integrated circuit element 200 have the same or different materials, dopants, etch rates, atomic percentages of their constituents, mass percentages of their constituents, thicknesses, and / or configurations.

Die Finnen 222A, die Finnen 222B und die Finne 222C haben eine Breite, die in einer X-Richtung definiert ist, eine Länge, die in einer Y-Richtung (nicht dargestellt) definiert ist, und eine Höhe, die in einer Z-Richtung definiert ist. Zum Beispiel haben die Finnen 222A eine Höhe h1, eine Breite w1, die von einem Paar Seitenwände definiert wird, und eine Länge l1, die von einem Paar Seitenwände (in der gezeigten Ansicht nicht dargestellt) definiert wird. Die Finnen 222B haben eine Höhe h2, eine Breite w2, die von einem Paar Seitenwände definiert wird, und eine Länge l2, die von einem Paar Seitenwände (in der gezeigten Ansicht nicht dargestellt) definiert wird. Die Finne 222C hat eine Höhe h3, eine Breite w3, die von einem Paar Seitenwände definiert wird, und eine Länge l3, die von einem Paar Seitenwände (in der gezeigten Ansicht nicht dargestellt) definiert wird. Bei einigen Ausführungsformen beträgt die Höhe h etwa 10 nm bis etwa 200 nm, und die Breite w1 beträgt etwa 5 nm bis etwa 50 nm. Zum Beispiel beträgt bei der dargestellten Ausführungsform die Höhe h etwa 20 nm bis etwa 40 nm, und die Breite w1 beträgt etwa 5 nm bis etwa 10 nm. Benachbarte Finnen 222A sind durch einen Zwischenraum S1 getrennt, wobei ein Abstand P1 der Finnen 222A allgemein die Summe aus einer Breite einer speziellen Finne 222A (wie etwa der Breite w1) und einer Breite eines Zwischenraums bezeichnet, der an die spezielle Finne 222A angrenzt (wie etwa S1) (mit anderen Worten: P1 = w1 + S1). Benachbarte Finnen 222B sind durch einen Zwischenraum S2 getrennt, wobei ein Abstand P2 der Finnen 222B allgemein die Summe aus einer Breite einer speziellen Finne 222B (wie etwa der Breite w2) und einer Breite eines Zwischenraums bezeichnet, der an die spezielle Finne 222B angrenzt (wie etwa S2) (mit anderen Worten: P2 = w2 + S2). Der Zwischenraum S1 und/oder der Zwischenraum S2 sind kleiner als etwa 25 nm, sodass der Abstand P1 und/oder der Abstand P2 kleiner als etwa 75 nm sind. Zum Beispiel betragen der Zwischenraum S1 und/oder der Zwischenraum S2 etwa 5 nm bis etwa 15 nm, und der Abstand P1 und/oder der Abstand P2 betragen etwa 10 nm bis etwa 75 nm. Bei einigen Ausführungsformen sind der Abstand P1 und/oder der Abstand P2 der minimale Abstand, der zwischen den Finnen 222A bzw. den Finnen 222B mit einem lithografischen Verfahren für einen gegebenen Technologieknoten erzielt werden kann. Bei Ausführungsformen, bei denen der dritte Bereich 216 mehr als eine Finne 222C aufweist, können benachbarte Finnen 222C durch einen definierten Zwischenraum, wie etwa einen Zwischenraum S3, getrennt sein, wobei ein Abstand P3 der Finnen 222C allgemein die Summe aus einer Breite einer speziellen Finne 222C (wie etwa der Breite w3) und einer Breite eines Zwischenraums bezeichnet, der an die spezielle Finne 222C angrenzt (wie etwa S3) (mit anderen Worten: P3 = w3 + S3). Die vorliegende Erfindung berücksichtigt Schwankungen der Höhe, Breite und Länge der Finnen 222A, der Finnen 222B und/oder der Finne 222C, die sich aus der Bearbeitung und Fertigung ergeben können.Finns 222A , Finns 222B and the Finn 222C have a width defined in an X direction, a length defined in a Y direction (not shown), and a height defined in a Z direction. For example, the Finns have 222A a height h 1 , a width w 1 defined by a pair of side walls, and a length l 1 defined by a pair of side walls (not shown in the illustrated view). Finns 222B have a height h 2 , a width w 2 defined by a pair of sidewalls, and a length l 2 defined by a pair of sidewalls (not shown in the view shown). The Finn 222C has a height h 3 , a width w 3 defined by a pair of side walls, and a length l 3 defined by a pair of side walls (not shown in the view shown). In some embodiments, the height h is about 10 nm to about 200 nm, and the width w 1 is about 5 nm to about 50 nm. For example, in the illustrated embodiment, the height h is about 20 nm to about 40 nm, and the width w 1 is about 5 nm to about 10 nm. Neighboring fins 222A are separated by a gap S 1 , wherein a distance P 1 of the fins 222A generally the sum of a width of a special fin 222A (such as the width w 1 ) and a width of a gap referred to the special fin 222A adjacent (such as S 1 ) (in other words P 1 = w 1 + S 1 ). Neighboring Finns 222B are separated by a gap S 2 , wherein a distance P2 of the fins 222B generally the sum of a width of a special fin 222B (such as the width w 2 ) and a width of a gap referred to the special fin 222B adjacent (such as S 2 ) (in other words P 2 = w 2 + S 2 ). The gap S 1 and / or the gap S 2 are less than about 25 nm, so that the distance P 1 and / or the distance P2 are smaller than about 75 nm. For example, the gap S 1 and / or the gap S 2 are about 5 nm to about 15 nm, and the pitch P 1 and / or the pitch P2 are about 10 nm to about 75 nm. In some embodiments, the pitch P 1 and / or the distance P2 is the minimum distance between the fins 222A and the fins 222B can be achieved with a lithographic process for a given technology node. In embodiments where the third region 216 is more than one fin 222C may have adjacent fins 222C be separated by a defined gap, such as a gap S 3 , wherein a distance P 3 of the fins 222C generally the sum of a width of a special fin 222C (such as the width w 3 ) and a width of a gap referred to the special fin 222C adjacent (such as S 3 ) (in other words P 3 = w 3 + S 3 ). The present invention contemplates variations in the height, width and length of the fins 222A , the Finn 222B and / or the Finn 222C that can result from machining and manufacturing.

Es wird eine Kombination aus Abscheidungs-, lithografischen und/oder Ätzprozessen durchgeführt, um die Finnenstruktur 220 zu definieren, die von dem Substrat 210 abgeht, wie in 3A gezeigt ist. Die Herstellung der Finnenstruktur 220 umfasst zum Beispiel das Durchführen eines lithografischen Prozesses, um eine strukturierte Resistschicht über dem Substrat 210 (oder einer Materialschicht, die über dem Substrat 210 angeordnet ist) herzustellen, und das Durchführen eines Ätzprozesses, um eine Struktur, die in der strukturierten Resistschicht definiert ist, auf das Substrat 210 (oder die Materialschicht, die über dem Substrat 210 angeordnet ist) zu übertragen. Der lithografische Prozess kann das Herstellen einer Resistschicht auf dem Substrat 210 (zum Beispiel durch Schleuderbeschichtung), das Durchführen eines Vorhärtungsprozesses, das Durchführen eines Belichtungsprozesses unter Verwendung einer Maske, das Durchführen eines Nachhärtungsprozesses und das Durchführen eines Entwicklungsprozesses umfassen. Während des Belichtungsprozesses wird die Resistschicht mit einer Strahlungsenergie [wie etwa ultraviolettem Licht (UV-Licht), tiefem UV-Licht (DUV) oder extremem UV-Licht (EUV)] bestrahlt, wobei die Maske in Abhängigkeit von ihrer Struktur und/oder ihrer Art (zum Beispiel binäre Maske, Phasenverschiebungsmaske oder EUV-Maske) die Strahlung blockiert und/oder auf die Resistschicht überträgt und/oder von dieser reflektiert, sodass ein Bild auf die Resistschicht projiziert wird, das der Maskenstruktur entspricht. Da die Resistschicht empfindlich für die Strahlungsenergie ist, ändern sich bestrahlte Teile der Resistschicht chemisch, und in Abhängigkeit von den Eigenschaften der Resistschicht und den Eigenschaften einer Entwicklerlösung, die in dem Entwicklungsprozess verwendet wird, werden bestrahlte (oder nicht-bestrahlte) Teile der Resistschicht während des Entwicklungsprozesses aufgelöst. Nach der Entwicklung hat die strukturierte Resistschicht eine Struktur, die der Maske entspricht. In dem Ätzprozess werden Teile des Substrats 210 entfernt, wobei für den Ätzprozess die strukturierte Resistschicht als eine Ätzmaske verwendet wird. Der Ätzprozess kann ein Trockenätzprozess, ein Nassätzprozess, ein anderer geeigneter Ätzprozess oder eine Kombination davon sein. Bei einigen Ausführungsformen wird ein RIE-Prozess (RIE: reaktives Ionenätzen) durchgeführt. Nach dem Ätzprozess wird die strukturierte Resistschicht von dem Substrat 210 zum Beispiel durch einen Resistablösungsprozess entfernt. Alternativ wird die Finnenstruktur 220 mit einem Mehrfachstrukturierungsprozess hergestellt, wie etwa einem lithografischen Doppelstrukturierungsprozess (DPL-Prozess) [zum Beispiel einem Lithografie-Ätzung-Lithografie-Ätzungs-Prozess (LELE-Prozess), einem selbstjustierten Doppelstrukturierungsprozess (SADP-Prozess; SADP: self-aligned double patterning), einem SID-SADP-Prozess (SID: spacer is dielectric; Abstandshalter ist ein Dielektrikum), einem anderen Doppelstrukturierungsprozess oder einer Kombination davon], einem Dreifachstrukturierungsprozess [zum Beispiel einem Lithografie-Ätzung-Lithografie-Ätzung-Lithografie-Ätzungs-Prozess (LELELE-Prozess), einem selbstjustierten Dreifachstrukturierungsprozess (SATP-Prozess), einem anderen Dreifachstrukturierungsprozess oder einer Kombination davon], einem anderen Mehrfachstrukturierungsprozess [zum Beispiel einem selbstjustierten Vierfachstrukturierungsprozess (SAQP-Prozess) oder einer Kombination davon. Bei einigen Ausführungsformen werden DSA-Verfahren (DSA: directed self-assembly; gerichtete Selbstmontage) bei der Herstellung der Finnenstruktur 220 implementiert. Darüber hinaus kann bei einigen alternativen Ausführungsformen in dem Belichtungsprozess die maskenlose Lithografie, das Elektronenstrahl-Schreiben, das Ionenstrahl-Schreiben und/oder die Nanoimprint-Technologie zum Strukturieren der Resistschicht verwendet werden.A combination of deposition, lithographic and / or etching processes is performed to control the fin structure 220 to define that of the substrate 210 going off, like in 3A is shown. The manufacture of the fin structure 220 For example, performing a lithographic process to form a patterned resist layer over the substrate 210 (or a layer of material over the substrate 210 arranged), and performing an etching process to form a structure defined in the patterned resist layer on the substrate 210 (or the material layer over the substrate 210 is arranged) to transfer. The lithographic process may include forming a resist layer on the substrate 210 (for example by spin coating), performing a pre-cure process, performing an exposure process using a mask, performing a post-cure process, and performing a development process. During the exposure process, the resist layer is irradiated with a radiant energy [such as ultraviolet light (UV light), deep UV light (DUV) or extreme UV light (EUV)], the mask depending on its structure and / or Art (for example, binary mask, phase shift mask or EUV mask) blocks the radiation and / or transmits to the resist layer and / or reflected by it, so that an image is projected onto the resist layer corresponding to the mask structure. Since the resist layer is sensitive to the radiant energy, irradiated portions of the resist layer chemically change, and depending on the properties of the resist layer and the properties of a developing solution used in the development process, irradiated (or non-irradiated) portions of the resist layer of the development process dissolved. After development, the patterned resist layer has a structure corresponding to the mask. In the etching process, parts of the substrate become 210 removed, wherein for the etching process, the patterned resist layer is used as an etching mask. The etching process may be a dry etching process, a wet etching process, another suitable etching process, or a combination thereof. In some embodiments, an RIE process (RIE: reactive ion etching). After the etching process, the patterned resist layer of the substrate 210 removed, for example, by a resist removal process. Alternatively, the fin structure becomes 220 fabricated with a multiple patterning process, such as a lithographic double-structuring (DPL) process [e.g., a lithographic etching-lithography-etching process (LELE process), a self-aligned double-patterning (SADP) process; , a SID-SADP process (SID: spacer is a dielectric), another double-structuring process, or a combination thereof], a triple-structuring process [for example, a lithography-etching-lithography-etching-lithography-etching process (LELELE Process), a self-aligned triple structuring process (SATP process), another triple structuring process, or a combination thereof], another multiple structuring process [for example, a self-aligned quadruple structuring (SAQP) process, or a combination thereof. In some embodiments, DSA (Directed Self-Assembly) methods are used in making the fin structure 220 implemented. Moreover, in some alternative embodiments in the exposure process, maskless lithography, electron beam writing, ion beam writing, and / or nanoimprinting technology may be used to pattern the resist layer.

Über und/oder in dem Substrat 210 werden ein oder mehrere Trennelemente 230 hergestellt, um verschiedene Bereiche, wie etwa verschiedene Bauelementbereiche, des integrierten Schaltkreiselements 200 zu trennen. Zum Beispiel trennt das Trennelement 230 den ersten Bereich 212, den zweiten Bereich 214 und den dritten Bereich 216 räumlich und elektrisch voneinander. Das Trennelement 230 trennt auch die Finnen, wie etwa die Finnen 222A und die Finnen 222B, der Finnenstruktur 220 in jedem Bereich räumlich und elektrisch voneinander. Bei der dargestellten Ausführungsform umschließt das Trennelement 230 einen Teil der Finnenstruktur 220, wie etwa einen unteren Teil. Das Trennelement 230 weist Siliziumoxid, Siliziumnitrid, Siliziumoxidnitrid, Siliziumcarbid, ein anderes geeignetes Trennmaterial oder eine Kombination davon auf. Das Trennelement 230 kann unterschiedliche Strukturen haben, wie etwa STI-Strukturen (STI: flache Grabenisolation), DTI-Strukturen (DTI: tiefe Grabenisolation) und/oder LOCOS-Strukturen (LOCOS: local oxidation of silicon; lokale Oxidation von Silizium). Bei einigen Ausführungsformen umfasst das Trennelement 230 STI-Strukturelemente, die aktive und/oder passive Bauelementbereiche, wie etwa den ersten Bereich 212, den zweiten Bereich 214 und den dritten Bereich 216, definieren und elektrisch trennen. Die STI-Strukturelemente können zum Beispiel durch Ätzen eines Grabens in dem Substrat 210 (zum Beispiel mittels eines Trockenätzprozesses und/oder eines Nassätzprozesses) und durch Füllen des Grabens mit einem Isoliermaterial (zum Beispiel mittels chemischer Aufdampfung oder eines Spin-on-Glass-Prozesses) hergestellt werden. Es kann eine chemischmechanische Polierung (CMP) durchgeführt werden, um überschüssiges Isoliermaterial zu entfernen und/oder die Oberseite des Trennelements 230 zu planarisieren. Bei einem anderen Beispiel können STI-Strukturelemente dadurch hergestellt werden, dass nach der Herstellung der Finnenstruktur 220 ein Isoliermaterial über dem Substrat 210 abgeschieden wird [bei einigen Ausführungsformen so, dass die Isoliermaterialschicht Spalte (Gräben) zwischen den Finnen 222A, den Finnen 222B und den Finnen 222C füllt] und die Isoliermaterialschicht rückgeätzt wird, sodass das Trennelement 230 entsteht. Bei einigen Ausführungsformen haben die STI-Strukturelemente eine Mehrschichtstruktur, die die Gräben füllt, wie etwa eine Siliziumnitridschicht, die über einer thermischen Oxiddeckschicht angeordnet ist. Bei einem weiteren Beispiel haben die STI-Strukturelemente eine dielektrische Schicht, die über einer dotierten Deckschicht [wie etwa einer Borsilicatglas-Deckschicht (BSG-Deckschicht) oder einer Phosphorsilicatglas-Deckschicht (PSG-Deckschicht)] angeordnet ist. Bei einem noch weiteren Beispiel haben die STI-Strukturelemente eine massive dielektrische Schicht, die über einer dielektrischen Deckschicht angeordnet ist, wobei die massive dielektrische Schicht und die dielektrische Deckschicht Materialien aufweisen, die von den Entwurfsanforderungen abhängig sind.Over and / or in the substrate 210 become one or more separators 230 made to various areas, such as various device areas, of the integrated circuit element 200 to separate. For example, the separator separates 230 the first area 212 , the second area 214 and the third area 216 spatially and electrically from each other. The separating element 230 separates also the Finns, like the Finns 222A and the Finns 222B , the fin structure 220 spatially and electrically in each area. In the illustrated embodiment, the separating element encloses 230 a part of the fin structure 220 , such as a lower part. The separating element 230 comprises silicon oxide, silicon nitride, silicon oxynitride, silicon carbide, another suitable separator, or a combination thereof. The separating element 230 may have different structures, such as STI structures (STI: shallow trench isolation), DTI (deep trench isolation) structures, and / or LOCOS (local oxidation of silicon) structures. In some embodiments, the separator comprises 230 STI structural elements, the active and / or passive device regions, such as the first region 212 , the second area 214 and the third area 216 , define and disconnect electrically. The STI structural elements may be, for example, by etching a trench in the substrate 210 (for example by means of a dry etching process and / or a wet etching process) and by filling the trench with an insulating material (for example by means of chemical vapor deposition or a spin-on-glass process). A chemical mechanical polishing (CMP) may be performed to remove excess insulating material and / or the top of the separator 230 to planarize. In another example, STI structural elements may be made by following the fabrication of the fin structure 220 an insulating material over the substrate 210 is deposited [in some embodiments so that the insulating material layer gaps (trenches) between the fins 222A , the Finns 222B and the Finns 222C füllt] and the insulating material layer is etched back, so that the separating element 230 arises. In some embodiments, the STI structural elements have a multilayer structure that fills the trenches, such as a silicon nitride layer disposed over a thermal oxide capping layer. In another example, the STI features have a dielectric layer disposed over a doped capping layer [such as a borosilicate glass (BSG capping) or phosphosilicate glass (PSG) capping layer). In yet another example, the STI structural elements have a solid dielectric layer disposed over a dielectric capping layer, the solid dielectric layer and the dielectric capping layer having materials that are dependent on the design requirements.

Die Finnen 222A, die Finnen 222B und die Finne 222C haben jeweils einen Kanalbereich, einen Source-Bereich und einen Drain-Bereich, die entlang ihrer Länge (hier entlang der Y-Richtung) definiert sind, wobei der Kanalbereich zwischen dem Source-Bereich und dem Drain-Bereich (gemeinsam als Source-/Drain-Bereiche bezeichnet) angeordnet ist. Die Source-/Drain-Bereiche der Finnen 222A, der Finnen 222B und der Finne 222C sind in den 3A bis 3J dargestellt. Über Teilen der Finnen 222A, der Finnen 222B und der Finne 222C ist eine Gate-Struktur (nicht dargestellt) angeordnet. Zum Beispiel umschließt die Gate-Struktur die Kanalbereiche der Finnen 222A, der Finnen 222B und der Finne 222C, wodurch die Source-/Drain-Bereiche der Finnen 222A, der Finnen 222B und der Finne 222C dazwischen geschichtet werden. Bei einigen Ausführungsformen kommen die Gate-Strukturen in Kontakt mit den Seitenwandteilen der Kanalbereiche und einem oberen Teil der Kanalbereiche, der zwischen den Seitenwandteilen definiert ist, sodass die Gate-Struktur in Kontakt mit drei Seiten der Kanalbereiche der Finnen 222A, der Finnen 222B und der Finne 222C kommt. Bei einigen Ausführungsformen sind die Gate-Strukturen den Gate-Strukturen 30A bis 30D ähnlich, die vorstehend unter Bezugnahme auf 1 beschrieben worden sind. Bei der dargestellten Ausführungsform wird das integrierte Schaltkreiselement 200 zum Beispiel mit einem Gate-zuletzt-Verfahren hergestellt, sodass die Gate-Strukturen einen Dummy-Gate-Stapel haben, wie vorstehend näher beschrieben worden ist. Die Gate-Strukturen werden durch eine Kombination aus Abscheidungs-, lithografischen und/oder Ätzprozessen, anderen geeigneten Prozessen oder einer Kombination davon hergestellt. Zum Beispiel kann ein Abscheidungsprozess durchgeführt werden, um eine Zwischenschicht über dem Substrat 210, insbesondere über der Finnenstruktur 220 (hier die Finnen 222A, die Finnen 222B und die Finne 222C) und dem Trennelement 230, herzustellen, und ein weiterer Abscheidungsprozess kann durchgeführt werden, um eine Dummy-Gate-Elektrodenschicht über der Zwischenschicht herzustellen. Bei einigen Ausführungsformen wird ein Abscheidungsprozess durchgeführt, um eine dielektrische Dummy-Gate-Schicht über der Zwischenschicht herzustellen, bevor die Dummy-Gate-Elektrodenschicht hergestellt wird. Die Abscheidungsverfahren umfassen CVD, physikalische Aufdampfung (PVD), Atomlagenabscheidung (ALD), chemische Aufdampfung mit einem Plasma hoher Dichte (high-density plasma CVD; HDPCVD), metallorganische chemische Aufdampfung (metal-organic CVD; MOCVD), Remote-Plasma-CVD (RPCVD), Plasmaunterstützte chemische Aufdampfung (plasma-enhanced CVD; PECVD), Tiefdruck-CVD (LPCVD), Atomlagen-CVD (ALCVD), chemische Gasphasenabscheidung bei Atmosphärendruck (atmospheric pressure CVD; APCVD), Plattierung, andere geeignete Verfahren oder eine Kombination davon. Anschließend kann ein Prozess der lithografischen Strukturierung und Ätzung durchgeführt werden, um die Zwischenschicht und die dielektrische Dummy-Gate-Schicht (und bei einigen Ausführungsformen die Dummy-Gate-Elektrodenschicht) zu strukturieren, sodass der Dummy-Gate-Stapel entsteht. Die lithografischen Strukturierungsprozesse umfassen Resistbeschichtung (zum Beispiel Aufschleudern), Vorhärtung, Maskenjustierung, Belichtung, Härtung nach der Belichtung, Entwicklung des Resists, Wässerung, Trocknung (zum Beispiel Nachhärtung), andere geeignete Verfahren oder eine Kombination davon. Alternativ wird die lithografische Belichtung durch andere Verfahren unterstützt, implementiert oder ersetzt, wie etwa maskenlose Lithografie, Elektronenstrahl-Schreiben oder Ionenstrahl-Schreiben. Bei noch weiteren Ausführungsformen wird die Nanoimprint-Technologie für die lithografische Strukturierung verwendet. Die Ätzprozesse umfassen Trockenätzprozesse, Nassätzprozesse, andere Ätzprozesse oder eine Kombination davon.Finns 222A , Finns 222B and the Finn 222C each have a channel region, a source region, and a drain region defined along their length (here along the Y direction), the channel region between the source region and the drain region (collectively referred to as source / drain Areas) is arranged. The source / drain regions of the fins 222A , the Finn 222B and the Finn 222C are in the 3A to 3J shown. About parts of the Finns 222A , the Finn 222B and the fin 222C is a gate structure (not shown). For example, the gate structure encloses the channel regions of the fins 222A , the Finn 222B and the Finn 222C , whereby the source / drain regions of the fins 222A , the Finn 222B and the Finn 222C be layered in between. In some embodiments, the gate structures come into contact with the sidewall portions of the channel regions and an upper portion of the channel regions defined between the sidewall portions such that the gate structure contacts three sides of the channel regions of the fins 222A , the Finn 222B and the Finn 222C comes. In some embodiments the gate structures the gate structures 30A to 30D similar to the above with reference to 1 have been described. In the illustrated embodiment, the integrated circuit element becomes 200 for example, with a gate-last method, so that the gate structures have a dummy gate stack, as described in more detail above. The gate structures are made by a combination of deposition, lithographic, and / or etching processes, other suitable processes, or a combination thereof. For example, a deposition process may be performed to form an intermediate layer over the substrate 210 , especially over the fin structure 220 (here the Finns 222A , Finns 222B and the Finn 222C ) and the separating element 230 , and another deposition process may be performed to fabricate a dummy gate electrode layer over the intermediate layer. In some embodiments, a deposition process is performed to fabricate a dummy gate dielectric layer over the interface layer before the dummy gate electrode layer is fabricated. Deposition processes include CVD, physical vapor deposition (PVD), atomic layer deposition (ALD), high density plasma (CVD) chemical vapor deposition, metal-organic chemical vapor deposition (MOCVD), remote plasma CVD (RPCVD), plasma-enhanced CVD (PECVD), gravure CVD (LPCVD), atomic layer CVD (ALCVD), atmospheric pressure chemical vapor deposition (APCVD), plating, other suitable methods or a combination from that. Thereafter, a process of lithographic patterning and etching may be performed to pattern the interlayer and the dummy gate dielectric layer (and in some embodiments, the dummy gate electrode layer) to form the dummy gate stack. The lithographic patterning processes include resist coating (for example, spin coating), precuring, mask alignment, exposure, post-exposure curing, resist development, rinsing, drying (for example, post cure), other suitable methods, or a combination thereof. Alternatively, the lithographic exposure is assisted, implemented or replaced by other methods such as maskless lithography, electron beam writing or ion beam writing. In yet other embodiments, nanoimprint technology is used for lithographic patterning. The etch processes include dry etch processes, wet etch processes, other etch processes, or a combination thereof.

In den 3B bis 3F werden epitaxiale Source-Strukturelemente und epitaxiale Drain-Strukturelemente (die hier als epitaxiale Source-/Drain-Strukturelemente bezeichnet werden) in den Source-/Drain-Bereichen von n-FinFET-Bereichen, wie etwa dem ersten Bereich 212 und dem zweiten Bereich 214, hergestellt. In den 3B und 3C geht die Bearbeitung mit dem Aussparen der Source-/Drain-Bereiche der Finnen in den n-leitenden Bauelementbereichen, wie etwa dem ersten Bereich 212 und dem zweiten Bereich 214, des integrierten Schaltkreiselements 200 weiter. In 3B wird über dem Substrat 210 (bei der dargestellten Ausführungsform über dem Trennelement 230) eine Maskenschicht 240 hergestellt, und über der Maskenschicht 240 wird eine strukturierte Resistschicht 245 hergestellt. Die Maskenschicht 240 weist ein Material auf, das eine andere Ätzrate als das Material der Finnenstruktur 220 (insbesondere der Finnen 222A und der Finnen 222B) hat. Die Maskenschicht 240 weist zum Beispiel Siliziumnitrid, Siliziumoxid, Siliziumoxidnitrid, Siliziumcarbid, Silizium-Kohlenstoff-Nitrid, amorphes Silizium, Polysilizium, andere geeignete Materialien oder eine Kombination davon auf. Bei der dargestellten Ausführungsform weist die Maskenschicht 240 Siliziumnitrid auf. Bei einigen Ausführungsformen weist die Maskenschicht 240 ein Material auf, das eine niedrigere Ätzrate als das Material der Finnenstruktur 220 hat, sodass die Finnen 222A und die Finnen 222B gegenüber der Maskenschicht 240 selektiv geätzt werden können. Die Maskenschicht 240 wird mit einem geeigneten Verfahren, wie etwa einem CVD-Verfahren, mit einer geeigneten Dicke hergestellt. Bei einigen Ausführungsformen wird eine silizium- und stickstoffhaltige Maskenschicht mittels LPCVD hergestellt. Bei einigen Ausführungsformen wird eine silizium- und stickstoffhaltige Maskenschicht durch Durchführen eines Prozesses hergestellt, der das thermische Nitrieren der siliziumhaltigen Schicht umfasst. Bei einigen Ausführungsformen wird eine Padschicht (zum Beispiel eine Siliziumoxidschicht) zwischen der Maskenschicht 240 und dem Substrat 210 (insbesondere dem Trennelement 230) hergestellt.In the 3B to 3F For example, epitaxial source and epitaxial drain features (referred to herein as epitaxial source / drain features) are in the source / drain regions of n-type FinFET regions, such as the first region 212 and the second region 214 , produced. In the 3B and 3C For example, processing involves sparing the source / drain regions of the fins in the n-type device regions, such as the first region 212 and the second area 214 , of the integrated circuit element 200 further. In 3B is above the substrate 210 (In the illustrated embodiment, over the separating element 230 ) a mask layer 240 made, and over the mask layer 240 becomes a structured resist layer 245 produced. The mask layer 240 has a material that has a different etch rate than the material of the fin structure 220 (especially the Finns 222A and the Finns 222B ) Has. The mask layer 240 For example, silicon nitride, silicon oxide, silicon oxynitride, silicon carbide, silicon carbon nitride, amorphous silicon, polysilicon, other suitable materials, or a combination thereof. In the illustrated embodiment, the mask layer 240 Silicon nitride on. In some embodiments, the mask layer 240 comprises a material having a lower etch rate than the material of the fin structure 220 so that the Finns 222A and the Finns 222B opposite the mask layer 240 can be selectively etched. The mask layer 240 is prepared by a suitable method, such as a CVD method, having a suitable thickness. In some embodiments, a silicon and nitrogen-containing mask layer is made by LPCVD. In some embodiments, a silicon and nitrogen-containing mask layer is made by performing a process that includes thermally nitriding the silicon-containing layer. In some embodiments, a pad layer (eg, a silicon oxide layer) is interposed between the mask layer 240 and the substrate 210 (In particular the separating element 230 ) produced.

Die strukturierte Resistschicht 245 weist eine Öffnung auf, die n-leitende Bauelementbereiche, wie etwa den ersten Bereich 212 und den zweiten Bereich 214, des integrierten Schaltkreiselements 200 freilegt, während die p-leitenden Bauelementbereiche des integrierten Schaltkreiselements 200, wie etwa der dritte Bereich 216, bedeckt bleiben. Die strukturierte Resistschicht 245 wird auch als eine Fotoresist-Schicht, lichtempfindliche Schicht, Abbildungsschicht, Strukturierungsschicht oder strahlungsempfindliche Schicht bezeichnet. Die strukturierte Resistschicht 245 wird über dem Substrat 210 mittels eines lithografischen Strukturierungsprozesses hergestellt, der Resistbeschichtung (zum Beispiel Aufschleudern), Vorhärtung, Maskenjustierung, Belichtung, Härtung nach der Belichtung, Entwicklung, Wässerung, Trocknung (zum Beispiel Nachhärtung), andere geeignete Verfahren oder eine Kombination davon umfasst. Alternativ wird die lithografische Belichtung durch andere Verfahren unterstützt, implementiert oder ersetzt, wie etwa maskenlose Lithografie, Elektronenstrahl-Schreiben oder Ionenstrahl-Schreiben. Bei noch weiteren Ausführungsformen wird die Nanoimprint-Technologie für die lithografische Strukturierung verwendet. Bei einigen Ausführungsformen wird für den lithografischen Prozess ein Ätzprozess verwendet, wie etwa Trockenätzen, Nassätzen, andere Ätzverfahren oder eine Kombination davon. Vor der Herstellung der strukturierten Resistschicht 245 kann eine Wässerung, wie etwa eine Wässerung mit vollentsalztem Wasser (DI-Wasser), auf dem Substrat 210 durchgeführt werden.The structured resist layer 245 has an opening, the n-type device regions, such as the first region 212 and the second area 214 , of the integrated circuit element 200 while the p-type device regions of the integrated circuit element 200 , such as the third area 216 stay covered. The structured resist layer 245 is also referred to as a photoresist layer, photosensitive layer, imaging layer, patterning layer or radiation-sensitive layer. The structured resist layer 245 is above the substrate 210 produced by a lithographic patterning process, resist coating (for example spin coating), precure, mask alignment, exposure, post exposure curing, development, washing, drying (e.g. Postcure), other suitable methods, or a combination thereof. Alternatively, the lithographic exposure is assisted, implemented or replaced by other methods such as maskless lithography, electron beam writing or ion beam writing. In yet other embodiments, nanoimprint technology is used for lithographic patterning. In some embodiments, an etching process is used for the lithographic process, such as dry etching, wet etching, other etching processes, or a combination thereof. Before producing the patterned resist layer 245 may be a watering, such as a dehydration with demineralized water (DI water) on the substrate 210 be performed.

In 3C wird ein Ätzprozess durchgeführt, um Teile der Finnenstruktur 220 in den n-leitenden Bauelementbereichen, wie etwa dem ersten Bereich 212 und dem zweiten Bereich 214, des integrierten Schaltkreiselements 200 zu entfernen. Durch den Ätzprozess werden zum Beispiel Teile der Source-/Drain-Bereiche der Finnen 222A und der Finnen 222B entfernt, sodass Source-/Drain-Aussparungen 250A in dem ersten Bereich 212 und Source-/Drain-Aussparungen 250B in dem zweiten Bereich 214 entstehen. Mit dem Ätzprozess wird eine geeignete Menge der Finnen 222A und der Finnen 222B entfernt, um ein gewünschtes Profil für die Source-/Drain-Aussparungen 250A und die Source-/Drain-Aussparungen 250B zu erzielen. Bei der dargestellten Ausführungsform reichen die Source-/Drain-Aussparungen 250A und die Source-/Drain-Aussparungen 250B nicht bis unter die Oberseite des Trennelements 230, obwohl die vorliegende Erfindung Ausführungsformen in Betracht zieht, bei denen die Source-/Drain-Aussparungen 250A und die Source-/Drain-Aussparungen 250B bis unter die Oberseite des Trennelements 230 reichen. Der Ätzprozess ist ein Trockenätzprozess, ein Nassätzprozess oder eine Kombination davon. Um die Finnen 222A und die Finnen 222B selektiv zu ätzen, können verschiedene Ätzparameter eingestellt werden, wie etwa die Zusammensetzung des Ätzmittels, Ätztemperatur, Konzentration der Ätzlösung, Ätzdauer, Ätzdruck, Leistung der Spannungsquelle, Hochfrequenz-Vorspannung, Hochfrequenz-Vorspannungsleistung, Ätzmittel-Durchsatz, andere geeignete Ätzparameter oder eine Kombination davon. Bei einigen Ausführungsformen können die Finnen 222A und die Finnen 222B unter Verwendung von Ätzmitteln geätzt werden, die eine hohe Ätzselektivität in Bezug auf das Material der Finnen 222A und die Finnen 222B haben. Bei einigen Ausführungsformen wird in einem Nassätzprozess eine Ätzlösung verwendet, die Ammoniakhydrat (NH4OH), Wasserstoffperoxid (H2O2), Schwefelsäure (H2SO4), Tetramethylammoniumhydroxid (TMAH), eine andere geeignete Nassätzlösung oder eine Kombination davon aufweist. Für die Nassätzlösung kann zum Beispiel eine NH4OH:H2O2-Lösung, eine NH4OH:H2O2:H2O-Lösung [die als Ammoniak-Peroxid-Mischung (APM) bekannt ist] oder eine H2SO4:H2O2-Lösung [die als Schwefelsäure-Peroxid-Mischung (SPM) bekannt ist] verwendet werden. Bei einigen Ausführungsformen wird für den Trockenätzprozess ein Ätzgas verwendet, das ein fluorhaltiges Ätzgas (z. B. CF4, SF6, CH2F2, CHF3 und/oder C2F6), ein sauerstoffhaltiges Gas, ein chlorhaltiges Gas (z. B. Cl2, CHCl3, CCl4 und/oder BCl3), ein bromhaltiges Gas (z. B. HBr und/oder CHBr3), ein iodhaltiges Gas, andere geeignete Gase und/oder Plasmen oder eine Kombination davon umfasst.In 3C An etching process is performed to parts of the fin structure 220 in the n-type device regions, such as the first region 212 and the second region 214, of the integrated circuit element 200 to remove. By the etching process, for example, parts of the source / drain regions of the fins 222A and the Finns 222B removed, leaving source / drain recesses 250A in the first area 212 and source / drain recesses 250B in the second area 214 arise. With the etching process, an appropriate amount of the fins 222A and the Finns 222B removed to a desired profile for the source / drain recesses 250A and the source / drain recesses 250B to achieve. In the illustrated embodiment, the source / drain recesses are sufficient 250A and the source / drain recesses 250B not to below the top of the separator 230 Although the present invention contemplates embodiments in which the source / drain recesses 250A and the source / drain recesses 250B until under the top of the separator 230 pass. The etching process is a dry etching process, a wet etching process or a combination thereof. To the Finns 222A and the Finns 222B selectively etch, various etch parameters can be set, such as etchant composition, etch temperature, etch solution concentration, etch duration, etch pressure, voltage source power, radio frequency bias, RF bias power, etchant flow rate, other suitable etch parameters, or a combination thereof , In some embodiments, the fins may 222A and the Finns 222B etched using etchants that have a high etch selectivity with respect to the fin 222A material and fins 222B to have. In some embodiments, a wet etch process uses an etchant solution comprising ammonia hydrate (NH 4 OH), hydrogen peroxide (H 2 O 2 ), sulfuric acid (H 2 SO 4 ), tetramethylammonium hydroxide (TMAH), another suitable wet etch solution, or a combination thereof. For the wet etching solution, for example, NH 4 OH: H 2 O 2 solution, NH 4 OH: H 2 O 2 : H 2 O solution [known as ammonia-peroxide mixture (APM)] or H 2 SO 4: H 2 O 2 solution [as sulfuric peroxide mixture (SPM) is known] are used. In some embodiments, the dry etching process uses an etching gas comprising a fluorine-containing etching gas (eg, CF 4 , SF 6 , CH 2 F 2 , CHF 3 and / or C 2 F 6 ), an oxygen-containing gas, a chlorine-containing gas (e.g. Cl 2 , CHCl 3 , CCl 4 and / or BCl 3 ), a bromine-containing gas (eg HBr and / or CHBr 3 ), an iodine-containing gas, other suitable gases and / or plasmas or a combination thereof.

In 3D wird ein Abscheidungsprozess durchgeführt, um ein epitaxiales Source-/Drain-Strukturelement oder anderes -Merkmal 255A über den Source-/Drain-Bereichen der Finnen 222A und ein epitaxiales Source-/Drain-Strukturelement oder anderes -Merkmal 255B über den Source-/Drain-Bereichen der Finnen 222B herzustellen. Es wird zum Beispiel ein SEG-Prozess (SEG: selective epitaxial growth; selektives epitaxiales Aufwachsen) durchgeführt, um ein Halbleitermaterial auf freigelegten, ausgesparten Teilen der Finnen 222A und der Finnen 222B aufwachsen zu lassen. Das Halbleitermaterial füllt die Source-/Drain-Aussparungen 250A und die Source-/Drain-Aussparungen 250B in einer Höhe (die in der Z-Richtung definiert ist), die größer als die Höhe h1 und die Höhe h2 ist (Anfangshöhen der Finnen 222A bzw. der Finnen 222B). Bei der dargestellten Ausführungsform verschmilzt das Halbleitermaterial, das von benachbarten Source-/Drain-Aussparungen 250A und benachbarten Source-/Drain-Aussparungen 250B aufwachsen gelassen wird, sodass sich das epitaxiale Source-/Drain-Strukturelement 255A über die beiden Finnen 222A in dem ersten Bereich 212 erstreckt und sich das epitaxiale Source-/Drain-Strukturelement 255B über die beiden Finnen 222B in dem zweiten Bereich 214 erstreckt. Das epitaxiale Source-/Drain-Strukturelement 255A und das epitaxiale Source-/Drain-Strukturelement 255B können daher als verschmolzene epitaxiale Source-/Drain-Strukturelemente bezeichnet werden. Für den SEG-Prozess können CVD-Abscheidungsverfahren [zum Beispiel Dampfphasenepitaxie (VPE), Ultrahochvakuum-CVD (UHV-CVD), LPCVD und/oder PECVD], Molekularstrahlepitaxie (MBE), andere geeignete SEG-Prozesse oder eine Kombination davon verwendet werden. Für den SEG-Prozess werden gasförmige Vorläufer (zum Beispiel Si-haltige Gase, wie etwa SiH4, und/oder Ge-haltige Gase, wie etwa GeH4) und/oder flüssige Vorläufer verwendet, die mit der Zusammensetzung der Finnenstruktur 220 (hier die Finnen 222A und die Finnen 222B) interagieren. In den SEG-Prozess werden Dotanden eingebracht, sodass das epitaxiale Source-/Drain-Strukturelement 255A und das epitaxiale Source-/Drain-Strukturelement 255B während des SEG-Prozesses in situ dotiert werden. Das epitaxiale Source-/Drain-Strukturelement 255A und das epitaxiale Source-/Drain-Strukturelement 255B werden zum Beispiel während der Abscheidung durch Zugabe von Dotanden zu einem Ausgangsmaterial des SEG-Prozesses dotiert.In 3D For example, a deposition process is performed to form an epitaxial source / drain feature or other feature 255A over the source / drain regions of the fins 222A and an epitaxial source / drain feature or other feature 255B over the source / drain regions of the fins 222B manufacture. For example, a selective epitaxial growth (SEG) process is performed to deposit a semiconductor material on exposed, recessed portions of the fins 222A and the Finns 222B to grow up. The semiconductor material fills the source / drain recesses 250A and the source / drain recesses 250B at a height (defined in the Z direction) greater than the height h 1 and the height h 2 (initial heights of the fins 222A and the fins, respectively) 222B ). In the illustrated embodiment, the semiconductor material fuses from adjacent source / drain recesses 250A and adjacent source / drain recesses 250B is grown, so that the epitaxial source / drain structure element 255A about the two Finns 222A in the first area 212 extends and the epitaxial source / drain structure element 255B about the two Finns 222B in the second area 214 extends. The epitaxial source / drain structure element 255A and the epitaxial source / drain structure element 255B may therefore be referred to as fused epitaxial source / drain structure elements. For the SEG process, CVD deposition methods [for example, vapor phase epitaxy (VPE), ultra-high vacuum CVD (UHV-CVD), LPCVD and / or PECVD], molecular beam epitaxy (MBE), other suitable SEG processes, or a combination thereof can be used. For the SEG process, gaseous precursors (for example Si-containing gases such as SiH 4 and / or Ge-containing gases such as GeH 4 ) and / or liquid precursors having the composition of the fin structure are used 220 (here the Finns 222A and the Finns 222B ) to interact. In the SEG process dopants are introduced so that the epitaxial source / drain structure element 255A and the epitaxial source / drain structure element 255B be doped in situ during the SEG process. The epitaxial source / drain structure element 255A and the epitaxial source / drain structure element 255B For example, dopants are doped to a starting material of the SEG process during deposition by the addition of dopants.

Bei der dargestellten Ausführungsform weisen das epitaxiale Source-/Drain-Strukturelement 255A und das epitaxiale Source-/Drain-Strukturelement 255B ein siliziumhaltiges Material auf, wenn der erste Bereich 212 und der zweite Bereich 214 n-leitende Bauelementbereiche sind. Bei diesen Ausführungsformen wird für den Abscheidungsprozess ein siliziumhaltiger Vorläufer, wie etwa Silan (SiH4), Disilan (Si2H6), Trisilan (Si3H8), Dichlorsilan (SiH2Cl2), ein anderer siliziumhaltiger Vorläufer oder eine Kombination davon verwendet. Weiterhin umfasst bei diesen Ausführungsformen der Abscheidungsprozess eine In-situ-Dotierung des siliziumhaltigen Materials, wobei für den Abscheidungsprozess ein n-Dotanden-Vorläufer verwendet wird, wie etwa ein phosphorhaltiges Gas [das zum Beispiel Phosphan (PH3) aufweist], ein arsenhaltiges Gas [das zum Beispiel Arsan (AsH3) aufweist], ein anderes n-Dotanden-haltiges Gas oder eine Kombination davon. Das epitaxiale Source-/Drain-Strukturelement 255A und das epitaxiale Source-/Drain-Strukturelement 255B sind epitaxiale Si:P-Source-/Drain-Strukturelemente. Bei einigen Ausführungsformen haben das epitaxiale Source-/Drain-Strukturelement 255A und das epitaxiale Source-/Drain-Strukturelement 255B eine Phosphor-Dotierungskonzentration von etwa 1 × 1021 Dotanden/cm3 bis etwa 5 × 1021 Dotanden/cm3. Bei einigen Ausführungsformen wird der Abscheidungsprozess bei einer Temperatur von etwa 550 °C bis etwa 650 °C (z. B. bei etwa 600 °C) durchgeführt. Bei einigen Ausführungsformen wird das integrierte Schaltkreiselement 200 für etwa 200 s bis etwa 400 s (zum Beispiel etwa 300 s) einem Abscheidungsprozess unterzogen (es wird zum Beispiel mit dem siliziumhaltigen Vorläufer und dem phosphorhaltigen Vorläufer behandelt). Bei einigen Ausführungsformen wird der Abscheidungsprozess so lange durchgeführt, bis das Halbleitermaterial zwischen den Finnen 222A und den Finnen 222B über die Höhe h1 bzw. die Höhe h2 hinaus reicht. Bei einigen Ausführungsformen umfasst der Abscheidungsprozess weiterhin eine In-situ-Dotierung des siliziumhaltigen Materials mit einem kohlenstoffhaltigen Gas [das zum Beispiel Monomethylsilan (MMS) aufweist]. Bei einigen Ausführungsformen sind das epitaxiale Source-/Drain-Strukturelement 255A und das epitaxiale Source-/Drain-Strukturelement 255B epitaxiale Si:P:C-Source-/Drain-Strukturelemente.In the illustrated embodiment, the epitaxial source / drain structure element 255A and the epitaxial source / drain structure element 255B a silicon-containing material on when the first area 212 and the second area 214 n-type device areas are. In these embodiments, for the deposition process, a silicon-containing precursor such as silane (SiH 4 ), disilane (Si 2 H 6 ), trisilane (Si 3 H 8 ), dichlorosilane (SiH 2 Cl 2 ), another silicon-containing precursor, or a combination used of it. Further, in these embodiments, the deposition process includes in-situ doping of the silicon-containing material using an n-dopant precursor, such as a phosphorous-containing gas [e.g., phosphine (PH 3 )], an arsenic-containing gas for the deposition process [which has, for example, arsane (AsH 3 )], another n-dopant-containing gas, or a combination thereof. The epitaxial source / drain structure element 255A and the epitaxial source / drain structure element 255B are epitaxial Si: P source / drain features. In some embodiments, the epitaxial source / drain structure element 255A and the epitaxial source / drain structure element 255B a phosphorus doping concentration of about 1 × 10 21 dopants / cm 3 to about 5 × 10 21 dopants / cm 3. In some embodiments, the deposition process is performed at a temperature of about 550 ° C to about 650 ° C (eg, about 600 ° C). In some embodiments, the integrated circuit element becomes 200 subjected to a deposition process for about 200 seconds to about 400 seconds (for example, about 300 seconds) (for example, it is treated with the silicon-containing precursor and the phosphorus-containing precursor). In some embodiments, the deposition process is performed until the semiconductor material between the fins 222A and the Finns 222B beyond the height h 1 or the height h 2 also extends. In some embodiments, the deposition process further comprises in-situ doping of the silicon-containing material with a carbon-containing gas [such as monomethylsilane (MMS)]. In some embodiments, the epitaxial source / drain feature is 255A and the epitaxial source / drain structure element 255B epitaxial Si: P: C source / drain features.

Um die Entstehung von Kristallfehlern und/oder Kristallstörstellen in dem epitaxialen Source-/Drain-Strukturelement 255A und dem epitaxialen Source-/Drain-Strukturelement 255B, wie etwa in amorphen Teilen (zum Beispiel den amorphen Silizium-Teilen) des epitaxialen Source-/Drain-Strukturelements 255A und des epitaxialen Source-/Drain-Strukturelements 255B, zu verringern, wird in dem Abscheidungsprozess weiterhin ein Ätzmittel-haltiger Vorläufer, wie etwa ein chlorhaltiger Vorläufer [der zum Beispiel Chlor (Cl2) oder Chlorwasserstoff (HCl) aufweist], in den siliziumhaltigen Vorläufer und den n-Dotandenhaltigen Vorläufer eingebracht, sodass bei dem Abscheidungsprozess gleichzeitig, wenn auch minimal, das siliziumhaltige Material geätzt wird. Der Durchsatz des siliziumhaltigen Vorläufers (D) und der Durchsatz des ätzmittelhaltigen Vorläufers (E) werden so eingestellt, dass die Aufwachskinetik der siliziumhaltigen Schicht verbessert wird und das seitliche Aufwachsen des epitaxialen Source-/Drain-Strukturelements 255A und des epitaxialen Source-/Drain-Strukturelements 255B (wie etwa die Querabmessung b1 und die Querabmessung b2) begrenzt wird. Bei der dargestellten Ausführungsform ist das Verhältnis D/E des siliziumhaltigen Vorläufers und des ätzmittelhaltigen Vorläufers zum Beispiel kleiner als 5 (mit anderen Worten: D/E < 5). D/E-Verhältnisse, die kleiner als 5 sind, minimieren den Selektivitätsverlust und die Kristallwachstumskinetik, wodurch sichergestellt wird, dass das siliziumhaltige Material nicht auf andere Strukturelemente aufwächst, wie etwa auf siliziumnitridhaltige Strukturelemente und/oder siliziumoxidhaltige Strukturelemente [wie etwa die Maskenschicht 240, das Trennelement 230 und/oder die Gate-Struktur (wie etwa der Dummy-Gate-Stapel)]. Mit anderen Worten, der Abscheidungsprozess zeigt keinen Silizium-Selektivitätsverlust. Wenn jedoch das D/E-Verhältnis zu klein ist, kommt es zu einem minimalen epitaxialen Aufwachsen, wodurch ein Verschmelzen des Halbleitermaterials, das von benachbarten Source-/Drain-Aussparungen 250A und/oder Source-/Drain-Aussparungen 250B aufwachsen gelassen wird, zu dem epitaxialen Source-/Drain-Strukturelement 255A bzw. dem Source-/Drain-Strukturelement 255B verhindert wird. Bei einigen Ausführungsformen ist das Verhältnis (D/E) des siliziumhaltigen Vorläufers und des ätzmittelhaltigen Vorläufers größer als oder gleich 1 (mit anderen Worten: D/E ≥ 1). Bei der dargestellten Ausführungsform wird in dem Abscheidungsprozess ein HCl-Vorläufer in den siliziumhaltigen Vorläufer und den phosphorhaltigen Vorläufer eingebracht, wobei das Verhältnis des HCl-Durchsatzes zu dem Durchsatz des siliziumhaltigen Vorläufers kleiner als 5, aber größer als 1 ist (mit anderen Worten: 1 ≤ Si D / HCl E < 5). Bei einigen Ausführungsformen beträgt der Durchsatz des siliziumhaltigen Vorläufers etwa 20 Ncm3/min bis etwa 500 Ncm3/min, während der Durchsatz des ätzmittelhaltigen Vorläufers etwa 20 Ncm3/min bis etwa 100 Ncm3/min beträgt. Bei einigen Ausführungsformen beträgt der Durchsatz des phosphorhaltigen Vorläufers etwa 20 Ncm3/min bis etwa 500 Ncm3/min.To the formation of crystal defects and / or crystal defects in the epitaxial source / drain structure element 255A and the epitaxial source / drain structure element 255B as in amorphous parts (for example, the amorphous silicon parts) of the epitaxial source / drain structure element 255A and the epitaxial source / drain structure element 255B In addition, in the deposition process, an etchant-containing precursor such as a chlorine-containing precursor [having, for example, chlorine (Cl 2 ) or hydrogen chloride (HCl)] is further introduced into the silicon-containing precursor and the n-type dopant-containing precursor during the deposition process, albeit minimally, the silicon-containing material is etched simultaneously. The throughput of the silicon-containing precursor (D) and the throughput of the etchant-containing precursor (E) are adjusted so that the growth kinetics of the silicon-containing layer is improved and the lateral growth of the epitaxial source / drain structural element 255A and the epitaxial source / drain structure element 255B (such as the transverse dimension b 1 and the transverse dimension b2) is limited. For example, in the illustrated embodiment, the ratio D / E of the silicon-containing precursor and the etchant-containing precursor is less than 5 (in other words, D / E <5). D / E ratios less than 5 minimize selectivity loss and crystal growth kinetics, thereby ensuring that the silicon-containing material does not grow on other features, such as silicon nitride-containing features and / or silicon oxide-containing features [such as the mask layer 240 , the separator 230 and / or the gate structure (such as the dummy gate stack)]. In other words, the deposition process shows no silicon selectivity loss. However, if the D / E ratio is too small, minimal epitaxial growth will occur, causing fusing of the semiconductor material from adjacent source / drain recesses 250A and / or source / drain recesses 250B is grown to the epitaxial source / drain structure element 255A or the source / drain structure element 255B is prevented. In some embodiments, the ratio (D / E) of the silicon-containing precursor and the etchant-containing precursor is greater than or equal to 1 (in other words, D / E ≥ 1). In the illustrated embodiment, in the deposition process, an HCl precursor is introduced into the silicon-containing precursor and phosphorus-containing precursor, with the ratio of HCl throughput to silicon precursor throughput being less than 5 but greater than 1 (in other words, 1 ≦ Si D / HCl E <5). In some embodiments, the throughput of the silicon-containing precursor is about 20 Ncm 3 / min to about 500 Ncm 3 / min while the etchant-containing precursor flow rate is about 20 Ncm 3 / min to about 100 Ncm 3 / min. In some embodiments, the throughput of the phosphorus-containing precursor is about 20 Ncm 3 / min to about 500 Ncm 3 / min.

Bei einigen Ausführungsformen wird ein Vorreinigungsprozess vor dem Abscheidungsprozess durchgeführt, um zum Beispiel systemeigenes Oxid oder andere Verunreinigungen von Flächen zu entfernen, die die Source-/Drain-Aussparungen 250A und die Source-/Drain-Aussparungen 250B definieren, wie etwa von den Oberseiten der Finnen 222A und der Finnen 222B. Der Vorreinigungsprozess kann so konfiguriert sein, dass systemeigenes Oxid oder andere Verunreinigungen aus den Finnen 222A und den Finnen 222B, der Maskenschicht 240, dem Trennelement 230, dem Substrat 210 und/oder der Gate-Struktur entfernt werden. Bei einigen Ausführungsformen umfasst der Vorreinigungsprozess einen Trockenätzprozess, in dem ein fluorhaltiger Vorläufer [der zum Beispiel Fluor (wie etwa F und/oder F2), Stickstofftrifluorid (NF3), Fluorwasserstoff (HF), andere fluorhaltige Ätzmittel-Komponenten oder eine Kombination davon umfasst] und ein wasserstoffhaltiger Vorläufer [der zum Beispiel Wasserstoff (wie etwa H und/oder H2), Ammoniak (NH3), andere wasserstoffhaltige Ätzmittel-Komponenten oder eine Kombination davon umfasst] verwendet werden. Bei einigen Ausführungsformen kann mit dem Vorreinigungsprozess Siliziumoxid selektiv geätzt werden, ohne Silizium (bei einigen Ausführungsformen kristallines Silizium, amorphes Silizium und/oder Polysilizium) zu ätzen (oder wenn doch, dann nur minimal). Bei einigen Ausführungsformen wird für den Vorreinigungsprozess die SICONT™-Technologie verwendet, die von Applied Materials, Inc. in Santa Clara, Kalifornien, erhältlich ist. Bei einigen Ausführungsformen umfasst der Vorreinigungsprozess einen Nassätzprozess, für den eine fluorhaltige und eine wasserstoffhaltige Ätzlösung, wie etwa verdünnter Flurowasserstoff (DHF), verwendet werden. Bei einigen Ausführungsformen wird der Vorreinigungsprozess bei einer Temperatur von etwa 550 °C bis etwa 650 °C (zum Beispiel bei etwa 600 °C) durchgeführt. Bei einigen Ausführungsformen wird das integrierte Schaltkreiselement 200 für etwa 10 s bis etwa 30 s dem Vorreinigungsprozess unterzogen.In some embodiments, a pre-cleaning process is performed prior to the deposition process to, for example, native oxide or to remove other contaminants from surfaces that make up the source / drain recesses 250A and the source / drain recesses 250B define, such as from the tops of the fins 222A and the Finns 222B , The pre-cleaning process may be configured to include native oxide or other impurities from the fins 222A and the Finns 222B , the mask layer 240 , the separator 230 , the substrate 210 and / or the gate structure are removed. In some embodiments, the prepurification process includes a dry etch process in which a fluorochemical precursor [such as fluorine (such as F and / or F2), nitrogen trifluoride (NF 3 ), hydrogen fluoride (HF), other fluorine-containing etchant components, or a combination thereof ] and a hydrogen-containing precursor [which includes, for example, hydrogen (such as H and / or H 2 ), ammonia (NH 3 ), other hydrogen-containing etchant components, or a combination thereof]. In some embodiments, the pre-cleaning process may selectively etch silicon oxide without etching silicon (in some embodiments crystalline silicon, amorphous silicon, and / or polysilicon) (or if so, then only minimally). In some embodiments, the pre-cleaning process uses the SICONT ™ technology available from Applied Materials, Inc. of Santa Clara, California. In some embodiments, the pre-clean process includes a wet etch process using a fluorochemical and a hydrogenous etch solution, such as dilute hydrofluoric acid (DHF). In some embodiments, the pre-cleaning process is performed at a temperature of about 550 ° C to about 650 ° C (for example, about 600 ° C). In some embodiments, the integrated circuit element becomes 200 for about 10 seconds to about 30 seconds subjected to the pre-cleaning process.

In 3E wird ein Ätzprozess durchgeführt, um Teile des epitaxialen Source-/Drain-Strukturelements 255A und des epitaxialen Source-/Drain-Strukturelements 255B zu entfernen (zurückzuätzen), wodurch das Profil des epitaxialen Source-/Drain-Strukturelements 255A und des epitaxialen Source-/Drain-Strukturelements 255B modifiziert wird. Für den Ätzprozess wird ein chlorhaltiger Vorläufer (der auch als chlorhaltiges Gas bezeichnet wird) verwendet, wie etwa Chlor (Cl2) oder Chlorwasserstoff (HCl). Bei der dargestellten Ausführungsform wird für den Ätzprozess zum Beispiel ein HCl-Vorläufer verwendet, der einen Durchsatz von etwa 100 Ncm3/min bis etwa 1000 Ncm3/min hat. Bei einigen Ausführungsformen wird der Ätzprozess bei einer Temperatur von etwa 650 °C bis etwa 750 °C (zum Beispiel bei etwa 700 °C) durchgeführt. Bei einigen Ausführungsformen wird das integrierte Schaltkreiselement 200 für etwa 20 s bis etwa 50 s (zum Beispiel etwa 40 s) dem Ätzprozess unterzogen. Bei einigen Ausführungsformen ist der Ätzprozess ein selektiver Ätzprozess, mit dem Kristallfehler und/oder Kristallstörstellen selektiv aus dem epitaxialen Source-/Drain-Strukturelement 255A und dem epitaxialen Source-/Drain-Strukturelement 255B entfernt werden können, wie etwa amorphe Teile (zum Beispiel amorphe Siliziumteile) des epitaxialen Source-/Drain-Strukturelements 255A und des epitaxialen Source-/Drain-Strukturelements 255B.In 3E An etching process is performed to form portions of the epitaxial source / drain structure element 255A and the epitaxial source / drain structure element 255B removing (re-etching) the profile of the epitaxial source / drain structure element 255A and the epitaxial source / drain structure element 255B is modified. For the etching process, a chlorine-containing precursor (also referred to as chlorine-containing gas) is used, such as chlorine (Cl2) or hydrogen chloride (HCl). In the illustrated embodiment, for example, an HCl precursor having a throughput of about 100 Ncm 3 / min to about 1000 Ncm 3 / min is used for the etching process. In some embodiments, the etching process is performed at a temperature of about 650 ° C to about 750 ° C (for example, about 700 ° C). In some embodiments, the integrated circuit element becomes 200 for about 20 seconds to about 50 seconds (for example, about 40 seconds) subjected to the etching process. In some embodiments, the etching process is a selective etching process, with the crystal defects and / or crystal defects selectively selected from the epitaxial source / drain structure element 255A and the epitaxial source / drain structure element 255B, such as amorphous parts (eg, amorphous silicon parts) of the epitaxial source / drain structure element 255A and the epitaxial source / drain structure element 255B ,

Der Ätzprozess wird so angepasst, dass das Profil des epitaxialen Source-/Drain-Strukturelements 255A und des epitaxialen Source-/Drain-Strukturelements 255B gesteuert werden können. Zum Beispiel werden mit dem Ätzprozess obere Teile des epitaxialen Source-/Drain-Strukturelements 255A und des epitaxialen Source-/Drain-Strukturelements 255B planarisiert, sodass das epitaxiale Source-/Drain-Strukturelement 255A und das epitaxiale Source-/Drain-Strukturelement 255B im Wesentlichen ebene Oberseiten zeigen, wie etwa eine Oberseite 260A und eine Oberseite 260B. Bei einigen Ausführungsformen haben die Oberseite 260A und die Oberseite 260B verschiedene Erhebungen und Vertiefungen, die zu einer Oberflächenrauheit führen, wobei eine Rautiefenschwankung von ≤±5 % im Wesentlichen ebene Kontaktlandeflächen liefert (zum Beispiel zum Verbinden eines Kontakts einer Verbindungsstruktur mit dem epitaxialen Source-/Drain-Strukturelement 255A und dem epitaxialen Source-/Drain-Strukturelement 255B). Bei der dargestellten Ausführungsform werden durch den Ätzprozess Rautiefenschwankungen eines verschmolzenen Teils 262A des epitaxialen Source-/Drain-Strukturelements 255A und eines verschmolzenen Teils 262B des epitaxialen Source-/Drain-Strukturelements 255B minimiert. Der verschmolzene Teil 262A und der verschmolzene Teil 262B sind Bereiche des epitaxialen Source-/Drain-Strukturelements 255A bzw. des epitaxialen Source-/Drain-Strukturelements 255B, in denen das Halbleitermaterial aus benachbarten Source-/Drain-Aussparungen 250A und benachbarten Source-/Drain-Aussparungen 250B verschmilzt. Der verschmolzene Teil 262A hat eine entsprechende Höhe h1m, und der verschmolzene Teil 262B hat eine entsprechende Höhe h2m. Die Höhe h1m ist über den verschmolzenen Teil 262A hinweg im Wesentlichen gleichbleibend, und die Höhe h2m ist über den verschmolzenen Teil 262B hinweg im Wesentlichen gleichbleibend. Bei einigen Ausführungsformen wird die Höhe h1m als im Wesentlichen gleichbleibend angesehen, wenn sich die Höhen an einer Anzahl von Positionen über eine Breite des verschmolzenen Teils 262A hinweg (mit anderen Worten, entlang der X-Richtung) um ±5 % voneinander unterscheiden, und die Höhe h2m wird als im Wesentlichen gleichbleibend angesehen, wenn sich die Höhen an einer Anzahl von Positionen über eine Breite des verschmolzenen Teils 262B hinweg um ±5 % voneinander unterscheiden. Durch Minimieren der Rautiefenschwankungen des verschmolzenen Teils 262A und des verschmolzenen Teils 262B zeigen das epitaxiale Source-/Drain-Strukturelement 255A und das epitaxiale Source-/Drain-Strukturelement 255B Profile, die bessere Kontaktlandeflächen (zum Beispiel zum Verbinden eines Kontakts einer Verbindungsstruktur mit dem epitaxialen Source-/Drain-Strukturelement 255A und dem epitaxialen Source-/Drain-Strukturelement 255B) als die epitaxialen Source-/Drain-Strukturelemente bieten, die unter Verwendung von herkömmlichen CDE-Prozessen hergestellt werden. Mit dem Ätzprozess können außerdem Seitenwandteile des epitaxialen Source-/Drain-Strukturelements 255A und des epitaxialen Source-/Drain-Strukturelements 255B planarisiert werden, sodass das epitaxiale Source-/Drain-Strukturelement 255A und das epitaxiale Source-/Drain-Strukturelement 255B im Wesentlichen ebene Seitenwandflächen zeigen, wie etwa Seitenwandflächen 264A (zwischen denen die Querabmessung b1 definiert ist) und Seitenwandflächen 264B (zwischen denen die Querabmessung b2 definiert ist). Bei einigen Ausführungsformen sind die Oberseiten 260A und 260B im Wesentlichen parallel zu der Oberseite des Trennelements 230, während die Seitenwandflächen 264A und 264B im Wesentlichen senkrecht zu der Oberseite des Trennelements 230 sind.The etching process is adjusted so that the profile of the epitaxial source / drain structure element 255A and the epitaxial source / drain structure element 255B can be controlled. For example, with the etching process, upper portions of the epitaxial source / drain structure element become 255A and the epitaxial source / drain structure element 255B planarized so that the epitaxial source / drain structure element 255A and the epitaxial source / drain structure element 255B show substantially flat tops, such as a top 260A and a top 260B , In some embodiments, the top 260A and the top 260B various peaks and valleys leading to a surface roughness, wherein a surface roughness variation of ≤ ± 5% provides substantially flat landing lands (for example, for bonding a contact of a bonding structure to the epitaxial source / drain features 255A and the epitaxial source / drain structure element 255B ). In the illustrated embodiment, by the etching process roughness depth variations of a fused part 262A the epitaxial source / drain structure element 255A and a merged part 262B the epitaxial source / drain structure element 255B minimized. The merged part 262A and the merged part 262B are portions of the epitaxial source / drain structure element 255A and the epitaxial source / drain structure element, respectively 255B in which the semiconductor material consists of adjacent source / drain recesses 250A and adjacent source / drain recesses 250B merges. The merged part 262A has a corresponding height h 1m , and the merged part 262B has a corresponding height h 2m . The height h 1m is above the fused part 262A is substantially constant, and the height h 2m is above the fused part 262B essentially constant. In some embodiments, the height h 1m is considered substantially constant as the heights are at a number of positions over a width of the fused portion 262A (in other words, along the X direction) differ by ± 5% from each other, and the height h 2m is considered substantially constant as the heights at a number of positions over a width of the fused portion 262B differentiate by ± 5%. By Minimize the roughness variations of the fused part 262A and the fused part 262B show the epitaxial source / drain structure element 255A and the epitaxial source / drain structure element 255B Profiles, the better landing lands (for example, for connecting a contact of a connection structure to the epitaxial source / drain structure element 255A and the epitaxial source / drain structure element 255B ) as the epitaxial source / drain features fabricated using conventional CDE processes. Sidewall portions of the epitaxial source / drain structure element can also be used with the etching process 255A and the epitaxial source / drain structure element 255B, so that the epitaxial source / drain structure element 255A and the epitaxial source / drain structure element 255B show substantially flat side wall surfaces, such as side wall surfaces 264A (between which the transverse dimension b 1 is defined) and side wall surfaces 264B (between which the transverse dimension b2 is defined). In some embodiments, the tops are 260A and 260B substantially parallel to the top of the separator 230 while the side panels 264A and 264B substantially perpendicular to the top of the separator 230 are.

Für einen Finnenabstand von weniger als etwa 25 nm erleichtert das Verfahren zur Herstellung von selbstjustierten Sources und Drains, das vorstehend unter Bezugnahme auf die 3D bis 3F beschrieben worden ist (insbesondere die nur einmalige Durchführung eines Abscheidungs- und Ätzprozesses), die exakte Steuerung des seitlichen Aufwachsens des epitaxialen Source-/Drain-Strukturelements 255A und des epitaxialen Source-/Drain-Strukturelements 255B, wodurch Schwankungen der Querabmessung b1 und der Querabmessung b2 minimiert werden können. Bei einigen Ausführungsformen, bei denen das Ziel für die Querabmessung b1 und die Querabmessung b2 etwa 45 nm bis etwa 55 nm ist, kann durch Anpassen des Abscheidungsprozesses und des Ätzprozesses, wie vorstehend dargelegt worden ist, die Querabmessung in dem Bereich von etwa ±7 nm [zum Beispiel innerhalb von 6 Sigma (Standardabweichung)] gehalten werden, was viel enger als das ist, was mit herkömmlichen CDE-Prozessen erreicht werden kann. Bei einigen Ausführungsformen kann durch Anpassen des Abscheidungsprozesses und des Ätzprozesses, wie vorstehend dargelegt worden ist, die Standardabweichung der Breitenschwankung (wie etwa der 6-Sigma-Wert) um 30 % reduziert werden. Diese Reduzierung ist signifikant, da moderne Technologieknoten immer kleiner werden, was minimalen Raum für zu starkes seitliches Aufwachsen lässt, das die Bauelementleistung verschlechtern kann. Verschiedene Ausführungsformen, die hier beschrieben sind, bieten verschiedene Vorzüge, und kein spezieller Vorzug ist unbedingt für alle Ausführungsformen erforderlich.For fin spacing less than about 25 nm, the method of making self-aligned sources and drains described above with reference to FIGS 3D to 3F in particular the one-time implementation of a deposition and etching process), the exact control of the lateral growth of the epitaxial source / drain structure element 255A and the epitaxial source / drain structure element 255B , whereby fluctuations of the transverse dimension b 1 and the transverse dimension b2 can be minimized. In some embodiments, where the target for the transverse dimension b 1 and the transverse dimension b2 is about 45 nm to about 55 nm, by adjusting the deposition process and the etching process, as set forth above, the transverse dimension may be in the range of about ± 7 nm [for example, within 6 sigma (standard deviation)], which is much narrower than what can be achieved with conventional CDE processes. In some embodiments, by adjusting the deposition process and the etching process, as set forth above, the standard deviation of the width variation (such as the 6 sigma value) can be reduced by 30%. This reduction is significant as modern technology nodes become smaller and smaller, leaving minimal room for excessive lateral growth that can degrade component performance. Various embodiments described herein have several advantages, and no particular preference is necessarily required for all embodiments.

In 3F wird ein Abscheidungsprozess durchgeführt, um eine epitaxiale Verkappungsschicht 265A über dem epitaxialen Source-/Drain-Strukturelement 255A und eine epitaxiale Verkappungsschicht 265B über dem epitaxialen Source-/Drain-Strukturelement 255B herzustellen. Die epitaxiale Verkappungsschicht 265A und die epitaxiale Verkappungsschicht 265B können die Bauelementleistung des integrierten Schaltkreiselements 200 verbessern. Es wird ein SEG-Prozess (SEG: (selective epitaxial growth; selektives epitaxiales Aufwachsen) durchgeführt, um ein Halbleitermaterial auf dem epitaxialen Source-/Drain-Strukturelement 255A und dem epitaxialen Source-/Drain-Strukturelement 255B aufwachsen zu lassen. Das Halbleitermaterial wird über dem epitaxialen Source-/Drain-Strukturelement 255A und dem epitaxialen Source-/Drain-Strukturelement 255B konform aufwachsen gelassen, sodass die epitaxiale Verkappungsschicht 265A und die epitaxiale Verkappungsschicht 265B im Wesentlichen gleichbleibende Dicken, wie etwa 1 nm bis etwa 6 nm, haben. Für den SEG-Prozess können CVD-Abscheidungsverfahren (zum Beispiel VPE, UHV-CVD, LPCVD und/oder PECVD), Molekularstrahlepitaxie, andere geeignete SEG-Prozesse oder eine Kombination davon verwendet werden. Für den SEG-Prozess werden gasförmige Vorläufer (zum Beispiel Si-haltige Gase, wie etwa SiH4, und/oder Ge-haltige Gase, wie etwa GeH4) und/oder flüssige Vorläufer verwendet, die mit der Zusammensetzung des epitaxialen Source-/Drain-Strukturelements 255A und des epitaxialen Source-/Drain-Strukturelements 255B interagieren. Bei der dargestellten Ausführungsform wird für den Abscheidungsprozess ein siliziumhaltiger Vorläufer, wie etwa SiH4, Si2H6, Si3H8, SiH2Cl2, ein anderer siliziumhaltiger Vorläufer oder eine Kombination davon verwendet. Bei einigen Ausführungsformen wird der Abscheidungsprozess bei einer Temperatur von etwa 700 °C bis etwa 800 °C (z. B. bei etwa 750 °C) durchgeführt. Bei einigen Ausführungsformen wird das integrierte Schaltkreiselement 200 für etwa 100 s bis etwa 200 s (zum Beispiel etwa 150 s) einem Abscheidungsprozess unterzogen (es wird zum Beispiel mit dem siliziumhaltigen Vorläufer behandelt). In den SEG-Prozess können Dotanden eingebracht werden, sodass die epitaxiale Verkappungsschicht 265A und die epitaxiale Verkappungsschicht 265B während des SEG-Prozesses in situ dotiert werden. Das epitaxiale Source-/Drain-Strukturelement 255A und das epitaxiale Source-/Drain-Strukturelement 255B werden während der Abscheidung zum Beispiel durch Zugabe von Dotanden zu einem Ausgangsmaterial des SEG-Prozesses dotiert. Bei diesen Ausführungsformen wird für den Abscheidungsprozess ein n-Dotanden-Vorläufer verwendet, wie etwa ein phosphorhaltiges Gas (das zum Beispiel PH3 aufweist), ein arsenhaltiges Gas (das zum Beispiel AsH3 aufweist), ein anderes n-Dotanden-haltiges Gas oder eine Kombination davon. Bei einigen Ausführungsformen wird für den Abscheidungsprozess weiterhin ein kohlenstoffhaltiger Vorläufer (der zum Beispiel MMS aufweist) verwendet. Anschließend werden die strukturierte Resistschicht 245 und die Maskenschicht 240 mit geeigneten Verfahren entfernt.In 3F a deposition process is performed to form an epitaxial capping layer 265A over the epitaxial source / drain structure element 255A and an epitaxial capping layer 265B over the epitaxial source / drain structure element 255B manufacture. The epitaxial capping layer 265A and the epitaxial capping layer 265B may be the device performance of the integrated circuit device 200 improve. A selective epitaxial growth (SEG) process is performed to form a semiconductor material on the epitaxial source / drain structure element 255A and the epitaxial source / drain structure element 255B to grow up. The semiconductor material is over the epitaxial source / drain structure element 255A and the epitaxial source / drain structure element 255B grown conformal, so that the epitaxial capping layer 265A and the epitaxial capping layer 265B have substantially uniform thicknesses, such as about 1 nm to about 6 nm. For the SEG process, CVD deposition methods (for example, VPE, UHV-CVD, LPCVD, and / or PECVD), molecular beam epitaxy, other suitable SEG processes, or a combination thereof can be used. For the SEG process gaseous precursors (for example Si-containing gases, such as SiH 4 and / or Ge-containing gases, such as GeH 4 ) and / or liquid precursors having the composition of the epitaxial source / Drain structure element 255A and the epitaxial source / drain structure element 255B to interact. In the illustrated embodiment, a silicon-containing precursor such as SiH 4 , Si 2 H 6 , Si 3 H 8 , SiH 2 Cl 2 , another silicon-containing precursor or a combination thereof is used for the deposition process. In some embodiments, the deposition process is performed at a temperature of about 700 ° C to about 800 ° C (eg, about 750 ° C). In some embodiments, the integrated circuit element becomes 200 for about 100 seconds to about 200 seconds (for example, about 150 seconds) undergoes a deposition process (it is treated, for example, with the silicon-containing precursor). In the SEG process dopants can be introduced so that the epitaxial capping layer 265A and the epitaxial capping layer 265B be doped in situ during the SEG process. The epitaxial source / drain structure element 255A and the epitaxial source / drain structure element 255B are doped during the deposition, for example, by addition of dopants to a starting material of the SEG process. In these embodiments, for the deposition process, an n-dopant precursor is used, such as a phosphorus-containing gas (having, for example, PH 3 ), an arsenic-containing gas (which may be used to form the AsH 3 example), another n-dopant-containing gas, or a combination thereof. In some embodiments, a carbonaceous precursor (having, for example, MMS) is further used for the deposition process. Subsequently, the structured resist layer 245 and the mask layer 240 removed with appropriate methods.

In den 3G bis 3J werden epitaxiale Source-Strukturelemente und epitaxiale Drain-Strukturelemente (die hier als epitaxiale Source-/Drain-Strukturelemente bezeichnet werden) in Source-/Drain-Bereichen von p-leitenden Bereichen hergestellt, wie etwa dem dritten Bereich 216 des integrierten Schaltkreiselements 200. In den 3G und 3H geht die Bearbeitung mit dem Aussparen der Source-/Drain-Bereiche der Finnen in den p-leitenden Bauelementbereichen, wie etwa dem dritten Bereich 216, des integrierten Schaltkreiselements 200 weiter. In 3G wird über dem Substrat 210 (bei der dargestellten Ausführungsform über dem Trennelement 230) eine Maskenschicht 270 hergestellt, und über der Maskenschicht 270 wird eine strukturierte Resistschicht 275 hergestellt. Die Maskenschicht 270 weist ein Material auf, das eine andere Ätzrate als das Material der Finnenstruktur 220 hat (insbesondere der Finne 222C). Die Maskenschicht 270 weist zum Beispiel Siliziumnitrid, Siliziumoxid, Siliziumoxidnitrid, Siliziumcarbid, Silizium-Kohlenstoff-Nitrid, amorphes Silizium, Polysilizium, andere geeignete Materialien oder eine Kombination davon auf. Bei der dargestellten Ausführungsform weist die Maskenschicht 270 Siliziumnitrid auf. Bei einigen Ausführungsformen weist die Maskenschicht 270 ein Material auf, das eine niedrigere Ätzrate als das Material der Finnenstruktur 220 hat, sodass die Finne 222C gegenüber der Maskenschicht 270 selektiv geätzt werden kann. Die Maskenschicht 270 wird mit einem geeigneten Verfahren, wie etwa einem CVD-Verfahren, mit einer geeigneten Dicke hergestellt. Bei einigen Ausführungsformen wird eine silizium- und stickstoffhaltige Maskenschicht mittels LPCVD hergestellt. Bei einigen Ausführungsformen wird eine silizium- und stickstoffhaltige Maskenschicht durch Durchführen eines Prozesses hergestellt, der das thermische Nitrieren der siliziumhaltigen Schicht umfasst. Bei einigen Ausführungsformen wird eine Padschicht (zum Beispiel eine Siliziumoxidschicht) zwischen der Maskenschicht 270 und dem Substrat 210 (insbesondere dem Trennelement 230) hergestellt.In the 3G to 3J For example, epitaxial source and epitaxial drain features (referred to herein as epitaxial source / drain features) are fabricated in source / drain regions of p-type regions, such as the third region 216 of the integrated circuit element 200 , In the 3G and 3H For example, processing involves sparing the source / drain regions of the fins in the p-type device regions, such as the third region 216 , the integrated circuit element 200. In 3G is above the substrate 210 (In the illustrated embodiment, over the separating element 230 ) a mask layer 270 made, and over the mask layer 270 becomes a structured resist layer 275 produced. The mask layer 270 has a material that has a different etch rate than the material of the fin structure 220 has (especially the Finn 222C ). The mask layer 270 For example, silicon nitride, silicon oxide, silicon oxynitride, silicon carbide, silicon carbon nitride, amorphous silicon, polysilicon, other suitable materials, or a combination thereof. In the illustrated embodiment, the mask layer 270 Silicon nitride on. In some embodiments, the mask layer 270 a material that has a lower etch rate than the material of the fin structure 220 has, so the Finn 222C opposite the mask layer 270 can be selectively etched. The mask layer 270 is prepared by a suitable method, such as a CVD method, having a suitable thickness. In some embodiments, a silicon and nitrogen-containing mask layer is made by LPCVD. In some embodiments, a silicon and nitrogen-containing mask layer is made by performing a process that includes thermally nitriding the silicon-containing layer. In some embodiments, a pad layer (eg, a silicon oxide layer) is interposed between the mask layer 270 and the substrate 210 (In particular the separating element 230 ) produced.

Die strukturierte Resistschicht 275 weist eine Öffnung auf, die p-leitende Bauelementbereiche, wie etwa den dritten Bereich 216, des integrierten Schaltkreiselements 200 freilegt, während die n-leitenden Bauelementbereiche des integrierten Schaltkreiselements 200, wie etwa der erste Bereich 212 und der zweite Bereich 214, bedeckt bleiben. Die strukturierte Resistschicht 275 wird auch als eine Fotoresist-Schicht, lichtempfindliche Schicht, Abbildungsschicht, Strukturierungsschicht oder strahlungsempfindliche Schicht bezeichnet. Die strukturierte Resistschicht 275 wird über dem Substrat 210 mittels eines lithografischen Strukturierungsprozesses hergestellt, der Resistbeschichtung (zum Beispiel Aufschleudern), Vorhärtung, Maskenjustierung, Belichtung, Härtung nach der Belichtung, Entwicklung, Wässerung, Trocknung (zum Beispiel Nachhärtung), andere geeignete Verfahren oder eine Kombination davon umfasst. Alternativ wird die lithografische Belichtung durch andere Verfahren unterstützt, implementiert oder ersetzt, wie etwa maskenlose Lithografie, Elektronenstrahl-Schreiben oder Ionenstrahl-Schreiben. Bei noch weiteren Ausführungsformen wird die Nanoimprint-Technologie für die lithografische Strukturierung verwendet. Bei einigen Ausführungsformen wird für den lithografischen Prozess ein Ätzprozess verwendet, wie etwa Trockenätzen, Nassätzen, andere Ätzverfahren oder eine Kombination davon. Vor der Herstellung der strukturierten Resistschicht 275 kann eine Wässerung, wie etwa eine Wässerung mit vollentsalztem Wasser (DI-Wasser), auf dem Substrat 210 durchgeführt werden.The structured resist layer 275 has an opening, the p-type device regions, such as the third region 216 , of the integrated circuit element 200, while the n-type device regions of the integrated circuit element 200, such as the first region 212 and the second area 214 stay covered. The structured resist layer 275 is also referred to as a photoresist layer, photosensitive layer, imaging layer, patterning layer or radiation-sensitive layer. The structured resist layer 275 is above the substrate 210 prepared by a lithographic patterning process comprising resist coating (e.g., spin coating), precure, mask alignment, exposure, post-exposure curing, development, rinsing, drying (eg, postcure), other suitable methods, or a combination thereof. Alternatively, the lithographic exposure is assisted, implemented or replaced by other methods such as maskless lithography, electron beam writing or ion beam writing. In yet other embodiments, nanoimprint technology is used for lithographic patterning. In some embodiments, an etching process is used for the lithographic process, such as dry etching, wet etching, other etching processes, or a combination thereof. Before producing the patterned resist layer 275 may be a watering, such as a dehydration with demineralized water (DI water) on the substrate 210 be performed.

In 3H wird ein Ätzprozess durchgeführt, um Teile der Finnenstruktur 220 in den p-leitenden Bauelementbereichen, wie etwa dem dritten Bereich 216, des integrierten Schaltkreiselements 200 zu entfernen. Durch den Ätzprozess werden zum Beispiel Teile der Source-/Drain-Bereiche der Finne 222C entfernt, sodass eine Source-/Drain-Aussparung 280 entsteht. Mit dem Ätzprozess wird eine geeignete Menge der Finne 222C entfernt, um ein gewünschtes Profil für die Source-/Drain-Aussparung 280 zu erzielen. Bei der dargestellten Ausführungsform reicht die Source-/Drain-Aussparung 280 nicht bis unter die Oberseite des Trennelements 230, obwohl die vorliegende Erfindung Ausführungsformen in Betracht zieht, bei denen die Source-/Drain-Aussparung 280 bis unter die Oberseite des Trennelements 230 reicht. Der Ätzprozess ist ein Trockenätzprozess, ein Nassätzprozess oder eine Kombination davon. Um die Finne 222C selektiv zu ätzen, können verschiedene Ätzparameter eingestellt werden, wie etwa die Zusammensetzung des Ätzmittels, Ätztemperatur, Konzentration der Ätzlösung, Ätzdauer, Ätzdruck, Leistung der Spannungsquelle, Hochfrequenz-Vorspannung, Hochfrequenz-Vorspannungsleistung, Ätzmittel-Durchsatz, andere geeignete Ätzparameter oder eine Kombination davon. Bei einigen Ausführungsformen kann die Finne 222C unter Verwendung von Ätzmitteln geätzt werden, die eine hohe Ätzselektivität in Bezug auf das Material der Finne 222C haben. Bei einigen Ausführungsformen wird in einem Nassätzprozess eine Ätzlösung verwendet, die NH4OH, H2O2, H2SO4, TMAH, eine andere geeignete Nassätzlösung oder eine Kombination davon aufweist. Für die Nassätzlösung kann zum Beispiel eine NH4OH:H2O2-Lösung, eine APM-Lösung oder eine SPM-Lösung verwendet werden. Bei einigen Ausführungsformen wird für den Trockenätzprozess ein Ätzgas verwendet, das ein fluorhaltiges Ätzgas (z. B. CF4, SF6, CH2F2, CHF3 und/oder C2F6), ein sauerstoffhaltiges Gas, ein chlorhaltiges Gas (z. B. Cl2, CHCl3, CCl4 und/oder BCl3), ein bromhaltiges Gas (z. B. HBr und/oder CHBr3), ein iodhaltiges Gas, andere geeignete Gase und/oder Plasmen oder eine Kombination davon umfasst.In 3H An etching process is performed to parts of the fin structure 220 in the p-type device regions, such as the third region 216 , of the integrated circuit element 200 to remove. By the etching process, for example, parts of the source / drain regions of the fin 222C removed, leaving a source / drain recess 280 arises. With the etching process, a suitable amount of the fin 222C removed to a desired profile for the source / drain recess 280 to achieve. In the illustrated embodiment, the source / drain recess is sufficient 280 not to below the top of the separator 230 Although the present invention contemplates embodiments in which the source / drain recess 280 until under the top of the separator 230 enough. The etching process is a dry etching process, a wet etching process or a combination thereof. To the Finn 222C selectively etch, various etch parameters can be set, such as etchant composition, etch temperature, etch solution concentration, etch duration, etch pressure, voltage source power, radio frequency bias, RF bias power, etchant flow rate, other suitable etch parameters, or a combination thereof , In some embodiments, the fin may 222C etched using etchants that have a high etch selectivity with respect to the material of the fin 222C to have. In some embodiments, an etch solution comprising NH 4 OH, H 2 O 2 , H 2 SO 4 , TMAH, another suitable wet etch solution, or a combination thereof is used in a wet etch process. For the wet etching solution, for example, an NH 4 OH: H 2 O 2 solution, an APM solution or an SPM solution can be used. In some embodiments, an etch gas comprising a fluorine-containing etching gas (eg, CF 4 , SF 6 , CH 2 F 2 , CHF 3 and / or C 2 F 6 ), an oxygen-containing gas, a chlorine-containing gas (US Pat. eg Cl 2 , CHCl 3 , CCl 4 and / or BCl 3 ), a bromine-containing gas (eg HBr and / or CHBr 3 ), an iodine-containing gas, other suitable gases and / or plasmas or a combination thereof includes.

In 3I wird ein Abscheidungsprozess durchgeführt, um ein epitaxiales Source-/Drain-Strukturelement 290 über den Source-/Drain-Bereichen der Finne 222C herzustellen. Es wird zum Beispiel ein SEG-Prozess durchgeführt, um ein Halbleitermaterial auf freigelegten, ausgesparten Teilen der Finne 222C aufwachsen zu lassen. Das Halbleitermaterial füllt die Source-/Drain-Aussparung 290 in einer Höhe (die in der Z-Richtung definiert ist), die größer als eine Höhe h3 (Anfangshöhe der Finne 222C) ist. Für den SEG-Prozess können CVD-Abscheidungsverfahren (zum Beispiel VPE, UHV-CVD, LPCVD und/oder PECVD), Molekularstrahlepitaxie, andere geeignete SEG-Prozesse oder eine Kombination davon verwendet werden. Für den SEG-Prozess werden gasförmige Vorläufer (zum Beispiel Si-haltige Gase, wie etwa SiH4, und/oder Ge-haltige Gase, wie etwa GeH4) und/oder flüssige Vorläufer verwendet, die mit der Zusammensetzung der Finnenstruktur 220 (hier der Finnen 222A und der Finnen 222B) interagieren. Bei der dargestellten Ausführungsform, in der der dritte Bereich 216 ein p-leitender Bauelementbereich ist, weist des epitaxiale Source-/Drain-Strukturelement 290 ein Siliziumgermanium-haltiges Material auf. Bei diesen Ausführungsformen werden für den Abscheidungsprozess ein siliziumhaltiger Vorläufer (der zum Beispiel SiH4, Si2H6, Si3H8, SiH2Cl2, ein anderer siliziumhaltiger Vorläufer oder eine Kombination davon ist) und ein germaniumhaltiger Vorläufer [der zum Beispiel Monogerman (GeH4), Germaniumtetrachlorid (GeCl4), ein anderer germaniumhaltiger Vorläufer oder eine Kombination davon ist] verwendet. In den SEG-Prozess können Dotanden eingebracht werden, sodass das epitaxiale Source-/Drain-Strukturelement 290 während des SEG-Prozesses in situ dotiert wird. Das epitaxiale Source-/Drain-Strukturelement 290 wird während der Abscheidung zum Beispiel durch Zugabe von Dotanden zu dem Ausgangsmaterial des SEG-Prozesses dotiert. Bei diesen Ausführungsformen umfasst der Abscheidungsprozess eine In-situ-Dotierung des Siliziumgermanium-haltigen Materials, wobei für den Abscheidungsprozess ein p-Dotand-Vorläufer verwendet wird, wie etwa ein borhaltiger Vorläufer [der zum Beispiel Bor (B) und/oder Borfluorid (BF2) aufweist], ein anderes p-Dotand-haltiges Gas oder eine Kombination davon. Bei diesen Ausführungsformen ist das epitaxiale Source-/Drain-Strukturelement 290 ein epitaxiales Si:Ge:B-Source-/Drain-Strukturelement. Bei einigen Ausführungsformen hat das epitaxiale Source-/Drain-Strukturelement 290 eine Bor-Dotierungskonzentration von etwa 1 × 1021 Dotanden/cm3 bis etwa 5 × 1021 Dotanden/cm3. Anschließend werden die strukturierte Resistschicht 275 und die Maskenschicht 270 mit geeigneten Verfahren entfernt, wie in 3I dargestellt ist.In 3I For example, a deposition process is performed to form an epitaxial source / drain structure element 290 over the source / drain regions of the fin 222C manufacture. For example, a SEG process is performed to apply a semiconductor material to exposed, recessed parts of the fin 222C to grow up. The semiconductor material fills the source / drain recess 290 at a height (defined in the Z direction) greater than a height h 3 (initial height of the fin 222C ). For the SEG process, CVD deposition methods (for example, VPE, UHV-CVD, LPCVD, and / or PECVD), molecular beam epitaxy, other suitable SEG processes, or a combination thereof can be used. For the SEG process, gaseous precursors (for example Si-containing gases such as SiH 4 and / or Ge-containing gases such as GeH 4 ) and / or liquid precursors having the composition of the fin structure are used 220 (here the Finns 222A and the Finns 222B ) to interact. In the illustrated embodiment, where the third region 216 is a p-type device region, the epitaxial source / drain structure element 290 comprises a silicon germanium-containing material. In these embodiments, for the deposition process, a silicon-containing precursor (which is, for example, SiH 4 , Si 2 H 6 , Si 3 H 8 , SiH 2 Cl 2 , another silicon-containing precursor, or a combination thereof) and a germanium-containing precursor [e.g. Monogerman (GeH 4 ), germanium tetrachloride (GeCl 4 ), another germanium containing precursor or a combination thereof] is used. In the SEG process dopants can be introduced so that the epitaxial source / drain structure element 290 is doped in situ during the SEG process. The epitaxial source / drain structure element 290 is doped during the deposition, for example, by adding dopants to the starting material of the SEG process. In these embodiments, the deposition process involves in-situ doping of the silicon germanium-containing material using a p-type dopant precursor for the deposition process, such as a boron-containing precursor [such as boron (B) and / or boron fluoride (BF 2 )], another p-dopant-containing gas or a combination thereof. In these embodiments, the epitaxial source / drain feature is 290 an epitaxial Si: Ge: B source / drain structure element. In some embodiments, the epitaxial source / drain feature 290 a boron doping concentration of about 1 × 10 21 dopants / cm 3 to about 5 × 10 21 dopants / cm 3. Subsequently, the structured resist layer 275 and the mask layer 270 removed with appropriate procedures, as in 3I is shown.

Das integrierte Schaltkreiselement 200 kann dann einer weiteren Bearbeitung unterzogen werden, um die Herstellung abzuschließen. Zum Beispiel wird ein Gate-Ersetzungsprozess durchgeführt, um den Dummy-Gate-Stapel der Gate-Strukturen durch einen Metall-Gate-Stapel zu ersetzen. Bei einigen Ausführungsformen wird über dem Substrat 210 eine ILD-Schicht (ILD: inter-level dielectric; Zwischenebenendielektrikum) zum Beispiel mit einem Abscheidungsverfahren hergestellt (wie etwa CVD, PVD, ALD, HDPCVD, MOCVD, RPCVD, PECVD, LPCVD, ALCVD, APCVD, Plattierung, andere geeignete Verfahren oder eine Kombination davon). Die ILD-Schicht weist ein dielektrisches Material auf, wie etwa Siliziumoxid, Siliziumnitrid, Siliziumoxidnitrid, aus TEOS hergestelltes Oxid, Phosphorsilicatglas (PSG), Borphosphorsilicatglas (BPSG), ein dielektrisches Low-k-Material, ein anderes geeignetes dielektrisches Material oder eine Kombination davon. Beispielhafte dielektrische Low-k-Materialien sind Fluorsilicatglas (FSG), mit Kohlenstoff dotiertes Siliziumoxid, Black Diamond® (Applied Materials, Santa Clara, Kalifornien), Xerogel, Aerogel, amorpher Fluorkohlenstoff, Parylen, BCB (bis-Benzocyclobutene), SiLK (Dow Chemicals, Midland, Michigan), Polyimid, andere geeignete Materialien oder eine Kombination davon. Bei einigen Ausführungsformen kann die ILD-Schicht eine Mehrschichtstruktur sein, die mehrere dielektrische Materialien hat.The integrated circuit element 200 can then be subjected to further processing to complete the production. For example, a gate replacement process is performed to replace the dummy gate stack of the gate structures with a metal gate stack. In some embodiments, over the substrate 210 an inter-level dielectric (ILD) layer, for example, prepared by a deposition method (such as CVD, PVD, ALD, HDPCVD, MOCVD, RPCVD, PECVD, LPCVD, ALCVD, APCVD, plating, other suitable methods, or the like) Combination of these). The ILD layer comprises a dielectric material, such as silicon oxide, silicon nitride, silicon oxynitride, TEOS-made oxide, phosphosilicate glass (PSG), borophosphosilicate glass (BPSG), a low-k dielectric material, another suitable dielectric material, or a combination thereof , Exemplary low-k dielectric materials are fluorosilicate glass (FSG), carbon doped silica, Black Diamond® (Applied Materials, Santa Clara, California), xerogel, airgel, amorphous fluorocarbon, parylene, BCB (bis-benzocyclobutenes), SiLK (Dow Chemicals, Midland, Michigan), polyimide, other suitable materials, or a combination thereof. In some embodiments, the ILD layer may be a multilayer structure having multiple dielectric materials.

Nach der Abscheidung der ILD-Schicht kann ein CMP-Prozess durchgeführt werden, sodass ein oberer Teil der Gate-Strukturen, wie etwa ein oberer Teil der Dummy-Gate-Elektrodenschicht(en) erreicht (freigelegt) wird. Dann wird ein Teil der Gate-Strukturen (wie etwa die Dummy-Gate-Elektrodenschicht und bei einigen Ausführungsformen die dielektrische Dummy-Gate-Schicht) entfernt, sodass ein Graben (eine Öffnung) entsteht, der die Finnenstruktur 220, eine Zwischenschicht und/oder die dielektrische Gate-Schicht freilegt. Bei einigen Ausführungsformen wird mit einem Ätzprozess die Dummy-Gate-Elektrodenschicht (und bei einigen Ausführungsformen die dielektrische Dummy-Gate-Schicht) selektiv entfernt. Der Ätzprozess ist ein Trockenätzprozess, ein Nassätzprozess oder eine Kombination davon. Der selektive Ätzprozess kann so angepasst werden, dass die Dummy-Gate-Elektrodenschicht eine adäquate Ätzrate für die Finnenstruktur 220, die Zwischenschicht, die dielektrische Gate-Schicht, die Abstandshalter und/oder die ILD-Schicht hat. Dann wird ein Metall-Gate-Stapel aus den Gate-Strukturen in der Öffnung (dem Graben) hergestellt. Der Metall-Gate-Stapel weist eine dielektrische Gate-Schicht und eine Gate-Elektrodenschicht auf, wie vorstehend (zum Beispiel unter Bezugnahme auf 1) dargelegt worden ist. Bei einigen Ausführungsformen werden in den Gate-Bereichen und/oder den Source-/Drain-Bereichen Silicid-Strukturelemente hergestellt. Die Silicid-Strukturelemente können zum Beispiel auf der epitaxialen Verkappungsschicht 265A, der epitaxialen Verkappungsschicht 265B, dem epitaxialen Source-/Drain-Strukturelement 290 und/oder den Gate-Strukturen hergestellt werden. Die Silicid-Strukturelemente werden mit einem Silicidbildungsprozess, wie etwa einem selbstjustierten Silicidprozess (Salicidprozess), hergestellt.After the deposition of the ILD layer, a CMP process may be performed so that an upper part of the gate structures such as an upper part of the dummy gate electrode layer (s) is reached (exposed). Then, a portion of the gate structures (such as the dummy gate electrode layer and, in some embodiments, the dummy gate dielectric layer) are removed to form a trench (opening) that defines the fin structure 220 , an intermediate layer and / or the gate dielectric layer. In some embodiments, an etching process selectively removes the dummy gate electrode layer (and in some embodiments, the dummy gate dielectric layer). The etching process is a dry etching process, a wet etching process or a combination thereof. The selective etching process may be adjusted so that the dummy gate electrode layer provides an adequate etch rate for the fin structure 220 having the interlayer, the gate dielectric layer, the spacers, and / or the ILD layer. Then, a metal gate stack is made from the gate structures in the opening (the trench). The metal gate Stack comprises a gate dielectric layer and a gate electrode layer as described above (for example, with reference to FIGS 1 ). In some embodiments, silicide features are fabricated in the gate regions and / or the source / drain regions. The silicide structural elements may be on the epitaxial capping layer, for example 265A , the epitaxial capping layer 265B , the epitaxial source / drain structure element 290 and / or the gate structures are made. The silicide structural elements are produced by a silicide formation process, such as a self-aligned silicide process (salicide process).

Es können verschiedene Kontakte hergestellt werden, um die Funktion des integrierten Schaltkreiselements 200 zu ermöglichen. Zum Beispiel kann über dem Substrat 210 eine ILD-Schicht hergestellt werden [bei einigen Ausführungsformen wird über einer ersten ILD-Schicht (die während des Gate-Ersetzungsprozesses hergestellt wird) eine zweite ILD-Schicht hergestellt]. In den ILD-Schichten können dann Kontakte hergestellt werden. Zum Beispiel wird ein Kontakt mit den Gate-Strukturen (insbesondere mit der Gate-Elektrodenschicht) elektrisch verbunden, und andere Kontakte werden mit den Source-/Drain-Bereichen der Finnenstruktur 220 elektrisch verbunden [insbesondere über die epitaxialen Source-/Drain-Strukturelemente 255A (und/oder die epitaxiale Verkappungsschicht 265A) in dem ersten Bereich 212, die epitaxialen Source-/Drain-Strukturelemente 250B (und/oder die epitaxiale Verkappungsschicht 265B) in dem zweiten Bereich 214 und/oder die epitaxialen Strukturelemente 290 in dem dritten Bereich 216]. Die Kontakte weisen ein leitendes Material auf, wie etwa ein Metall. Zu den Metallen gehören Aluminium, Aluminiumlegierungen (wie etwa eine Aluminium-Silizium-Kupfer-Legierung), Kupfer, Kupferlegierungen, Titan, Titannidrid, Tantal, Tantalnitrid, Wolfram, Polysilizium Metallsilicid, andere geeignete Metalle oder eine Kombination davon. Das Metallsilicid kann Nickelsilicid, Cobaltsilicid, Wolframsilicid, Tantalsilicid, Titansilicid, Platinsilicid, Erbiumsilicid, Palladiumsilicid oder eine Kombination davon sein. Bei einigen Ausführungsformen sind die ILD-Schichten und die Kontakte (die zum Beispiel durch die ILD-Schichten verlaufen) ein Teil eines MLI-Strukturelements (MLI: multilayer interconnect; Mehrschichtverbindung), das über dem Substrat 210 angeordnet ist. Das MLI-Strukturelement verbindet verschiedene Komponenten des integrierten Schaltkreiselements 200 elektrisch, sodass die verschiedenen Komponenten so betreibbar sind, dass sie so funktionieren, wie es in den Anforderungen an den Entwurf des integrierten Schaltkreiselements 200 festgelegt ist. Das MLI-Strukturelement kann eine Kombination aus Metallschichten und ILD-Schichten umfassen, die so konfiguriert sind, dass vertikale Verbindungsstrukturelemente entstehen, wie etwa Kontakte und/oder Durchkontaktierungen und/oder horizontale Verbindungsstrukturelemente, wie etwa Leitungen. Die verschiedenen leitenden Strukturelemente weisen Materialien auf, die denen der Kontakte ähnlich sind. Bei einigen Ausführungsformen dienen ein Single-Damascene-Prozess und/oder ein Dual-Damascene-Prozess zum Herstellen einer Mehrschicht-Verbindungsstruktur auf Kupferbasis.Various contacts can be made to the function of the integrated circuit element 200 to enable. For example, over the substrate 210 an ILD layer is made [in some embodiments, a second ILD layer is made over a first ILD layer (made during the gate replacement process]]. Contacts can then be made in the ILD layers. For example, a contact with the gate structures (in particular with the gate electrode layer) is electrically connected, and other contacts are made with the source / drain regions of the fin structure 220 electrically connected [in particular via the epitaxial source / drain structure elements 255A (and / or the epitaxial capping layer 265A ) in the first area 212 , the epitaxial source / drain structure elements 250B (and / or the epitaxial capping layer 265B ) in the second area 214 and / or the epitaxial structural elements 290 in the third area 216 ]. The contacts comprise a conductive material, such as a metal. The metals include aluminum, aluminum alloys (such as an aluminum-silicon-copper alloy), copper, copper alloys, titanium, titanium amide, tantalum, tantalum nitride, tungsten, polysilicon metal silicide, other suitable metals, or a combination thereof. The metal silicide may be nickel silicide, cobalt silicide, tungsten silicide, tantalum silicide, titanium silicide, platinum silicide, erbium silicide, palladium silicide, or a combination thereof. In some embodiments, the ILD layers and the contacts (passing through, for example, the ILD layers) are part of an MLI (multilayer interconnect) structure element that overlies the substrate 210 is arranged. The MLI structure element connects various components of the integrated circuit element 200 electrically, so that the various components are operable to function as required in the design of the integrated circuit element 200 is fixed. The MLI structure element may comprise a combination of metal layers and ILD layers configured to form vertical interconnect features, such as contacts and / or vias, and / or horizontal interconnect features, such as leads. The various conductive features have materials similar to those of the contacts. In some embodiments, a single damascene process and / or a dual damascene process is used to fabricate a copper-based multilayer interconnect structure.

Die vorliegende Erfindung stellt verschiedene Source- und Drain-Herstellungsverfahren für Finnen-Feldeffekttransistoren (FinFETs) bereit. Ein beispielhaftes Verfahren umfasst das Herstellen einer ersten Finne und einer zweiten Finne über einem Substrat. Die erste Finne und die zweite Finne haben einen Finnenabstand, der kleiner als etwa 25 nm ist. Die erste Finne und die zweite Finne weisen jeweils einen Kanalbereich auf, der zwischen einem Source-Bereich und einem Drain-Bereich angeordnet ist. Das Verfahren weist weiterhin das Herstellen einer Gate-Struktur über den Kanalbereichen der ersten und der zweiten Finne auf. Das Verfahren umfasst weiterhin das nur einmalige Durchführen eines Abscheidungsprozesses und eines Ätzprozesses zum Herstellen eines verschmolzenen epitaxialen Source-Strukturelements oder -Merkmals, das sich über die Source-Bereiche der ersten Finne und der zweiten Finne erstreckt, und zum Herstellen eines verschmolzenen epitaxialen Drain-Strukturelements oder -Merkmals, das sich über die Drain-Bereiche der ersten und der zweiten Finne erstreckt. Bei einigen Ausführungsformen werden für den Abscheidungsprozess ein siliziumhaltiger Vorläufer und ein chlorhaltiger Vorläufer verwendet, wobei ein Verhältnis eines Durchsatzes des siliziumhaltigen Vorläufers zu einem Durchsatz des chlorhaltigen Vorläufers kleiner als 5 ist. Bei einigen Ausführungsformen wird für den Ätzprozess der chlorhaltige Vorläufer verwendet. Bei einigen Ausführungsformen wird für den Abscheidungsprozess weiterhin ein phosphorhaltiger Vorläufer verwendet.The present invention provides various source and drain fabrication methods for fin field effect transistors (FinFETs). An exemplary method includes forming a first fin and a second fin over a substrate. The first fin and the second fin have a fin pitch that is less than about 25 nm. The first fin and the second fin each have a channel region arranged between a source region and a drain region. The method further includes establishing a gate structure over the channel regions of the first and second fins. The method further comprises performing a deposition process and an etching process only once to fabricate a fused epitaxial source structural feature or feature extending over the source regions of the first fin and the second fin, and for fabricating a fused epitaxial drain structure element or feature extending over the drain regions of the first and second fins. In some embodiments, a silicon-containing precursor and a chlorine-containing precursor are used for the deposition process, with a ratio of throughput of the silicon-containing precursor to throughput of the chlorine-containing precursor being less than 5. In some embodiments, the chlorine-containing precursor is used for the etching process. In some embodiments, a phosphorus-containing precursor is further used for the deposition process.

Bei einigen Ausführungsformen umfasst das Verfahren weiterhin das Aussparen der ersten und der zweiten Finne vor der Herstellung des verschmolzenen epitaxialen Source-Strukturelements und des verschmolzenen epitaxialen Drain-Strukturelements. Bei einigen Ausführungsformen umfasst das Verfahren weiterhin das Herstellen einer epitaxialen Verkappungsschicht über dem verschmolzenen epitaxialen Source-Strukturelement und dem verschmolzenen epitaxialen Drain-Strukturelement. Bei einigen Ausführungsformen umfasst das Verfahren weiterhin das Durchführen eines Gate-Ersetzungsprozesses nach der Herstellung des verschmolzenen epitaxialen Source-Strukturelements und des verschmolzenen epitaxialen Drain-Strukturelements. Bei einigen Ausführungsformen haben das verschmolzene epitaxiale Source-Strukturelement und das verschmolzene epitaxiale Drain-Strukturelement jeweils einen verschmolzenen Teil, der eine im Wesentlichen gleichbleibende Höhe hat. Bei einigen Ausführungsformen haben das verschmolzene epitaxiale Source-Strukturelement und das verschmolzene epitaxiale Drain-Strukturelement jeweils eine Querabmessung von etwa 45 nm bis etwa 55 nm, wobei der Ätzprozess und der Abscheidungsprozess so angepasst werden, dass die Querabmessung innerhalb von etwa ±7 nm gehalten wird.In some embodiments, the method further includes recessing the first and second fins prior to fabricating the fused source epitaxial structure element and the fused epitaxial drain structure element. In some embodiments, the method further comprises forming an epitaxial capping layer over the fused epitaxial source structure element and the fused epitaxial drain structure element. In some embodiments, the method further comprises performing a gate replacement process after the fabrication of the fused epitaxial source structure element and the fused epitaxial drain structure element. In some embodiments, the fused epitaxial source structure element and the fused epitaxial drain structure element each have a fused portion that has a substantially constant height. In some embodiments, the fused epitaxial source structure element and the fused epitaxial drain device each have a transverse dimension of about 45 nm to about 55 nm, with the etching process and the deposition process adjusted to maintain the transverse dimension within about ± 7 nm ,

Ein weiteres beispielhaftes Verfahren umfasst das Herstellen einer Finnenstruktur, die mindestens zwei Finnen aufweist, die einen Finnenabstand haben, der kleiner als etwa 25 nm ist, und das Herstellen eines epitaxialen Strukturelements oder Merkmals über einem Source-/Drain-Bereich der Finnenstruktur. Das Herstellen des epitaxialen Strukturelements umfasst das Durchführen eines Einzelabscheidungsprozesses zum Herstellen einer Epitaxialschicht über der Finnenstruktur und das Durchführen eines Einzelätzprozesses an der Epitaxialschicht. Für den Einzelabscheidungsprozess wird ein Verhältnis eines Durchsatzes des siliziumhaltigen Vorläufers zu einem Durchsatz eines ätzmittelhaltigen Vorläufers verwendet, das kleiner als 5 ist. Bei einigen Ausführungsformen umfasst das Verfahren weiterhin das Aussparen der mindestens zwei Finnen vor der Herstellung des epitaxialen Strukturelements.Another exemplary method includes forming a fin structure having at least two fins having a fin pitch that is less than about 25 nm and fabricating an epitaxial feature or feature over a source / drain region of the fin structure. Fabrication of the epitaxial structure element includes performing a single deposition process to fabricate an epitaxial layer over the fin structure and performing a single etch process on the epitaxial layer. For the single deposition process, a ratio of throughput of the silicon-containing precursor to a throughput of an etchant-containing precursor less than 5 is used. In some embodiments, the method further includes eliminating the at least two fins prior to fabrication of the epitaxial structure element.

Bei einigen Ausführungsformen umfasst der Einzelabscheidungsprozess das Aufwachsen eines Halbleitermaterials von den mindestens zwei Finnen, wobei das von den mindestens zwei Finnen aufgewachsene Halbleitermaterial zu dem epitaxialen Strukturelement verschmilzt. Bei einigen Ausführungsformen weist der siliziumhaltige Vorläufer Silizium auf, der ätzmittelhaltige Vorläufer weist Chlorwasserstoff auf, und für den Einzelätzprozess wird der ätzmittelhaltige Vorläufer verwendet. Bei einigen Ausführungsformen wird für den Einzelabscheidungsprozess weiterhin ein dotandenhaltiger Vorläufer verwendet, der zum Beispiel Phosphor aufweist.In some embodiments, the single deposition process comprises growing a semiconductor material from the at least two fins, wherein the semiconductor material grown by the at least two fins fuses to the epitaxial structure element. In some embodiments, the silicon-containing precursor comprises silicon, the etchant-containing precursor comprises hydrogen chloride, and for the single-etching process, the etchant-containing precursor is used. In some embodiments, the single deposition process further uses a dopant-containing precursor comprising, for example, phosphorus.

Ein weiteres beispielhaftes Verfahren zum Herstellen von epitaxialen Source-/Drain-Strukturelementen oder -Merkmalen für einen FinFET umfasst das epitaxiale Aufwachsen eines Halbleitermaterials auf eine Vielzahl von Finnen unter Verwendung eines siliziumhaltigen Vorläufers und eines chlorhaltigen Vorläufers. Das Halbleitermaterial verschmilzt zu einem epitaxialen Strukturelement, das sich über die Vielzahl von Finnen erstreckt. Die Vielzahl von Finnen hat einen Finnenabstand, der kleiner als etwa 25 nm ist. Ein Verhältnis eines Durchsatzes des siliziumhaltigen Vorläufers zu einem Durchsatz des chlorhaltigen Vorläufers ist kleiner als 5. Das Verfahren umfasst weiterhin das Rückätzen des Halbleitermaterials unter Verwendung des chlorhaltigen Vorläufers, wodurch ein Profil des epitaxialen Strukturelements modifiziert wird. Bei einigen Ausführungsformen werden das epitaxiale Aufwachsen und des Rückätzen nur einmal durchgeführt.Another exemplary method of fabricating epitaxial source / drain features or features for a FinFET involves epitaxially growing a semiconductor material on a plurality of fins using a silicon-containing precursor and a chlorine-containing precursor. The semiconductor material fuses into an epitaxial structure element that extends across the plurality of fins. The plurality of fins has a fin pitch that is less than about 25 nm. A ratio of throughput of the silicon-containing precursor to throughput of the chlorine-containing precursor is less than 5. The method further comprises re-etching the semiconductor material using the chlorine-containing precursor thereby modifying a profile of the epitaxial structural element. In some embodiments, epitaxial growth and re-etching are performed only once.

Bei einigen Ausführungsformen weist der chlorhaltige Vorläufer Chlorwasserstoff auf. Bei einigen Ausführungsformen wird für das epitaxiale Aufwachsen außerdem ein phosphorhaltiger Vorläufer verwendet. Bei einigen Ausführungsformen umfasst das Verfahren weiterhin das Aussparen der Vielzahl von Finnen vor dem epitaxialen Aufwachsen, sodass das Halbleitermaterial von einer Vielzahl von Source-/Drain-Aussparungen aufwachsen gelassen wird. Bei einigen Ausführungsformen umfasst das Herstellen der epitaxialen Source-/Drain-Strukturelemente weiterhin das Durchführen eines lithografischen Prozesses, um die Vielzahl von Finnen zu belichten, die dem n-FinFET entsprechen. Bei einigen Ausführungsformen umfasst das Verfahren weiterhin das Herstellen von epitaxialen Source-/Drain-Strukturelementen für einen p-FinFET.In some embodiments, the chlorine-containing precursor comprises hydrogen chloride. In some embodiments, a phosphorus-containing precursor is also used for epitaxial growth. In some embodiments, the method further includes eliminating the plurality of fins prior to epitaxial growth such that the semiconductor material is grown by a plurality of source / drain recesses. In some embodiments, fabricating the epitaxial source / drain features further includes performing a lithographic process to expose the plurality of fins corresponding to the n-FinFET. In some embodiments, the method further includes fabricating epitaxial source / drain features for a p-type FinFET.

Vorstehend sind Merkmale verschiedener Ausführungsformen beschrieben worden, sodass Fachleute die Aspekte der vorliegenden Erfindung besser verstehen können. Fachleuten dürfte klar sein, dass sie die vorliegende Erfindung ohne Weiteres als eine Grundlage zum Gestalten oder Modifizieren anderer Verfahren und Strukturen zum Erreichen der gleichen Ziele und/oder zum Erzielen der gleichen Vorzüge wie bei den hier vorgestellten Ausführungsformen verwenden können. Fachleute dürften ebenfalls erkennen, dass solche äquivalenten Auslegungen nicht von dem Grundgedanken und Schutzumfang der vorliegenden Erfindung abweichen und dass sie hier verschiedene Änderungen, Ersetzungen und Abwandlungen vornehmen können, ohne von dem Grundgedanken und Schutzumfang der vorliegenden Erfindung abzuweichen.Features of various embodiments have been described above so that those skilled in the art can better understand the aspects of the present invention. Those skilled in the art will appreciate that they may readily use the present invention as a basis for designing or modifying other methods and structures to achieve the same objects and / or advantages of the same as the embodiments presented herein. Those skilled in the art should also recognize that such equivalent interpretations do not depart from the spirit and scope of the present invention and that they may make various changes, substitutions and alterations here without departing from the spirit and scope of the present invention.

Claims (20)

Verfahren mit den folgenden Schritten: Herstellen einer ersten Finne und einer zweiten Finne über einem Substrat, wobei die erste Finne und die zweite Finne einen Finnenabstand haben, der kleiner als etwa 25 nm ist, und die erste Finne und die zweite Finne jeweils einen Kanalbereich aufweisen, der zwischen einem Source-Bereich und einem Drain-Bereich angeordnet ist; Herstellen einer Gate-Struktur über den Kanalbereichen der ersten und der zweiten Finne; und nur einmaliges Durchführen eines Abscheidungsprozesses und eines Ätzprozesses zum Herstellen eines verschmolzenen epitaxialen Source-Strukturelements, das sich über die Source-Bereiche der ersten und der zweiten Finne erstreckt, und zum Herstellen eines verschmolzenen epitaxialen Drain-Strukturelements, das sich über die Drain-Bereiche der ersten und der zweiten Finne erstreckt.Procedure with the following steps: Fabricating a first fin and a second fin over a substrate, wherein the first fin and the second fin have a fin pitch that is less than about 25 nm, and the first fin and the second fin each have a channel region that is sandwiched between a source fin and fin. Area and a drain region is arranged; Forming a gate structure over the channel regions of the first and second fins; and performing a deposition process and an etch process only once to fabricate a fused epitaxial source structure element extending over the source regions of the first and second fins, and for fabricating a fused epitaxial drain structure element extending across the drain regions of the fins first and second fin extends. Verfahren nach Anspruch 1, wobei für den Abscheidungsprozess ein siliziumhaltiger Vorläufer und ein chlorhaltiger Vorläufer verwendet werden, wobei das Verhältnis eines Durchsatzes des siliziumhaltigen Vorläufers zu einem Durchsatz des chlorhaltigen Vorläufers kleiner als 5 ist, und für den Ätzprozess der chlorhaltige Vorläufer verwendet wird. Method according to Claim 1 wherein a silicon-containing precursor and a chlorine-containing precursor are used for the deposition process, wherein the ratio of throughput of the silicon-containing precursor to throughput of the chlorine-containing precursor is less than 5, and the chlorine-containing precursor is used for the etching process. Verfahren nach Anspruch 2, wobei der chlorhaltige Vorläufer Chlorwasserstoff aufweist.Method according to Claim 2 wherein the chlorine-containing precursor comprises hydrogen chloride. Verfahren nach einem der vorhergehenden Ansprüche, wobei für den Abscheidungsprozess weiterhin ein phosphorhaltiger Vorläufer verwendet wird.The method of any one of the preceding claims, wherein a phosphorus-containing precursor is further used for the deposition process. Verfahren nach einem der vorhergehenden Ansprüche, das weiterhin das Aussparen der ersten und der zweiten Finne vor der Herstellung des verschmolzenen epitaxialen Source-Strukturelements und des verschmolzenen epitaxialen Drain-Strukturelements umfasst.The method of any one of the preceding claims, further comprising recessing the first and second fins prior to fabricating the fused source epitaxial structure element and the fused epitaxial drain structure element. Verfahren nach einem der vorhergehenden Ansprüche, das weiterhin das Herstellen einer epitaxialen Verkappungsschicht über dem verschmolzenen epitaxialen Source-Strukturelement und dem verschmolzenen epitaxialen Drain-Strukturelement umfasst.The method of any one of the preceding claims, further comprising forming an epitaxial capping layer over the fused epitaxial source structural element and the fused epitaxial drain structural element. Verfahren nach einem der vorhergehenden Ansprüche, das weiterhin das Durchführen eines Gate-Ersetzungsprozesses nach der Herstellung des verschmolzenen epitaxialen Source-Strukturelements und des verschmolzenen epitaxialen Drain-Strukturelements umfasst.The method of any one of the preceding claims, further comprising performing a gate replacement process after fabrication of the fused epitaxial source structure element and the fused epitaxial drain structure element. Verfahren nach einem der vorhergehenden Ansprüche, wobei das verschmolzene epitaxiale Source-Strukturelement und das verschmolzene epitaxiale Drain-Strukturelement jeweils einen verschmolzenen Teil haben, der eine im Wesentlichen gleichbleibende Höhe hat.The method of any one of the preceding claims, wherein the fused epitaxial source structure element and the fused epitaxial drain structure element each have a fused portion having a substantially constant height. Verfahren nach einem der vorhergehenden Ansprüche, wobei das verschmolzene epitaxiale Source-Strukturelement und das verschmolzene epitaxiale Drain-Strukturelement jeweils eine Querabmessung von etwa 45 nm bis etwa 55 nm haben, wobei der Abscheidungsprozess und der Ätzprozess so angepasst werden, dass die Querabmessung innerhalb von etwa ±7 nm gehalten wird.The method of claim 1, wherein the fused epitaxial source structure element and the fused epitaxial drain structure each have a transverse dimension of from about 45 nm to about 55 nm, wherein the deposition process and the etching process are adjusted so that the transverse dimension within about ± 7 nm is maintained. Verfahren mit den folgenden Schritten: Herstellen einer Finnenstruktur, die mindestens zwei Finnen aufweist, die einen Finnenabstand haben, der kleiner als etwa 25 nm ist; und Herstellen eines epitaxialen Strukturelements über einem Source-/Drain-Bereich der Finnenstruktur, wobei das Herstellen des epitaxialen Strukturelements Folgendes umfasst: Durchführen eines einzelnen Abscheidungsprozesses zum Herstellen einer Epitaxialschicht über der Finnenstruktur, wobei der einzelne Abscheidungsprozess ein Verhältnis eines Durchsatzes eines siliziumhaltigen Vorläufers zu einem Durchsatz eines ätzmittelhaltigen Vorläufers verwendet, das kleiner als 5 ist, und Durchführen eines einzelnen Ätzprozesses an der Epitaxialschicht.Procedure with the following steps: Fabricating a fin structure having at least two fins having a fin pitch that is less than about 25 nm; and Producing an epitaxial structure element over a source / drain region of the fin structure, wherein the production of the epitaxial structure element comprises: Performing a single deposition process to fabricate an epitaxial layer over the fin structure, wherein the single deposition process uses a ratio of silicon precursor throughput to an etchant containing precursor flow that is less than 5, and Performing a single etch process on the epitaxial layer. Verfahren nach Anspruch 10, wobei der einzelne Abscheidungsprozess das Aufwachsen eines Halbleitermaterials von den mindestens zwei Finnen umfasst, wobei das von den mindestens zwei Finnen aufgewachsene Halbleitermaterial zu dem epitaxialen Strukturelement verschmilzt.Method according to Claim 10 wherein the single deposition process comprises growing a semiconductor material from the at least two fins, wherein the semiconductor material grown by the at least two fins fuses to the epitaxial structure element. Verfahren nach Anspruch 10 oder 11, das weiterhin das Aussparen der mindestens zwei Finnen vor der Herstellung des epitaxialen Strukturelements umfasst.Method according to Claim 10 or 11 further comprising recessing the at least two fins prior to fabrication of the epitaxial structural member. Verfahren nach einem der Ansprüche 10 bis 12, wobei der siliziumhaltige Vorläufer Silizium aufweist, der ätzmittelhaltige Vorläufer Chlorwasserstoff aufweist und für den einzelnen Ätzprozess der ätzmittelhaltige Vorläufer verwendet wird.Method according to one of Claims 10 to 12 wherein the silicon-containing precursor comprises silicon, the etchant-containing precursor comprises hydrogen chloride, and the etchant-containing precursor is used for the individual etching process. Verfahren nach einem der Ansprüche 10 bis 13, wobei für den einzelnen Abscheidungsprozess weiterhin ein Dotanden-haltiger Vorläufer verwendet wird.Method according to one of Claims 10 to 13 wherein a dopant-containing precursor is further used for the single deposition process. Verfahren mit den folgenden Schritten: Herstellen von epitaxialen Source-/Drain-Strukturelementen für einen n-FinFET (FinFET: Finnen-Feldeffekttransistor), wobei die Herstellung der epitaxialen Source-/Drain-Strukturelemente Folgendes umfasst: epitaxiales Aufwachsen eines Halbleitermaterials auf eine Vielzahl von Finnen unter Verwendung eines siliziumhaltigen Vorläufers, eines phosphorhaltigen Vorläufers und eines chlorhaltigen Vorläufers, wobei die Vielzahl von Finnen einen Finnenabstand hat, der kleiner als etwa 25 nm ist, ein Verhältnis eines Durchsatzes des siliziumhaltigen Vorläufers zu einem Durchsatz des chlorhaltigen Vorläufers kleiner als 5 ist und das Halbleitermaterial zu einem epitaxialen Strukturelement verschmilzt, das sich über die Vielzahl von Finnen erstreckt; und Rückätzen des Halbleitermaterials unter Verwendung des chlorhaltigen Vorläufers, wodurch ein Profil des epitaxialen Strukturelements modifiziert wird.Procedure with the following steps: Producing epitaxial source / drain structure elements for an n-FinFET (FinFET: Fin Field Effect Transistor), wherein the production of the epitaxial source / drain structure elements comprises: epitaxially growing a semiconductor material on a plurality of fins using a silicon-containing precursor, a phosphorus-containing precursor and a chlorine-containing precursor, wherein the plurality of fins has a fin pitch that is less than about 25 nm, a ratio of throughput of the silicon-containing precursor to a flow rate of the chlorine-containing precursor is less than 5 and fusing the semiconductor material into an epitaxial structure element extending across the plurality of fins; and Re-etching the semiconductor material using the chlorine-containing precursor thereby modifying a profile of the epitaxial structural element. Verfahren nach Anspruch 15, wobei der chlorhaltige Vorläufer Chlorwasserstoff aufweist.Method according to Claim 15 wherein the chlorine-containing precursor comprises hydrogen chloride. Verfahren nach Anspruch 15 oder 16, das weiterhin das nur einmalige Durchführen des epitaxialen Aufwachsens und des Rückätzens aufweist. Method according to Claim 15 or 16 which further comprises performing epitaxial growth and etch back only once. Verfahren nach einem der Ansprüche 15 bis 17, das weiterhin das Aussparen der Vielzahl von Finnen vor dem epitaxialen Aufwachsen aufweist, sodass das Halbleitermaterial von einer Vielzahl von Source-/Drain-Aussparungen aufwachsen gelassen wird.Method according to one of Claims 15 to 17 further comprising recessing the plurality of fins prior to epitaxial growth such that the semiconductor material is grown by a plurality of source / drain recesses. Verfahren nach einem der Ansprüche 15 bis 18, wobei das Herstellen der epitaxialen Source-/Drain-Strukturelemente weiterhin das Durchführen eines lithografischen Prozesses umfasst, um die Vielzahl von Finnen zu belichten, die dem n-FinFET entsprechen.Method according to one of Claims 15 to 18 wherein forming the epitaxial source / drain features further comprises performing a lithographic process to expose the plurality of fins corresponding to the n-FinFET. Verfahren nach einem der Ansprüche 15 bis 19, das weiterhin das Herstellen von epitaxialen Source-/Drain-Strukturelementen für einen p-FinFET umfasst.Method according to one of Claims 15 to 19 which further comprises fabricating epitaxial source / drain features for a p-type FinFET.
DE102017111540.4A 2016-12-15 2017-05-26 PROCESS FOR SOURCE AND DRAIN MANUFACTURING FOR FINNEL FIELD EFFECT TRANSISTORS Pending DE102017111540A1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662434608P 2016-12-15 2016-12-15
US62/434,608 2016-12-15
US15/596,963 US10510762B2 (en) 2016-12-15 2017-05-16 Source and drain formation technique for fin-like field effect transistor
US15/596,963 2017-05-16

Publications (1)

Publication Number Publication Date
DE102017111540A1 true DE102017111540A1 (en) 2018-06-21

Family

ID=62251310

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102017111540.4A Pending DE102017111540A1 (en) 2016-12-15 2017-05-26 PROCESS FOR SOURCE AND DRAIN MANUFACTURING FOR FINNEL FIELD EFFECT TRANSISTORS

Country Status (1)

Country Link
DE (1) DE102017111540A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114072544A (en) * 2019-07-26 2022-02-18 应用材料公司 Anisotropic epitaxial growth

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114072544A (en) * 2019-07-26 2022-02-18 应用材料公司 Anisotropic epitaxial growth

Similar Documents

Publication Publication Date Title
KR102005627B1 (en) Source and drain formation technique for fin-like field effect transistor
DE102018113843B4 (en) Fin and gate dimensions to optimize gate formation
DE102019116996A1 (en) SEMICONDUCTOR STRUCTURE WITH AIR GAP AND METHOD FOR SEALING THE AIR GAP
DE102017114427A1 (en) Process for the production of separating elements for semiconductor structures
DE102015108690A1 (en) SEMICONDUCTOR DEVICE CONTAINING FRAMEWORK AND MANUFACTURING METHOD
DE102012204516A1 (en) FinFET device and manufacturing method for the same
DE102017117793B4 (en) Process for the manufacture of multi-gate transistors and resulting structures
DE102017127554B3 (en) Semiconductor fabrication process with prevention of gate height loss in planarization processes
DE102019116036B4 (en) SEMICONDUCTOR DEVICE AND METHOD
DE102019109857A1 (en) SEMICONDUCTOR COMPONENT AND MANUFACTURING METHOD
DE102020104621A1 (en) SEMICONDUCTOR DEVICE WITH A CUT METAL GATE AND A MANUFACTURING PROCESS
DE102017123948B4 (en) ENCLOSED EPITAXIAL STRUCTURE AND PROCESS
DE102020110754A1 (en) SEMICONDUCTOR DEVICE AND METHOD
DE102019117011A1 (en) SEMICONDUCTOR COMPONENT AND METHOD
DE102021107624A1 (en) GATE ISOLATION FOR MULTI-GATE DEVICE
DE102018103163B4 (en) Method for producing an integrated circuit
DE102017127154B4 (en) FIN STRUCTURE FOR SEMICONDUCTOR DEVICES
DE102018108007A1 (en) SPACER STRUCTURE WITH HIGH PLASMA RESISTANCE FOR SEMICONDUCTOR DEVICES
DE102019111297B4 (en) Semiconductor device and method
DE102020112695A1 (en) OPTIMIZED SEWING PROFILE FOR TENSIONED SOURCE / DRAIN FEATURES AND METHOD OF MANUFACTURING IT
DE102020114314A1 (en) SEMICONDUCTOR DEVICE AND METHOD
DE102017127228B3 (en) Semiconductor device with a contact plug and method of manufacture
DE102022132143A1 (en) METHOD OF MANUFACTURING SEMICONDUCTOR DEVICES AND SEMICONDUCTOR DEVICES
DE102017126850A1 (en) Doping method with compliant insertion for a fin field effect transistor
DE102016113819B4 (en) Method of manufacturing a semiconductor device

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication