DE102016205187A1 - Integrated circuit cooling using embedded Peltier microvias in a substrate - Google Patents

Integrated circuit cooling using embedded Peltier microvias in a substrate Download PDF

Info

Publication number
DE102016205187A1
DE102016205187A1 DE102016205187.3A DE102016205187A DE102016205187A1 DE 102016205187 A1 DE102016205187 A1 DE 102016205187A1 DE 102016205187 A DE102016205187 A DE 102016205187A DE 102016205187 A1 DE102016205187 A1 DE 102016205187A1
Authority
DE
Germany
Prior art keywords
substrate
thermocouples
trenches
series circuit
circuit layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102016205187.3A
Other languages
German (de)
Other versions
DE102016205187B4 (en
Inventor
Jeffrey Gambino
Richard S. Graf
Sudeep Mandal
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/672,272 external-priority patent/US9559283B2/en
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of DE102016205187A1 publication Critical patent/DE102016205187A1/en
Application granted granted Critical
Publication of DE102016205187B4 publication Critical patent/DE102016205187B4/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N10/00Thermoelectric devices comprising a junction of dissimilar materials, i.e. devices exhibiting Seebeck or Peltier effects
    • H10N10/01Manufacture or treatment
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N10/00Thermoelectric devices comprising a junction of dissimilar materials, i.e. devices exhibiting Seebeck or Peltier effects
    • H10N10/10Thermoelectric devices comprising a junction of dissimilar materials, i.e. devices exhibiting Seebeck or Peltier effects operating with only the Peltier or Seebeck effects
    • H10N10/17Thermoelectric devices comprising a junction of dissimilar materials, i.e. devices exhibiting Seebeck or Peltier effects operating with only the Peltier or Seebeck effects characterised by the structure or configuration of the cell or thermocouple forming the device
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73253Bump and layer connectors

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Cooling Or The Like Of Semiconductors Or Solid State Devices (AREA)

Abstract

Eine Packung von Halbleitereinheiten und ein Verfahren zum Fertigen derselben beinhalten ein Halbleitersubstrat, das eine Mehrzahl von eingebetteten Thermoelementen beinhaltet. Die eingebetteten Thermoelemente können sich in Gräben befinden und sich von der Handhabungsseite des Substrats teilweise in das Substrat erstrecken. Ein n-Stab und ein p-Stab sind mithilfe einer leitfähigen Kontaktplatte so elektrisch verbunden, dass sie jeweils die teilweise eingebetteten Thermoelemente ausbilden. Eine Reihenschaltungsschicht verbindet die Mehrzahl von Thermoelementen auf der Handhabungsseite elektrisch. Eine Stromquelle versorgt die Reihenschaltungsschicht mit elektrischem Strom und ermöglicht, dass Strom durch die Mehrzahl der in Reihe geschalteten Thermoelemente fließt. Ein Kühlkörper ist zum Abführen von Wärme von der Einheitenseite zu dem Kühlkörper mithilfe der Thermoelemente angrenzend an die verbundenen Thermoelemente positioniert.A package of semiconductor devices and a method of manufacturing the same include a semiconductor substrate including a plurality of embedded thermocouples. The embedded thermocouples may be located in trenches and extend partially into the substrate from the handling side of the substrate. An n-bar and a p-bar are electrically connected by means of a conductive contact plate so that they each form the partially embedded thermocouples. A series circuit layer electrically connects the plurality of thermocouples on the handling side. A power source supplies electrical power to the series circuit layer and allows current to flow through the plurality of series-connected thermocouples. A heat sink is positioned adjacent the connected thermocouples for dissipating heat from the device side to the heat sink by means of the thermocouples.

Description

HINTERGRUNDBACKGROUND

Die vorliegende Offenbarung bezieht sich auf eine Halbleiterstruktur und auf ein Verfahren zur Halbleiterfertigung. Integrierte Schaltungen (integrated circuits, IC(s)) können Wärme in einer Halbleiterpackung erzeugen. Wärme innerhalb der Halbleiterpackung kann unerwünschte Folgen in der Halbleiterpackung haben, zum Beispiel schädliche Auswirkungen auf die Funktionsfähigkeit von Einheiten innerhalb der IC und einen Ausfall von Komponenten. Zu Kühlungstechniken für die IC können ein Kühlkörper oder sonstige Kühlmechanismen zählen, die Strom von der IC und der Halbleiterpackung erfordern. Dreidimensionale integrierte Schaltungen sind besonders anfällig für eine unerwünschte Wärmeentwicklung innerhalb der Einheit oder der Packung.The present disclosure relates to a semiconductor structure and a method for semiconductor fabrication. Integrated circuits (ICs) can generate heat in a semiconductor package. Heat within the semiconductor package may have undesirable consequences in the semiconductor package, for example detrimental effects on the health of devices within the IC and failure of components. Cooling techniques for the IC may include a heat sink or other cooling mechanisms that require power from the IC and the semiconductor package. Three-dimensional integrated circuits are particularly prone to unwanted heat buildup within the unit or package.

KURZDARSTELLUNGSUMMARY

Gemäß einer Ausführungsform der Erfindung beinhaltet eine Packung von Halbleitereinheiten eine Mehrzahl von Thermoelementen, die in ein Halbleitersubstrat eingebettet sind. Die Thermoelemente beinhalten jeweils Thermostäbe, die einander gegenüber in jeweils einem einer Mehrzahl von Gräben in dem Substrat positioniert sind. Jeder der Gräben definiert eine Öffnung auf einer Handhabungsseite des Substrats, die einer Einheitenseite des Substrats gegenüberliegt. Die Stäbe erstrecken sich teilweise von der Handhabungsseite des Substrats in das Substrat, und bei den gegenüberliegenden Stäben handelt es sich um n- bzw. um p-Materialien. Eine wärmeleitfähige Isolationsschicht befindet sich entlang eines Umfangs der Gräben und entlang äußerer Seiten der Stäbe. Eine leitfähige Platte verbindet die n- und p-Stäbe elektrisch. Eine Reihenschaltungsschicht verbindet die Mehrzahl von Thermoelementen auf der Handhabungsseite des Substrats elektrisch so, dass sie über die Reihenschaltungsschicht mit einer Spannung versorgt werden. Ein Kühlkörper ist zum Abführen von Wärme von der Einheitenseite des Substrats zu dem Kühlkörper mithilfe der Thermoelemente angrenzend an die Thermoelemente positioniert.According to one embodiment of the invention, a package of semiconductor devices includes a plurality of thermocouples embedded in a semiconductor substrate. The thermocouples each include thermo bars positioned opposite each other in each one of a plurality of trenches in the substrate. Each of the trenches defines an opening on a handling side of the substrate opposite a unit side of the substrate. The rods extend partially from the handling side of the substrate into the substrate, and the opposing rods are n- or p-type materials, respectively. A thermally conductive insulating layer is located along a perimeter of the trenches and along outer sides of the bars. A conductive plate electrically connects the n and p rods. A series circuit layer electrically connects the plurality of thermocouples on the handling side of the substrate so as to be supplied with voltage through the series circuit layer. A heat sink is positioned adjacent the thermocouples for dissipating heat from the device side of the substrate to the heat sink by means of the thermocouples.

Gemäß einer weiteren Ausführungsform der Erfindung beinhaltet ein Verfahren zum Fertigen eines Halbleitersubstrats ein Ausbilden von Gräben, die sich teilweise von einer Handhabungsseite eines Substrats, die einer Einheitenseite des Substrats gegenüberliegt, in das Substrat erstrecken. Die Gräben definieren eine Öffnung auf der Handhabungsseite des Substrats für jeden der Gräben. Eine wärmeleitfähige Isolationsschicht wird entlang eines Umfangs der Gräben abgeschieden und wird entlang äußerer Seiten der Stäbe positioniert. N-Stäbe und p-Stäbe werden einander gegenüberliegend und auf gegenüberliegenden Seiten jedes der Gräben so ausgebildet, dass sie Thermoelemente ausbilden. Eine leitfähige Platte wird zum elektrischen Verbinden der n- und p-Stäbe ausgebildet. Eine Reihenschaltungsschicht wird ausgebildet, die die Mehrzahl von Thermoelementen elektrisch so verbindet, dass sie über die Reihenschaltungsschicht mit einer Spannung versorgt werden. Ein Kühlkörper wird zum Abführen von Wärme von der Einheitenseite des Substrats zu dem Kühlkörper mithilfe der Thermoelemente angrenzend an die Thermoelemente positioniert.According to another embodiment of the invention, a method of fabricating a semiconductor substrate includes forming trenches that extend partially into the substrate from a handling side of a substrate facing a unit side of the substrate. The trenches define an opening on the handling side of the substrate for each of the trenches. A thermally conductive insulating layer is deposited along a perimeter of the trenches and positioned along outer sides of the bars. N-bars and P-bars are formed opposite to each other and on opposite sides of each of the trenches so as to form thermocouples. A conductive plate is formed for electrically connecting the n and p rods. A series circuit layer is formed, which electrically connects the plurality of thermocouples so as to be supplied with voltage through the series circuit layer. A heat sink is positioned adjacent to the thermocouples to dissipate heat from the device side of the substrate to the heat sink by means of the thermocouples.

KURZBESCHREIBUNG DER VERSCHIEDENEN ANSICHTEN DER ZEICHNUNGENBRIEF DESCRIPTION OF THE SEVERAL VIEWS OF THE DRAWINGS

Diese und sonstige Ziele, Merkmale und Vorteile der vorliegenden Erfindung werden aus der folgenden ausführlichen Beschreibung veranschaulichender Ausführungsformen davon ersichtlich, die in Zusammenhang mit den beigefügten Zeichnungen zu lesen ist. Die verschiedenen Merkmale der Zeichnungen sind nicht maßstabsgetreu, da die Veranschaulichungen der Verdeutlichung dienen und dem Fachmann zusammen mit der ausführlichen Beschreibung das Verständnis der Erfindung erleichtern sollen. In den Zeichnungen:These and other objects, features and advantages of the present invention will become more apparent from the following detailed description of illustrative embodiments thereof, taken in conjunction with the accompanying drawings. The various features of the drawings are not to scale as the illustrations are illustrative and are intended to assist one of ordinary skill in the art, as well as the detailed description, in understanding the invention. In the drawings:

ist 1 eine Querschnitt-Seitenansicht eines Substrats, das eine Einheitenebene/-schicht aufweist, wobei das Substrat eine Reihe von Thermoelementen beinhaltet und das Substrat mit einem Kühlkörper in Verbindung steht, gemäß einer Ausführungsform der Offenbarung;is 1 10 is a cross-sectional side view of a substrate having a device level / layer, wherein the substrate includes a series of thermocouples and the substrate communicates with a heat sink, according to an embodiment of the disclosure;

ist 2 eine Querschnitt-Seitenansicht eines Substrats, das eine Einheitenebenenschicht, einen Graben und Siliciumdurchkontaktierungen aufweist, gemäß einer Ausführungsform der Offenbarung;is 2 12 is a cross-sectional side view of a substrate having a device level layer, a trench, and silicon vias, according to an embodiment of the disclosure;

ist 3 eine Querschnitt-Seitenansicht eines Substrats, das eine Einheitenebene/-schicht und Siliciumdurchkontaktierungen aufweist, gemäß einer Ausführungsform der Offenbarung;is 3 10 is a cross-sectional side view of a substrate having a device level / layer and silicon vias, according to an embodiment of the disclosure;

ist 4 eine Querschnitt-Seitenansicht des in 3 dargestellten Substrats, das einen Graben aufweist;is 4 a cross-sectional side view of the in 3 illustrated substrate having a trench;

ist 5 eine Querschnitt-Seitenansicht des in 4 dargestellten Substrats, das eine Auskleidung über dem Graben aufweist;is 5 a cross-sectional side view of the in 4 illustrated substrate having a lining over the trench;

ist 6 eine Querschnitt-Seitenansicht des Substrats von 5, das eine Sperr-/Keimschicht aufweist;is 6 a cross-sectional side view of the substrate of 5 having a barrier / seed layer;

ist 7 eine Querschnitt-Seitenansicht des Substrats von 6, in dem ein Stab in dem Graben ausgebildet ist;is 7 a cross-sectional side view of the substrate of 6 in which a rod is formed in the trench;

ist 8 eine Querschnitt-Seitenansicht des Substrats von 7, in dem ein weiterer Stab in dem Graben ausgebildet ist; is 8th a cross-sectional side view of the substrate of 7 in which another rod is formed in the trench;

ist 9 eine Querschnitt-Seitenansicht des Substrats von 8, in dem leitfähige Elemente in dem Graben und auf der Handhabungsseite des Substrats ausgebildet sind;is 9 a cross-sectional side view of the substrate of 8th in which conductive elements are formed in the trench and on the handling side of the substrate;

ist 10 eine Querschnitt-Seitenansicht des Substrats von 9 als abschließende Struktur;is 10 a cross-sectional side view of the substrate of 9 as a final structure;

ist 11 eine Querschnitt-Seitenansicht eines ähnlichen Substrats wie das in 2 dargestellte Substrat, das einen vergrabenen Verbindungsbereich aufweist, gemäß einer Ausführungsform der Offenbarung;is 11 a cross-sectional side view of a similar substrate as in 2 10, having a buried junction region, according to an embodiment of the disclosure;

ist 12 eine Querschnitt-Seitenansicht eines Substrats, das einen vergrabenen Verbindungsbereich ausbildet;is 12 a cross-sectional side view of a substrate forming a buried joint region;

ist 13 eine Querschnitt-Seitenansicht des Substrats von 12, das Siliciumdurchkontaktierungen in dem Substrat aufweist;is 13 a cross-sectional side view of the substrate of 12 having silicon vias in the substrate;

ist 14 eine Querschnitt-Seitenansicht des Substrats von 13, wobei das Substrat umgedreht ist;is 14 a cross-sectional side view of the substrate of 13 with the substrate turned over;

ist 15 eine Querschnitt-Seitenansicht des Substrats von 14, in dem Thermostäbe in dem Substrat ausgebildet und mit dem vergrabenen Verbindungsbereich verbunden sind;is 15 a cross-sectional side view of the substrate of 14 in which thermo bars are formed in the substrate and connected to the buried connection region;

ist 16 eine Querschnitt-Seitenansicht einer Packung von integrierten Schaltungen gemäß einer Ausführungsform der Offenbarung, die ein ähnliches Substrat wie das in 1 und 10 dargestellte Substrat aufweist, das mit einem weiteren Substrat verbunden ist;is 16 a cross-sectional side view of a package of integrated circuits according to an embodiment of the disclosure, a similar substrate as in 1 and 10 shown substrate which is connected to another substrate;

ist 17 eine Querschnitt-Seitenansicht der in 16 dargestellten Packung, die einen Kühlkörper aufweist; undis 17 a cross-sectional side view of in 16 illustrated package having a heat sink; and

ist 18 eine Querschnitt-Seitenansicht einer Packung von integrierten Schaltungen gemäß einer Ausführungsform der vorliegenden Offenbarung, die ähnlich wie die in 17 dargestellte Packung einen Kühlkörper auf einem Substrat beinhaltet, jedoch ohne Siliciumdurchkontaktierungen in dem Substrat, und die eine externe Strom-/Spannungsquelle beinhaltet.is 18 a cross-sectional side view of a package of integrated circuits according to an embodiment of the present disclosure, similar to those in 17 illustrated package includes a heat sink on a substrate, but without Siliziumdurchkontaktierungen in the substrate, and includes an external power / voltage source.

AUSFÜHRLICHE BESCHREIBUNGDETAILED DESCRIPTION

Unter Bezugnahme auf 1 wird hierin ein Halbleitersubstrat 10 gemäß einer Ausführungsform der Offenbarung erörtert. Eine Seite des Substrats 10 beinhaltet eine Einheitenschicht oder -ebene 14 (die auch als aktive Einheitenseite bezeichnet wird), und die gegenüberliegende Seite des Substrats 10 ist mit einem Kühlkörper 20 verbunden. Thermoelemente 30 beinhalten jeweils einen Graben, der von der Handhabungsseite (der Kühlkörperseite) des Substrats 10 aus erstellt worden ist. Die Thermoelemente 30 beinhalten eine leitfähige Kühlplatte 34, die zum Beispiel aus einem Metall, etwa Kupfer oder Wolfram usw., bestehen kann und in der Ausführungsform von 1 als Kupferkühlplatte verkörpert wird. Eine Isolationsschicht 38 umgibt die Thermoelemente 30, das heißt, die Isolationsschicht erstreckt sich entlang eines Umfangs des Grabens. Die Thermoelemente 30 beinhalten einen Stab 42 aus einem n-Thermomaterial und einen Stab 44 aus einem p-Thermomaterial in dem Graben, die durch die leitfähige Kontaktplatte 34 zur Wärmeübertragung getrennt sind. Die n- und p-Thermomaterialien können in den Gräben abgeschieden sein. Die Stäbe aus dem n- und p-Material bestehen aus Thermomaterialien wie zum Beispiel Bismuttellurid, Bleitellurid und sonstigen Materialien. Ein Bereich des Grabens, der zwischen dem n-Thermostab 42 und dem p-Thermostab 44 und über der leitfähigen Platte 34 definiert ist, kann optional mit einem Füllmaterial 52 gefüllt sein. Eine Reihenschaltungsschicht 56 verbindet die Thermoelemente auf der Handhabungsseite des Substrats elektrisch und ermöglicht einen Stromfluss, zum Beispiel einen Stromfluss von plus 46 nach minus 48. Die Reihenschaltungsschicht 56 ist zwischen den Gräben und dem Kühlkörper 20 positioniert und verläuft entlang der Länge des Substrats so, dass sie die Thermoelemente auf der Handhabungs(Grabenöffnungs)-Seite des Substrats verbindet.With reference to 1 herein is a semiconductor substrate 10 according to one embodiment of the disclosure discussed. One side of the substrate 10 includes a unit layer or plane 14 (also referred to as the active device side) and the opposite side of the substrate 10 is with a heat sink 20 connected. thermocouples 30 each include a trench from the handling side (the heat sink side) of the substrate 10 has been created from. The thermocouples 30 include a conductive cooling plate 34 , which may for example consist of a metal, such as copper or tungsten, etc., and in the embodiment of 1 is embodied as a copper cooling plate. An isolation layer 38 surrounds the thermocouples 30 that is, the insulating layer extends along a circumference of the trench. The thermocouples 30 include a rod 42 from a n-thermal material and a rod 44 from a p-type thermal material in the trench passing through the conductive contact plate 34 are separated for heat transfer. The n- and p-thermo materials may be deposited in the trenches. The rods of the n- and p-material consist of thermo materials such as bismuth telluride, lead telluride and other materials. An area of the trench that lies between the n-thermostab 42 and the p-thermostab 44 and over the conductive plate 34 can be defined, optionally with a filler material 52 be filled. A series circuit layer 56 electrically connects the thermocouples on the handling side of the substrate and allows a current flow, for example, a current flow of plus 46 after minus 48 , The series circuit layer 56 is between the trenches and the heat sink 20 positioned and along the length of the substrate so that it connects the thermocouples on the handling (trench opening) side of the substrate.

Die in 1 dargestellte Ausführungsform der vorliegenden Offenbarung beschreibt dadurch eine integrierte Schaltung, die eine Kühltechnik aufweist, die Thermoelemente 30 beinhaltet, die Thermostäbe in Gräben aufweisen, die sich teilweise in das Substrat 10 erstrecken und innerhalb des Substrats mit einer direkten oder vergrabenen Verbindung in Reihe geschaltet sind. Beispielsweise kann sich der Graben von der Handhabungsseite des Substrats aus in eine geringe Tiefe erstrecken. Alternativ kann sich der Graben in das Substrat erstrecken, bis er der Einheitenseite oder der Einheitenebene in dem Substrat nahekommt. Bevorzugt dringt der Graben nicht in die Einheitenebene des Substrats ein. Eine beispielhafte Tiefe des Grabens kann einen flachen Graben, der die Elemente der Thermostäbe aufnehmen kann, wie in der vorliegenden Offenbarung, bis zu einer Grabentiefe beinhalten, die der aktiven Schicht nahekommt oder an die aktive Schicht angrenzt.In the 1 illustrated embodiment of the present disclosure thereby describes an integrated circuit having a cooling technique, the thermocouples 30 includes thermostats in trenches that extend partially into the substrate 10 extend and are connected in series within the substrate with a direct or buried connection. For example, the trench may extend from the handling side of the substrate to a shallow depth. Alternatively, the trench may extend into the substrate until it approaches the unit side or unit level in the substrate. Preferably, the trench does not penetrate into the unit plane of the substrate. An exemplary depth of the trench may include a shallow trench, which may receive the elements of the thermal bars, as in the present disclosure, to a trench depth that approximates or adjoins the active layer.

Die Mehrzahl der Gräben kann mithilfe eines Leitungsweges, der Reihenschaltungsschicht 56, die auf der Handhabungsseite der Einheit ausgebildet ist, wie in 1 dargestellt, in Reihe geschaltet sein und zum aktiven Kühlen mit einer geeigneten Spannungsquelle (Stromquelle) verbunden sein. Die Thermoelemente können mit einem Kühlkörper auf der Handhabungsseite des Substrats in Verbindung stehen (wie in 16 und 17 dargestellt).The majority of the trenches may be by way of a conductive path, the series circuit layer 56 which is formed on the handling side of the unit, as in 1 shown, be connected in series and be connected to an appropriate voltage source (power source) for active cooling. The thermocouples may communicate with a heat sink on the handling side of the substrate (as in FIG 16 and 17 shown).

Die Einheitenschicht 14 des Substrats kann mit den sonstigen Substraten innerhalb einer IC-Packung verbunden sein (in 16 und 17 dargestellt). Verbindungselemente wie etwa ein Kugelgitter oder Verbindungsstellen können für elektrische Verbindungen innerhalb einer IC-Packung verwendet werden, zum Beispiel von der Einheitenebene in der IC-Packung. Das Verfahren und die Struktur der vorliegenden Offenbarung, die die Thermoelemente und den elektrischen Stromfluss einsetzen, nutzt einen thermoelektrischen Effekt zum Übertragen von Wärme von der Einheitenschicht 14 des Substrats zu dem Kühlkörper 20, der mit einer Handhabungsseite (einer der Einheitenschicht gegenüberliegenden Seite) des Substrats in Verbindung steht.The unit layer 14 of the substrate may be connected to the other substrates within an IC package (in 16 and 17 shown). Fasteners such as a ball grid or joints may be used for electrical connections within an IC package, for example, from the device level in the IC package. The method and structure of the present disclosure utilizing the thermocouples and electrical current flow utilizes a thermoelectric effect to transfer heat from the device layer 14 of the substrate to the heat sink 20 which communicates with a handling side (a unit layer opposite side) of the substrate.

Unter Bezugnahme auf 2 ähnelt bei einer Ausführungsform gemäß der vorliegenden Offenbarung ein Substrat 100 dem in 1 dargestellten Substrat, und ähnliche Elemente weisen dieselben Bezugszeichen auf. Das Substrat 100 beinhaltet eine Einheitenebene oder -schicht 14. Verbindungsstellen 104 sind mit der Einheitenschicht verbunden und stehen mit leitfähigen Ebenen 108 in der aktiven Schicht 14 in Verbindung. Die Verbindungsstellen 104 bestehen aus einem elektrisch leitfähigen Material. Durchkontaktierungen 112 (d.h. Siliciumdurchkontaktierungen (through silicon vias, TSVs)) verbinden die aktive Schicht 14 mit der Verbindungsschicht 56 (in 2 teilweise dargestellt) auf einer Handhabungsseite 116 des Substrats 100. Der Graben 30 beinhaltet eine Isolationsschicht 38 entlang der gegenüberliegenden Seiten des Grabens 30, die sich zwischen der Handhabungsseite 116 des Substrats 100 und der Verbindungsschicht 56 erstreckt. Die Isolationsschicht ist auch entlang der Seitenwände der Durchkontaktierungen abgeschieden, wie in 2 dargestellt.With reference to 2 In one embodiment according to the present disclosure, it is similar to a substrate 100 the in 1 shown substrate, and similar elements have the same reference numerals. The substrate 100 includes a unit level or layer 14 , joints 104 are connected to the device layer and are at conductive levels 108 in the active layer 14 in connection. The connection points 104 consist of an electrically conductive material. vias 112 (ie silicon vias (TSVs)) connect the active layer 14 with the connection layer 56 (in 2 partially shown) on a handling side 116 of the substrate 100 , The ditch 30 includes an insulation layer 38 along the opposite sides of the trench 30 extending between the handling side 116 of the substrate 100 and the tie layer 56 extends. The insulating layer is also deposited along the sidewalls of the vias, as in FIG 2 shown.

Ein Material eines n-Thermostabs 120 ist auf einer Seite des Grabens 30 abgeschieden und kann n-Bismuttellurid (Bi2 Te3) aufweisen. Ein Material eines p-Thermostabs 124 ist in dem Graben 30 auf einer dem n-Stab gegenüberliegenden Seite des Grabens 30 abgeschieden. Der p-Stab kann p-Bismuttellurid (Bi2 Te3) aufweisen. Eine Grabenschicht 128 befindet sich zwischen dem n-Stab und dem p-Stab und ist auf dem Boden des Grabens 30 positioniert. Die Grabenschicht 128 kann zum Beispiel aus Kupfer oder Wolfram bestehen, die elektrisch leitfähig und wärmeleitfähig sind.A material of a n-thermo bar 120 is on one side of the trench 30 deposited and may have n-bismuth telluride (Bi2 Te3). A material of a p-thermo bar 124 is in the ditch 30 on a side of the trench opposite the n-bar 30 deposited. The p-rod may have p-bismuth telluride (Bi2 Te3). A trench layer 128 is located between the n-rod and the p-rod and is at the bottom of the trench 30 positioned. The trench layer 128 may for example consist of copper or tungsten, which are electrically conductive and thermally conductive.

Unter Bezugnahme auf 3 bis 10 wird im Folgenden ein Prozessablauf zum Fertigen einer Halbleitereinheit gemäß einer Ausführungsform der Offenbarung erörtert. Ähnliche Elemente in den in 1 und 2 dargestellten Ausführungsformen weisen dieselben Bezugszeichen auf. Unter Bezugnahme auf 3 beinhaltet ein Substrat 200 Durchkontaktierungen 112, die die Handhabungsseite 116 des Substrats mit der aktiven Schicht 14 verbinden. Anfänglich befindet sich eine Oxidschicht 38 auf der Rückseite des Wafers.With reference to 3 to 10 In the following, a process flow for manufacturing a semiconductor device according to an embodiment of the disclosure will be discussed. Similar elements in the in 1 and 2 illustrated embodiments have the same reference numerals. With reference to 3 includes a substrate 200 vias 112 that the handling side 116 of the substrate with the active layer 14 connect. Initially there is an oxide layer 38 on the back of the wafer.

Unter Bezugnahme auf 4 wird ein Graben 210 in das Substrat 200 geätzt. Unter Bezugnahme auf 5 wird eine Auskleidung 214 entlang des Umfangs des Grabens 210 ausgebildet, die selektiv abgeschieden werden kann. Die Auskleidung kann aus einem Material bestehen, das eine gute Wärmeleitfähigkeit aufweist, jedoch elektrisch isolierend ist. Unter Bezugnahme auf 6 wird eine Sperr-/Keimschicht 218 über der Auskleidung 214 entlang der Handhabungsseite des Substrats und entlang des Umfangs des Grabens 210 abgeschieden. Die Sperr-/Keimschicht kann aus Materialien wie zum Beispiel Titan (Ti), Ruthenium (Ru) oder Titannitrid (TiN) bestehen, die ebenfalls eine gute Wärmeleitfähigkeit aufweisen.With reference to 4 becomes a ditch 210 in the substrate 200 etched. With reference to 5 becomes a lining 214 along the circumference of the trench 210 formed, which can be selectively deposited. The lining may be made of a material that has good thermal conductivity but is electrically insulating. With reference to 6 becomes a barrier / seed layer 218 over the lining 214 along the handling side of the substrate and along the circumference of the trench 210 deposited. The barrier / seed layer can be made of materials such as titanium (Ti), ruthenium (Ru) or titanium nitride (TiN), which also have good thermal conductivity.

Unter Bezugnahme auf 7 wird eine Photolackschicht 222 über der Handhabungsseite 116 des Substrats 200 abgeschieden. Lithographie oder reaktives Ionenätzen können zum Ausbilden eines Grabens in dem Photolack verwendet werden. Ein n-Stab 230 wird auf einer Seite des Grabens ausgebildet. Der n-Stab kann aus einem n-Thermomaterial, z.B. aus n-Bismuttellurid (Bi2 Te3), bestehen. Unter Bezugnahme auf 8 ist der Photolack teilweise entfernt worden, und ein p-Stab 234 wird auf der dem n-Stab gegenüberliegenden Seite des Grabens ausgebildet. Der p-Stab kann aus einem p-Thermomaterial, z.B. aus p-Bismuttellurid (Bi2 Te3), bestehen.With reference to 7 becomes a photoresist layer 222 above the handling side 116 of the substrate 200 deposited. Lithography or reactive ion etching may be used to form a trench in the photoresist. A n-rod 230 is formed on one side of the trench. The n-rod may consist of an n-type thermal material, eg of n-bismuth telluride (Bi2 Te3). With reference to 8th the photoresist has been partially removed, and a p-rod 234 is formed on the side opposite the n-rod side of the trench. The p-rod may consist of a p-thermo material, for example of p-bismuth telluride (Bi2 Te3).

Unter Bezugnahme auf 9 wird eine Photolackschicht 222 (als Ätzsperrschicht) zum Schützen der n- und p-Thermostäbe abgeschieden. Die Photolackschicht 222 wird zum Abscheiden einer leitfähigen Schicht 238 auf den in 9 dargestellten Bereichen weggeätzt. Die leitfähige Schicht 238 kann zum Beispiel aus Kupfer oder Wolfram bestehen, die elektrisch leitfähig sind, sodass sie einen Strom zwischen den Thermostäben durchlassen. Unter Bezugnahme auf 10 sind der Photolack 238 und die Sperr-/Keimschicht 218 teilweise entfernt worden, zum Beispiel durch Ätzen, und das endgültige Substrat 250 mit dem Thermoelement 254 wird dargestellt.With reference to 9 becomes a photoresist layer 222 (as etch stop layer) to protect the n and p thermo bars. The photoresist layer 222 becomes for depositing a conductive layer 238 on the in 9 etched away. The conductive layer 238 For example, it can be made of copper or tungsten, which are electrically conductive, allowing a current to pass between the thermostats. With reference to 10 are the photoresist 238 and the barrier / seed layer 218 partially removed, for example by etching, and the final substrate 250 with the thermocouple 254 is shown.

1 stellt mehrere Gräben dar, die in 10 (und im Folgenden in 16 und 17) dargestellt werden. Die vorliegende Offenbarung beschreibt dadurch eine integrierte Schaltung, die eine Kühltechnik aufweist, die Thermoelemente beinhaltet, die Thermostäbe aufweisen, die sich teilweise in das Substrat erstrecken und innerhalb des Substrats mit einer direkten oder vergrabenen Verbindung in Reihe geschaltet sind. Die Mehrzahl der (in 1 dargestellten) Thermoelemente kann mithilfe eines Leitungsweges, der auf der Handhabungsseite der Einheit ausgebildet ist, wie in 1 dargestellt, in Reihe geschaltet sein und zum aktiven Kühlen mit einer geeigneten Spannungsquelle verbunden sein. Die Thermoelemente und -stäbe können mit einem Kühlkörper auf der Handhabungsseite des Substrats in Verbindung stehen. 1 represents several trenches that are in 10 (and below in 16 and 17 ) being represented. The present disclosure thereby describes an integrated circuit comprising a Cooling technology that includes thermocouples having Thermostäbe that extend partially into the substrate and are connected in series within the substrate with a direct or buried connection. The majority of (in 1 shown) thermocouples can by means of a conduction path, which is formed on the handling side of the unit, as in 1 shown, be connected in series and be connected for active cooling with a suitable voltage source. The thermocouples and rods may communicate with a heat sink on the handling side of the substrate.

Unter Bezugnahme auf 11 beinhaltet eine weitere Ausführungsform gemäß der vorliegenden Offenbarung ein Substrat 300, das n- bzw. p-Thermostäbe 304, 308 beinhaltet. Beide Thermostäbe können aus einem beliebigen der bekannten Thermomaterialien bestehen (z.B. aus Bi2 Te3). Ein vergrabener Verbindungsbereich 312 kann ein implantiertes Metall in dem Substrat beinhalten. Der vergrabene Verbindungsbereich 312 steht mit den Stäben 304, 308 in Verbindung.With reference to 11 Another embodiment according to the present disclosure includes a substrate 300 , the n- or p-thermostats 304 . 308 includes. Both thermostats can be made of any of the known thermo materials (eg Bi2 Te3). A buried connection area 312 may include an implanted metal in the substrate. The buried connection area 312 stands with the bars 304 . 308 in connection.

Unter Bezugnahme auf 12 bis 15 wird ein Prozessablauf zum Fertigen des in 11 dargestellten Substrats 300 erörtert. Unter Bezugnahme auf 12 wird eine Photolackschicht 320 über einem Teil einer aktiven Seite 324 des Substrats ausgenommen über einem Bereich abgeschieden, der einem Bereich des Substrats für einen implantierten Leiter 330 zum Ausbilden einer vergrabenen Verbindung 340 entspricht (in 15 dargestellt). Unter Bezugnahme auf 13 kann die aktive Ebene 14 auf der aktiven Seite 324 des Substrats ausgebildet werden und können TSVs 112 in dem Substrat 300 ausgebildet werden.With reference to 12 to 15 will be a process flow to manufacture the in 11 represented substrate 300 discussed. With reference to 12 becomes a photoresist layer 320 over a part of an active page 324 of the substrate except over a region of a region of the substrate for an implanted conductor 330 for forming a buried connection 340 corresponds to (in 15 shown). With reference to 13 can be the active level 14 on the active side 324 of the substrate can be formed and TSVs 112 in the substrate 300 be formed.

Unter Bezugnahme auf 14 wird das Substrat 300 umgedreht dargestellt, sodass sich die aktive Ebene 14 in der Zeichnung unten befindet. Unter Bezugnahme auf 15 werden die Stäbe 304, 308 von der Handhabungsseite 116 des Substrats 300 aus ausgebildet, und bei dem Substrat 300 handelt es sich um dasselbe wie das in 11 dargestellte. Das Substrat 300 beinhaltet n- und p-Stäbe 304, 308. Die vergrabene Verbindung 340 ist innerhalb des Substrats 300 ausgebildet worden und verbindet die Stäbe 304, 308.With reference to 14 becomes the substrate 300 shown turned around, so that the active level 14 located in the drawing below. With reference to 15 become the bars 304 . 308 from the handling side 116 of the substrate 300 formed from, and the substrate 300 it is the same as the one in 11 shown. The substrate 300 includes n and p rods 304 . 308 , The buried connection 340 is inside the substrate 300 been trained and joins the bars 304 . 308 ,

Die Spannung, die an die freien Enden zweier unterschiedlicher leitfähiger Materialien angelegt wird, führt zu einem Stromfluss nacheinander durch eine Reihe von Thermoelementen und zwei Halbleiter. Der Strom fließt durch die elektrische Reihenschaltung auf der Handhabungsseite (oder der Rückseite) des Substrats durch den n-Thermostab zu der leitfähigen Platte, zu dem p-Thermostab und anschließend zu dem Kühlkörper. Da die Thermoelemente in Reihe geschaltet (oder verkettet) sind, fließt der Strom durch sämtliche Thermoelemente, die in Reihe geschaltet sind. Eine Peltier-Kühlung bewirkt, dass Wärme aus der Umgebung der leitfähigen Kühlplatte aufgenommen wird und dass die aufgenommene Wärme an das andere Ende des Substrats, d.h. zu dem Kühlkörper, transportiert wird. Wenn Strom durch die Einheit (das Substrat, wie es in den Figuren dargestellt wird) gezwungen wird, nehmen die Elektronen an einem Ende Energie auf, wohingegen diejenigen an dem anderen Ende Energie abgeben. Daher wird bei einem ununterbrochenen Stromfluss Wärme fortwährend an einem Ende aufgenommen und an einem weiteren Ende abgegeben.The voltage applied to the free ends of two different conductive materials results in a flow of current sequentially through a series of thermocouples and two semiconductors. The current flows through the electrical series circuit on the handling side (or back) of the substrate through the n-type thermo-bar to the conductive plate, to the p-type thermo-bar, and then to the heat sink. Because the thermocouples are connected in series (or chained), the current flows through all the thermocouples connected in series. Peltier cooling causes heat to be absorbed from the environment of the conductive cooling plate and the heat absorbed to the other end of the substrate, i. to the heat sink, is transported. When current is forced through the unit (the substrate as shown in the figures), the electrons pick up energy at one end, while those at the other end release energy. Therefore, in an uninterrupted flow of current, heat is continuously received at one end and released at another end.

Unter Bezugnahme auf 16 beinhaltet eine IC-Packung 400 gemäß einer Ausführungsform der vorliegenden Offenbarung ähnliche Elemente wie zuvor dargestellte Ausführungsformen, die dieselben Bezugszeichen aufweisen. Die IC-Packung 400 beinhaltet ein zweites Substrat 404. Das zweite Substrat 404 ist mithilfe von Lötkugeln 408 mit einem Substrat 350 verbunden, das die Elemente der in 10 als Substrat 250 dargestellten vorliegenden Offenbarung enthält. Das Substrat 350 beinhaltet eine aktive Seite 14 und eine Handhabungsseite 116. Die Gräben 412 des Substrats 400 ähneln den in 1 und 2 dargestellten, die oben als Graben 30 beschrieben worden sind, und dem in 10 dargestellten Thermoelement 254 des Substrats 250. In der IC-Packung 400 von 16 sind das Substrat 350 und seine Durchkontaktierungen mithilfe der Lötkugeln 408 und der leitfähigen Verbindungsstellen 104 mit dem zweiten Substrat 404 verbunden. Unter Bezugnahme auf 17 wird die IC-Packung 400 (mithilfe der Lötkugeln 408) mit sonstigen Substraten 460 verbunden dargestellt, und ein Kühlkörper 470 ist über den zusätzlichen Substraten 460 und dem Substrat 350 positioniert. Der Kühlkörper 470 ist mithilfe eines thermischen Zwischenschichtmaterials 474 (thermal interface material, TIM) verbunden, das die Wärmeübertragung 478 von dem Substrat 350 und seinen Gräben 412 zu dem Kühlkörper 470 verbessert.With reference to 16 includes an IC pack 400 according to an embodiment of the present disclosure, similar elements as previously illustrated embodiments having the same reference numerals. The IC pack 400 includes a second substrate 404 , The second substrate 404 is using solder balls 408 with a substrate 350 connected the elements of in 10 as a substrate 250 contains the present disclosure. The substrate 350 includes an active page 14 and a handling side 116 , The trenches 412 of the substrate 400 resemble the in 1 and 2 pictured, the top as a ditch 30 have been described, and the in 10 shown thermocouple 254 of the substrate 250 , In the IC pack 400 from 16 are the substrate 350 and its vias using the solder balls 408 and the conductive junctions 104 with the second substrate 404 connected. With reference to 17 will be the ic pack 400 (using the solder balls 408 ) with other substrates 460 shown connected, and a heat sink 470 is about the additional substrates 460 and the substrate 350 positioned. The heat sink 470 is using a thermal interlayer material 474 (Thermal interface material, TIM) connected to the heat transfer 478 from the substrate 350 and its trenches 412 to the heat sink 470 improved.

Unter Bezugnahme auf 18 weist eine weitere Ausführungsform einer IC-Packung 500 gemäß der vorliegenden Offenbarung ähnliche Elemente wie die in 16 und 17 dargestellte Packung mit denselben Bezugszeichen für dieselben Elemente auf. Die IC-Packung 500 beinhaltet ein Substrat 550 und Gräben 412, die in 16 und 17 dargestellt werden. Die in 16 und 17 dargestellten TSVs 112 sind in dem Substrat 550 entfernt worden. Eine (nicht dargestellte) getrennte Verdrahtung für einen Stromfluss durch das Substrat und Stäbe in den Gräben kann eingesetzt werden.With reference to 18 shows a further embodiment of an IC package 500 according to the present disclosure, elements similar to those in 16 and 17 shown pack with the same reference numerals for the same elements. The IC pack 500 includes a substrate 550 and ditches 412 , in the 16 and 17 being represented. In the 16 and 17 illustrated TSVs 112 are in the substrate 550 been removed. Separate wiring (not shown) for current flow through the substrate and rods in the trenches may be employed.

Ein Kühlkörper 504 ist über den Gräben 412 des Substrats 550 positioniert. Der Kühlkörper 504 ähnelt dem in 17 dargestellten Kühlkörper 470 in der Struktur und der Funktion, der Kühlkörper 504 weist jedoch eine Reihe von Finnen 508 zum Verbessern einer Wärmeableitung auf. Das Substrat 550 und die Gräben werden von einer elektrischen Schaltung 512 durch die Handhabungsseite des Substrats ohne Verwenden von TSVs mit Strom versorgt. Das Substrat 550 ist mit einem zweiten Substrat 404 verbunden, wie in 18 dargestellt.A heat sink 504 is over the trenches 412 of the substrate 550 positioned. The heat sink 504 is similar to the one in 17 shown heatsink 470 in structure and function, the heat sink 504 however, has a number of fins 508 for improving heat dissipation. The substrate 550 and the trenches are from an electrical circuit 512 powered by the handling side of the substrate without using TSVs. The substrate 550 is with a second substrate 404 connected, as in 18 shown.

Im Allgemeinen beinhalten gemäß den Ausführungsformen der vorliegenden Offenbarung eine Packung von Halbleitereinheiten und ein Verfahren zum Fertigen derselben eingebettete Thermoelemente in einem Halbleitersubstrat. Die Thermoelemente können Gräben beinhalten, die sich teilweise in das Substrat erstrecken, wobei sich die n- und p-Stäbe in die Gräben erstrecken. Eine wärmeleitfähige Isolationsschicht kann in den Gräben abgeschieden sein. Die Isolationsauskleidung kann aus einem Material bestehen, das eine hohe Wärmeleitfähigkeit aufweist, jedoch elektrisch isolierend ist. Die n- und p-Thermostäbe sind mithilfe einer Kontaktplatte oder einer leitfähigen Platte so elektrisch verbunden, dass sie jeweils die teilweise eingebetteten Thermoelemente ausbilden. Eine Reihenschaltungsschicht verbindet die Mehrzahl von Thermoelementen auf der Handhabungsseite des Substrats elektrisch. Eine Stromquelle versorgt die Reihenschaltungsschicht mit elektrischem Strom und liefert Strom, der durch die Mehrzahl der in Reihe geschalteten Thermoelemente fließt. Ein Kühlkörper ist angrenzend an die verbundenen Thermoelemente positioniert und führt Wärme von der Einheitenseite des Substrats ab.In general, in accordance with embodiments of the present disclosure, a package of semiconductor devices and a method of manufacturing the same include embedded thermocouples in a semiconductor substrate. The thermocouples may include trenches that extend partially into the substrate, with the n and p rods extending into the trenches. A thermally conductive insulating layer may be deposited in the trenches. The insulation lining may be made of a material which has a high thermal conductivity but is electrically insulating. The n and p thermocouples are electrically connected by means of a contact plate or a conductive plate so that they each form the partially embedded thermocouples. A series circuit layer electrically connects the plurality of thermocouples on the handling side of the substrate. A current source supplies electrical power to the series circuit layer and supplies current flowing through the plurality of series-connected thermocouples. A heat sink is positioned adjacent the bonded thermocouples and dissipates heat from the device side of the substrate.

Die Ausführungsformen der vorliegenden Offenbarung erfordern keine beweglichen Komponenten oder Kühlvorrichtungen wie zum Beispiel eine Flüssigkeitspumpe, die zum Kühlen von dreidimensionalen ICs verwendet werden kann. Die Gräben erhöhen den Oberflächenkontakt mit dem Substrat so, dass eine Wärmeübertragung zu der Kühlkörpereinheit erhöht wird, sodass eine Kühlung der IC-Packung verstärkt wird. Die Thermostäbe nehmen den Bereich des Substrats für die Einheitenebenen-(oder Einheitenschicht-)Seite des Substrats nicht in Anspruch und ermöglichen auf diese Weise die effizienteste Verwendung der Einheitenebene.The embodiments of the present disclosure do not require moving components or cooling devices, such as a liquid pump, that can be used to cool three-dimensional ICs. The trenches increase the surface contact with the substrate so that heat transfer to the heat sink unit is increased, so that cooling of the IC package is enhanced. The thermo-bars do not occupy the area of the substrate for the unit-level (or device-layer) side of the substrate, thus allowing the most efficient use of the unit level.

Die Beschreibungen der verschiedenen Ausführungsformen der vorliegenden Erfindung erfolgten zur Veranschaulichung, sind jedoch nicht erschöpfend oder auf die offenbarten Ausführungsformen beschränkt gemeint. Viele Modifizierungen und Varianten sind für Fachleute ersichtlich, ohne vom Umfang und Gedanken der beschriebenen Ausführungsformen abzuweichen. Die hierin verwendete Terminologie wurde gewählt, um die Grundgedanken der Ausführungsformen, die praktische Anwendung oder die technische Verbesserung gegenüber auf dem Markt erhältlichen Technologien am besten zu erläutern oder um anderen Fachleuten zu ermöglichen, die hierin offenbarten Ausführungsformen zu verstehen.The descriptions of the various embodiments of the present invention have been presented by way of illustration, but are not intended to be exhaustive or limited to the disclosed embodiments. Many modifications and variations will be apparent to those skilled in the art without departing from the scope and spirit of the described embodiments. The terminology used herein has been chosen to best explain the principles of the embodiments, the practical application or technical improvement over the technologies available on the market, or to enable others skilled in the art to understand the embodiments disclosed herein.

Claims (15)

Packung von Halbleitereinheiten, die aufweist: eine Mehrzahl von Thermoelementen, die in ein Halbleitersubstrat eingebettet sind, wobei die Thermoelemente jeweils Thermostäbe aufweist, die einander gegenüber positioniert sind und sich von einer Handhabungsseite eines Substrats, die einer Einheitenseite des Substrats gegenüberliegt, in das Substrat erstrecken; wobei sich die Stäbe teilweise von der Handhabungsseite des Substrats in das Substrat erstrecken, wobei es sich bei den gegenüberliegenden Stäben um einen entsprechenden n-Typ und p-Typ handelt; eine wärmeleitfähige Isolationsschicht entlang eines Umfangs der Gräben und entlang äußerer Seiten der Stäbe; eine leitfähige Platte, die die n- und p-Stäbe elektrisch verbindet; eine Reihenschaltungsschicht, die die Mehrzahl von Thermoelementen auf der Handhabungsseite des Substrats elektrisch so verbindet, dass sie über die Reihenschaltungsschicht mit einer Spannung versorgt werden; und einen Kühlkörper, der zum Abführen von Wärme von der Einheitenseite des Substrats zu dem Kühlkörper mithilfe der Thermoelemente angrenzend an die Thermoelemente positioniert ist.Package of semiconductor devices comprising a plurality of thermocouples embedded in a semiconductor substrate, the thermocouples each having thermocouples positioned opposite each other and extending into the substrate from a handling side of a substrate facing a unit side of the substrate; the bars extend partially from the handling side of the substrate into the substrate, the opposing bars being a corresponding n-type and p-type; a thermally conductive insulating layer along a perimeter of the trenches and along outer sides of the bars; a conductive plate electrically connecting the n and p rods; a series circuit layer electrically connecting the plurality of thermocouples on the handling side of the substrate so as to be supplied with voltage through the series circuit layer; and a heat sink positioned to dissipate heat from the device side of the substrate to the heat sink by means of the thermocouples adjacent the thermocouples. Einheit nach Anspruch 1, die des Weiteren aufweist: eine Stromquelle zum Versorgen der Reihenschaltungsschicht mit elektrischem Strom so, dass der elektrische Strom durch die Mehrzahl von Thermoelementen fließt.The unit of claim 1, further comprising: a current source for supplying the series circuit layer with electric current so that the electric current flows through the plurality of thermocouples. Einheit nach Anspruch 1, wobei die Stromquelle über Siliciumdurchkontaktierungen elektrisch mit der Reihenschaltungsschicht verbunden ist.The unit of claim 1, wherein the power source is electrically connected to the series circuit layer via silicon vias. Einheit nach Anspruch 1, wobei die Stromquelle eine Spannungsquelle aufweist, die mit der Reihenschaltungsschicht verbunden ist, ohne Verwendung von Siliciumdurchkontaktierungen aufzuweisen.The unit of claim 1, wherein the power source has a voltage source connected to the series circuit layer without using silicon vias. Einheit nach Anspruch 1, wobei die leitfähige Platte die n- und p-Stäbe trennt, wobei sie einen Boden jedes der Gräben gegenüber den Öffnungen der Gräben auf der Handhabungsseite des Substrats definiert.The unit of claim 1, wherein the conductive plate separates the n and p rods, defining a bottom of each of the trenches opposite the openings of the trenches on the handling side of the substrate. Einheit nach Anspruch 1, wobei die gegenüberliegenden Stäbe der Thermoelemente in jeweils einem einer Mehrzahl von Gräben in dem Substrat positioniert sind, wobei jeder der Gräben eine Öffnung auf einer Handhabungsseite des Substrats definiert.The unit of claim 1, wherein the opposed rods of the thermocouples are positioned in respective ones of a plurality of trenches in the substrate, each of the trenches defining an opening on a handling side of the substrate. Einheit nach Anspruch 1, die des Weiteren aufweist: ein Füllmaterial, das in jedem der Gräben zwischen den n- und p-Stäben abgeschieden ist. The unit of claim 1, further comprising: a filler material deposited in each of the trenches between the n and p rods. Einheit nach Anspruch 1, wobei es sich bei dem Kühlkörper um einen Kupferkühlkörper mit Finnen handelt.The unit of claim 1, wherein the heat sink is a copper heat sink with fins. Einheit nach Anspruch 1, wobei die Reihenschaltungsschicht zwischen den Thermoelementen und dem Kühlkörper positioniert ist.The unit of claim 1, wherein the series circuit layer is positioned between the thermocouples and the heat sink. Einheit nach Anspruch 1, die des Weiteren aufweist: eine Stromquelle zum Versorgen der Reihenschaltungsschicht mit elektrischem Strom so, dass der elektrische Strom durch die Mehrzahl von Thermoelementen jeweils von jedem der p-Stäbe durch die leitfähige Platte zu den n-Stäben und durch die Reihe von Thermoelementen fließt.The unit of claim 1, further comprising: a current source for supplying the series circuit layer with electric current so that the electric current through the plurality of thermocouples flows from each of the p-type rods through the conductive plate to the n-type rods and through the series of thermocouples. Verfahren zum Fertigen eines Halbleitersubstrats, das aufweist: Ausbilden eines n-Stabes und eines p-Stabes, die einander gegenüberliegen und sich von einer Handhabungsseite eines Substrats, die einer Einheitenseite des Halbleitersubstrats gegenüberliegt, teilweise in das Halbleitersubstrat erstrecken; Ausbilden einer leitfähigen Platte, die jedes Paar der n- und p-Stäbe elektrisch so verbindet, dass jedes einer Mehrzahl von Thermoelementen ausgebildet wird; Ausbilden einer Reihenschaltungsschicht, die die Mehrzahl von Thermoelementen elektrisch so verbindet, dass sie über die Reihenschaltungsschicht mit einer Spannung versorgt werden; und Positionieren eines Kühlkörpers angrenzend an die Thermoelemente zum Abführen von Wärme von der Einheitenseite des Substrats zu dem Kühlkörper mithilfe der Thermoelemente.A method of fabricating a semiconductor substrate, comprising: Forming an n-bar and a p-bar opposed to each other and partially extending into the semiconductor substrate from a handling side of a substrate opposite to a unit side of the semiconductor substrate; Forming a conductive plate electrically connecting each pair of the n and p rods so as to form each of a plurality of thermocouples; Forming a series circuit layer electrically connecting the plurality of thermocouples to be supplied with voltage through the series circuit layer; and Positioning a heat sink adjacent to the thermocouples to dissipate heat from the device side of the substrate to the heat sink using the thermocouples. Verfahren nach Anspruch 11, das des Weiteren aufweist: Verbinden einer Stromquelle zum Versorgen der Reihenschaltungsschicht mit elektrischem Strom so, dass der elektrische Strom durch die Mehrzahl von Thermoelementen fließt.The method of claim 11, further comprising: Connecting a current source to supply the series circuit layer with electric current so that the electric current flows through the plurality of thermocouples. Verfahren nach Anspruch 11, das des Weiteren aufweist: Ausbilden von Siliciumdurchkontaktierungen in dem Substrat, die mit der Reihenschaltungsschicht verbunden sind; und Verbinden der Siliciumdurchkontaktierungen mit der Stromquelle.The method of claim 11, further comprising: Forming silicon vias in the substrate connected to the series circuit layer; and connecting the silicon vias to the power source. Verfahren nach Anspruch 11, das des Weiteren aufweist: Ausbilden von Gräben, die sich teilweise von der Handhabungsseite des Substrats in das Substrat erstrecken, wobei die Gräben eine Öffnung auf der Handhabungsseite des Substrats für jeden der Gräben definieren; Abscheiden einer wärmeleitfähigen Isolationsschicht entlang eines Umfangs der Gräben; Positionieren der gegenüberliegenden n- und p-Stäbe der Thermoelemente in jedem der Mehrzahl von Gräben auf gegenüberliegenden Seiten jedes der Gräben; und Positionieren der leitfähigen Platte, die jedes Paar der n- und p-Stäbe elektrisch verbindet, so entlang eines Bodens jedes der Gräben, dass jedes der Mehrzahl von Thermoelementen ausgebildet wird.The method of claim 11, further comprising: Forming trenches extending partially from the handling side of the substrate into the substrate, the trenches defining an opening on the handling side of the substrate for each of the trenches; Depositing a thermally conductive insulating layer along a circumference of the trenches; Positioning the opposing n and p rods of the thermocouples in each of the plurality of trenches on opposite sides of each of the trenches; and Positioning the conductive plate electrically connecting each pair of the n and p rods so along a bottom of each of the trenches that each of the plurality of thermocouples is formed. Verfahren nach Anspruch 11, wobei die Stromquelle eine Spannungsquelle beinhaltet, die mit der Reihenschaltungsschicht verbunden ist, ohne Verwendung von Siliciumdurchkontaktierungen aufweist, und/oder wobei die leitfähige Platte die n-Stäbe und die p-Stäbe verbindet und einen Boden jedes der Gräben gegenüber den Öffnungen der Gräben auf der Handhabungsseite des Substrats definiert, und/oder Abscheiden eines Füllmaterials in den Gräben zwischen den n- und p-Stäben, und/oder wobei es sich bei dem Kühlkörper um einen Kupferkühlkörper mit Finnen handelt, und/oder wobei die Reihenschaltungsschicht zwischen den Thermoelementen und dem Kühlkörper positioniert ist, und/oder wobei das Verfahren des Weiteren aufweist: Verbinden einer Stromquelle zum Versorgen der Reihenschaltungsschicht mit elektrischem Strom so, dass der elektrische Strom durch die Mehrzahl von Thermoelementen jeweils von jedem der p-Stäbe durch die leitfähige Platte zu den n-Stäben und durch die Reihe von Thermoelementen fließt.The method of claim 11, wherein the current source includes a voltage source connected to the series circuit layer without using silicon vias. and or wherein the conductive plate connects the N-bars and the P-bars and defines a bottom of each of the trenches opposite the openings of the trenches on the handling side of the substrate, and or Depositing a filling material in the trenches between the n and p rods, and or wherein the heat sink is a copper heat sink with fins, and or wherein the series connection layer is positioned between the thermocouples and the heat sink, and or the method further comprising: Connecting a current source for supplying electrical power to the series circuit layer such that the electrical current through the plurality of thermocouples flows from each of the p-type rods through the conductive plate to the n-type rods and through the series of thermocouples.
DE102016205187.3A 2015-03-30 2016-03-30 Packaging of semiconductor units for cooling for integrated circuits with the aid of embedded Peltier micro-vias in a substrate and manufacturing process for a semiconductor substrate Active DE102016205187B4 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US14/672,272 US9559283B2 (en) 2015-03-30 2015-03-30 Integrated circuit cooling using embedded peltier micro-vias in substrate
US14/672,272 2015-03-30
US14/850,993 2015-09-11
US14/850,993 US9941458B2 (en) 2015-03-30 2015-09-11 Integrated circuit cooling using embedded peltier micro-vias in substrate

Publications (2)

Publication Number Publication Date
DE102016205187A1 true DE102016205187A1 (en) 2016-10-06
DE102016205187B4 DE102016205187B4 (en) 2020-10-08

Family

ID=56937656

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102016205187.3A Active DE102016205187B4 (en) 2015-03-30 2016-03-30 Packaging of semiconductor units for cooling for integrated circuits with the aid of embedded Peltier micro-vias in a substrate and manufacturing process for a semiconductor substrate

Country Status (2)

Country Link
US (1) US9941458B2 (en)
DE (1) DE102016205187B4 (en)

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5032897A (en) 1990-02-28 1991-07-16 International Business Machines Corp. Integrated thermoelectric cooling
US5956569A (en) * 1997-10-24 1999-09-21 Taiwan Semiconductor Manufacturing Company Ltd. Integrated thermoelectric cooler formed on the backside of a substrate
US6043982A (en) 1998-04-01 2000-03-28 Raytheon Company Integrated circuit package having a thermoelectric cooling element therein
US6121539A (en) 1998-08-27 2000-09-19 International Business Machines Corporation Thermoelectric devices and methods for making the same
US6094919A (en) 1999-01-04 2000-08-01 Intel Corporation Package with integrated thermoelectric module for cooling of integrated circuits
US6727422B2 (en) 2000-09-18 2004-04-27 Chris Macris Heat sink/heat spreader structures and methods of manufacture
US6559538B1 (en) 2000-10-20 2003-05-06 Bae Systems Information And Electronic Systems Integration Inc. Integrated circuit device having a built-in thermoelectric cooling mechanism
US7589417B2 (en) * 2004-02-12 2009-09-15 Intel Corporation Microelectronic assembly having thermoelectric elements to cool a die and a method of making the same
US7250327B2 (en) 2004-06-30 2007-07-31 Intel Corporation Silicon die substrate manufacturing process and silicon die substrate with integrated cooling mechanism
EP1612870A1 (en) 2004-07-01 2006-01-04 Interuniversitair Microelektronica Centrum Vzw Method of manufacturing a thermoelectric generator and thermoelectric generator thus obtained
US20060168969A1 (en) * 2005-02-03 2006-08-03 Ligong Mei Compact high-performance thermoelectric device for air cooling applications
US8598700B2 (en) 2008-06-27 2013-12-03 Qualcomm Incorporated Active thermal control for stacked IC devices
US7893529B2 (en) 2009-01-12 2011-02-22 International Business Machines Corporation Thermoelectric 3D cooling
JP5367413B2 (en) 2009-03-02 2013-12-11 ラピスセミコンダクタ株式会社 Semiconductor device
CN101930954B (en) 2010-08-23 2012-02-15 北京大学 Radiating structure for SOI field effect transistor
US8441092B2 (en) 2010-12-06 2013-05-14 Stmicroelectronics Pte. Ltd. Thermoelectric cooler system, method and device
CN103794581B (en) 2012-10-29 2016-12-21 中芯国际集成电路制造(上海)有限公司 A kind of thermoelectric radiating device
US9620698B2 (en) * 2013-01-08 2017-04-11 Analog Devices, Inc. Wafer scale thermoelectric energy harvester
WO2014160033A1 (en) * 2013-03-14 2014-10-02 Gmz Energy Inc. Thermoelectric module with flexible connector
US9334793B1 (en) * 2014-11-06 2016-05-10 Novation Iq Llc Rotary engine having two rotors with intersecting pathways

Also Published As

Publication number Publication date
DE102016205187B4 (en) 2020-10-08
US9941458B2 (en) 2018-04-10
US20160293825A1 (en) 2016-10-06

Similar Documents

Publication Publication Date Title
DE102008049726B4 (en) Stacked chip configuration with current-fed heat transfer system and method for controlling the temperature in a semiconductor device
DE112011100484B4 (en) Heat dissipation structure of an SOI field effect transistor
DE112010004326B4 (en) A method of forming a semiconductor structure with a capacitor
DE102018205057A1 (en) STACKED NANOSHEET FIELD EFFECT TRANSISTOR WITH DIODE INSULATION
DE102013108946B4 (en) Semiconductor device
DE102010029526B4 (en) Semiconductor device with a stacked chip configuration with an integrated Peltier element
DE112006002909B4 (en) Layered wafer or die packaging with improved heat and component performance
DE102014100106A1 (en) THERMOELECTRIC ENERGY COLLECTORS ON WAFER LEVEL
DE102019121301A1 (en) HEAT EXHAUST STRUCTURES
DE102018107941B4 (en) Redistribution layer metal structure and method
DE112018007362T5 (en) STACKED TRANSISTORS WITH SI PMOS AND HIGH MOBILITY THIN FILM TRANSISTOR NMOS
DE102008063416B4 (en) Heat dissipation in temperature-critical component areas of semiconductor devices by heat conduction, which are connected to the substrate back
DE102016211222B3 (en) Transistor with multiple substrate gates
DE102015107240A1 (en) Thermoelectric energy collector on wafer scale
DE102014105790B4 (en) Semiconductor device with electrostatic discharge protection structure
DE102014222706B4 (en) Thermoelectric device on a chip
DE102022107308A1 (en) HEAT DISSIPATION STRUCTURES FOR SEMICONDUCTOR DEVICES AND MANUFACTURING METHODS
DE102014109489B4 (en) ELECTRICALLY CONDUCTIVE ELEMENT, SEMICONDUCTOR DEVICE WITH THE ELECTRICALLY CONDUCTIVE ELEMENT, AND METHOD OF MANUFACTURING A HEAT Sink
DE102016205187B4 (en) Packaging of semiconductor units for cooling for integrated circuits with the aid of embedded Peltier micro-vias in a substrate and manufacturing process for a semiconductor substrate
DE102006031539A1 (en) Integrated semiconductor chip with lateral thermal insulation
DE102014116262A1 (en) Semiconductor devices and methods for their manufacture
DE102014115694B4 (en) WAFER-SCALE THERMOELECTRIC ENERGY COLLECTOR
DE102018207670B4 (en) Fin-based devices based on the thermoelectric effect and manufacturing methods
DE102020120002A1 (en) HEAT DISSIPATION IN SEMI-CONDUCTOR DEVICES
DE102021106949B4 (en) TERMOELECTRIC STRUCTURE AND PROCESS

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R082 Change of representative

Representative=s name: LIFETECH IP SPIES & BEHRNDT PATENTANWAELTE PAR, DE

Representative=s name: SPIES & BEHRNDT PATENTANWAELTE PARTG MBB, DE

R082 Change of representative

Representative=s name: SPIES & BEHRNDT PATENTANWAELTE PARTG MBB, DE

R016 Response to examination communication
R018 Grant decision by examination section/examining division
R084 Declaration of willingness to licence
R020 Patent grant now final