DE102016115579A1 - Fanglayer substrate stacking technique for improving RF device performance - Google Patents

Fanglayer substrate stacking technique for improving RF device performance Download PDF

Info

Publication number
DE102016115579A1
DE102016115579A1 DE102016115579.9A DE102016115579A DE102016115579A1 DE 102016115579 A1 DE102016115579 A1 DE 102016115579A1 DE 102016115579 A DE102016115579 A DE 102016115579A DE 102016115579 A1 DE102016115579 A1 DE 102016115579A1
Authority
DE
Germany
Prior art keywords
substrate
layer
coupling structure
insulating layer
handling
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102016115579.9A
Other languages
German (de)
Other versions
DE102016115579B4 (en
Inventor
Kuo-Yu Cheng
Chih-Ping Chao
Shih-Shiung Chen
Kuan-Chi Tsai
Wei-Kung Tsai
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/051,197 external-priority patent/US9761546B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102016115579A1 publication Critical patent/DE102016115579A1/en
Application granted granted Critical
Publication of DE102016115579B4 publication Critical patent/DE102016115579B4/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • H01L23/5223Capacitor integral with wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • H01L23/5225Shielding layers formed together with wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5227Inductive arrangements or effects of, or between, wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/552Protection against radiation, e.g. light or electromagnetic waves

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Thin Film Transistor (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

Einige Ausführungsformen der vorliegenden Offenbarung sind an eine Vorrichtung gerichtet. Die Vorrichtung umfasst ein Substrat, das eine über einer Isolierschicht angeordnete Siliziumschicht umfasst. Das Substrat umfasst eine Transistorvorrichtungsregion und eine Hochfrequenz-(RF)-Region. Eine Kopplungsstruktur ist über dem Substrat angeordnet und umfasst mehrere innerhalb einer dielektrischen Struktur angeordnete Metallschichten. Ein Handhabungssubstrat ist über einer oberen Fläche der Kopplungsstruktur angeordnet. Eine Fangschicht trennt die Kopplungsstruktur und das Handhabungssubstrat.Some embodiments of the present disclosure are directed to an apparatus. The device comprises a substrate which comprises a silicon layer arranged above an insulating layer. The substrate includes a transistor device region and a radio frequency (RF) region. A coupling structure is disposed over the substrate and includes a plurality of metal layers disposed within a dielectric structure. A handling substrate is disposed over an upper surface of the coupling structure. A trapping layer separates the coupling structure and the handling substrate.

Description

BEZUGNAHME AUF ZUGEHÖRIGE ANMELDUNGREFERENCE TO RELATED APPLICATION

Die vorliegende Anmeldung beansprucht die Priorität gegenüber der vorläufigen US-Anmeldung Nummer 62/243,442 eingereicht am 19. Oktober 2015, deren Inhalte durch Bezugnahme vollständig aufgenommen werden.The present application claims priority over US Provisional Application No. 62 / 243,442, filed Oct. 19, 2015, the contents of which are fully incorporated by reference.

ALLGEMEINER STAND DER TECHNIKGENERAL PRIOR ART

Integrierte Schaltungen werden auf Halbleitersubstraten gebildet und gepackt, um sogenannte Chips oder Mikrochips zu bilden. Traditionell werden integrierte Schaltungen auf Volumenhalbleitersubstraten gebildet, die Halbleitermaterial, wie Silizium umfassen. In den letzten Jahren sind Halbleiter-auf-Isolator-(SOI)-Substrate als Alternative aufgekommen. SOI-Substrate weisen eine dünne Schicht aus aktivem Halbleiter (z. B. Silizium) auf, die von einem darunterliegenden Handhabungssubstrat durch eine Schicht aus Isoliermaterial getrennt ist. Die Schicht aus Isoliermaterial isoliert die dünne Schicht aus aktivem Halbleiter elektrisch vom Handhabungssubstrat, wodurch ein Stromverlust von Vorrichtungen, die innerhalb der dünnen Schicht aus aktivem Halbleiter gebildet sind, reduziert wird. Die dünne Schicht aus aktivem Halbleiter stellt zudem andere Vorteile wie schnellere Schaltzeiten und niedrigere Betriebsspannungen bereit, die dazu führten, dass SOI-Substrate zur Herstellung von hohen Stückzahlen von Hochfrequenz-(RF)-Systemen wie RF-Umschaltern weit verbreitet sind.Integrated circuits are formed on semiconductor substrates and packaged to form so-called chips or microchips. Traditionally, integrated circuits are formed on bulk semiconductor substrates comprising semiconductor material such as silicon. Semiconductor-on-insulator (SOI) substrates have come up as an alternative in recent years. SOI substrates comprise a thin layer of active semiconductor (eg, silicon) that is separated from an underlying handling substrate by a layer of insulating material. The layer of insulating material electrically isolates the thin layer of active semiconductor from the handling substrate, thereby reducing current leakage of devices formed within the thin layer of active semiconductor. The thin layer of active semiconductor also provides other benefits such as faster switching times and lower operating voltages, which has resulted in SOI substrates being widely used to make high volume radio frequency (RF) systems such as RF switches.

KURZE BESCHREIBUNG DER ZEICHNUNGENBRIEF DESCRIPTION OF THE DRAWINGS

Aspekte der vorliegenden Offenbarung werden aus der folgenden ausführlichen Beschreibung am besten verstanden, wenn sie mit den begleitenden Figuren gelesen werden. Es ist zu beachten, dass gemäß der branchenüblichen Praxis verschiedene Merkmale nicht maßstäblich gezeichnet sind. Tatsächlich können die Dimensionen der verschiedenen Merkmale zur Übersichtlichkeit der Erörterung willkürlich vergrößert oder reduziert sein.Aspects of the present disclosure will be best understood from the following detailed description when read with the accompanying figures. It should be noted that, according to industry practice, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.

1A veranschaulicht eine Querschnittansicht von einigen Ausführungsformen einer Vorrichtung gemäß einigen Aspekten der vorliegenden Offenbarung. 1A FIG. 12 illustrates a cross-sectional view of some embodiments of a device according to some aspects of the present disclosure. FIG.

1B veranschaulicht eine vergrößerte Querschnittansicht eines Abschnitts von 1A gemäß einigen Ausführungsformen. 1B illustrates an enlarged cross-sectional view of a portion of 1A according to some embodiments.

Die 2 bis 13 veranschaulichen einige Ausführungsformen von Querschnittansichten, die ein Verfahren zum Bilden eines IC bei verschiedenen Stufen der Herstellung zeigen.The 2 to 13 Figure 12 illustrates some embodiments of cross-sectional views illustrating a method of forming an IC at various stages of fabrication.

14 veranschaulicht ein Ablaufdiagramm von einigen Ausführungsformen eines Verfahrens zum Bilden einer Vorrichtung gemäß einigen Ausführungsformen. 14 FIG. 12 illustrates a flowchart of some embodiments of a method of forming a device according to some embodiments.

AUSFÜHRLICHE BESCHREIBUNGDETAILED DESCRIPTION

Die folgende Offenbarung stellt viele unterschiedliche Ausführungsformen oder Beispiele bereit, um unterschiedliche Merkmale des bereitgestellten Gegenstandes zu implementieren. Es werden nachfolgend spezielle Beispiele von Komponenten und Anordnungen beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind natürlich lediglich Beispiele und sollen nicht begrenzen. Beispielsweise kann die Bildung eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung Ausführungsformen umfassen, bei denen die ersten und zweiten Merkmale in direktem Kontakt gebildet sind, und auch Ausführungsformen, bei denen zusätzliche Funktionen zwischen den ersten und zweiten Merkmalen gebildet sein können, sodass die ersten und zweiten Merkmale nicht in direktem Kontakt sein können. Außerdem kann die vorliegende Offenbarung Bezugsnummern und/oder -zeichen in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient zum Zweck der Einfachheit und Übersichtlichkeit und diktiert nicht an sich eine Beziehung zwischen den verschiedenen beschriebenen Ausführungsformen und/oder Konfigurationen.The following disclosure provides many different embodiments or examples to implement different features of the provided subject matter. Specific examples of components and arrangements will be described below to simplify the present disclosure. Of course these are just examples and should not be limiting. For example, the formation of a first feature over or on a second feature in the following description may include embodiments in which the first and second features are formed in direct contact, and also embodiments in which additional functions may be formed between the first and second features so that the first and second features can not be in direct contact. In addition, the present disclosure may repeat reference numerals and / or characters in the various examples. This repetition is for the sake of simplicity and clarity and does not in itself dictate a relationship between the various described embodiments and / or configurations.

Weiter können räumlich relative Begriffe, wie „darunter”, „unter”, „untere”, „über”, „obere” und dergleichen zur Erleichterung der Erörterung hierin verwendet sein, um die Beziehung eines Elements oder Merkmals zu einem bzw. zu anderen Elementen oder Merkmalen wie veranschaulicht in den Figuren zu beschreiben. Die räumlich relativen Begriffe sollen zusätzlich zu der Ausrichtung, die in den Figuren gezeigt ist, verschiedene Ausrichtungen der Vorrichtung bei der Verwendung oder beim Betrieb der Vorrichtung umfassen. Die Vorrichtung kann anderweitig ausgerichtet sein (um 90 Grad gedreht oder in anderen Ausrichtungen) und die hier verwendeten räumlichen relativen Beschreiber können desgleichen dementsprechend interpretiert werden.Further, spatially relative terms such as "below," "below," "below," "above," "upper," and the like may be used herein to facilitate discussion of the relationship of an element or feature to one or more other elements or to describe features as illustrated in the figures. The spatially relative terms, in addition to the orientation shown in the figures, are intended to encompass different orientations of the device in use or operation of the device. The device may be otherwise oriented (rotated 90 degrees or in other orientations) and the spatial relative descriptors used herein may be interpreted accordingly.

RF-Halbleitervorrichtungen, die typischerweise auf Halbleiter-auf-Isolator-(SOI)-Substraten hergestellt werden, arbeiten bei hohen Frequenzen und erzeugen RF-Signale. Für diese RF-Vorrichtungen umfassen die SOI-Substrate typischerweise ein hochohmiges Handhabungssubstrat, eine Isolierschicht über dem Handhabungssubstrat und eine über der Isolierschicht angeordnete Halbleiterschicht. Das hochohmige Handhabungssubstrat weist eine niedrige Dotierungskonzentration auf und kann beispielsweise einen Widerstand im Bereich von 2 Kiloohm-Zentimeter (kΩ-cm)) bis 8 kΩ-cm aufweisen. Der hohe Widerstand des Handhabungssubstrats kann die Hochfrequenz-(RF)-Leistung der RF-Vorrichtungen in mancher Hinsicht verbessern, aber eine Beurteilung in der vorliegenden Offenbarung liegt in der Tatsache, dass das hochohmige Handhabungssubstrat immer noch eine Quelle von Wirbelströmen sein kann, wenn Träger aus dem Gitter des hochohmigen Handhabungssubstrats durch die RF-Signale befreit werden. Diese Wirbelströme, die hohe Frequenzen aufweisen können, stellen im endgültigen Chip eine Rauschquelle dar. Insbesondere können diese Wirbelströme zu Vorrichtungsübersprechen und/oder nicht linearer Signalverzerrung führen.RF semiconductor devices, typically fabricated on semiconductor on insulator (SOI) substrates, operate at high frequencies and generate RF signals. For these RF devices, the SOI substrates typically include a high resistance handle substrate, an insulating layer over the handle substrate, and a semiconductor layer disposed over the insulating layer. The high-resistance handling substrate has a low doping concentration and may, for example, have a resistance in the range of 2 kiloohm centimeters (kΩ-cm) to 8 kΩ-cm. The high resistance of the handling substrate can improve the high frequency (RF) performance of the RF devices in some respects, but one judgment in the present disclosure resides in the fact that the high resistance handling substrate can still be a source of eddy currents when carriers are removed from the high impedance grid Handling substrate are freed by the RF signals. These eddy currents, which may have high frequencies, are a source of noise in the final chip. In particular, these eddy currents may result in device crosstalk and / or non-linear signal distortion.

Um ein solches Übersprechen und eine solche nicht lineare Signalverzerrung zu verhindern, schlägt die vorliegende Offenbarung vor, die RF-Vorrichtungen auf einem SOI-Substrat herzustellen, das ein Handhabungssubstrat, eine Schicht aus Isoliermaterial und eine aktive Halbleiterschicht umfasst. Anstatt das vorhandene Handhabungssubstrat in der endgültigen Vorrichtung zu hinterlassen, entfernt das Herstellungsverfahren jedoch das Handhabungssubstrat von der Unterseite der Isolierschicht vor der Endverpackung der Vorrichtung, sodass das Handhabungssubstrat nicht mehr vorhanden ist und nicht mehr als eine Quelle von Wirbelströmen agiert.In order to prevent such crosstalk and non-linear signal distortion, the present disclosure proposes to fabricate the RF devices on an SOI substrate comprising a handle substrate, a layer of insulating material, and an active semiconductor layer. However, instead of leaving the existing handling substrate in the final device, the manufacturing process removes the handling substrate from the bottom of the insulating layer prior to the final packaging of the device so that the handling substrate is no longer present and no longer acts as a source of eddy currents.

Unter Bezugnahme auf 1A ist eine Querschnittansicht von einigen Ausführungsformen einer Vorrichtung 100 gemäß der vorliegenden Offenbarung veranschaulicht. Die Vorrichtung 100 umfasst ein erstes Substrat 106, eine Kopplungsstruktur 112, die über dem ersten Substrat 106 angeordnet ist, und ein zweites Substrat 122, das über der Kopplungsstruktur 112 angeordnet ist. Das erste Substrat 106 umfasst eine Isolierschicht 110 und eine aktive Halbleiterschicht 108; und die Kopplungsstruktur 112 umfasst mehrere Metallschichten (z. B. 114a114e), die innerhalb einer dielektrischen Struktur 116 angeordnet sind. Ein oder mehrere aktive Komponenten wie Metalloxidhalbleiter-Feldeffekttransistoren (MOSFETs) 111 sind in oder über einer Transistorzone 102 des ersten Substrats 106 angeordnet und ein oder mehrere passive Komponenten wie Induktor 128, Kondensator 130 und/oder Widerstand 131 sind über einer RF-Region 104 des ersten Substrats 106 angeordnet. Eine Substratdurchkontaktierung (TSV) 118 erstreckt sich vertikal durch die Halbleiterschicht 108 und durch die Isolierschicht 110. Die TSV 118 koppelt eine Metallschicht (z. B. 114a, 114b, 114c, ...) elektrisch mit einer Kontaktstelle 120 auf einer unteren Fläche der Isolierschicht 110. Eine Fläche der Kontaktstelle 120 kann durch eine Packaging- oder Formschicht 121 freigelegt bleiben, wodurch ermöglicht wird, dass die Vorrichtung 100 an einer Leiterplatte oder einem anderen Chip über Lötkontakthügel, Drahtanschlüsse usw. befestigt wird, sodass die Leiterplatte oder der andere Chip elektrisch mit aktiven und/oder passiven Komponenten auf der Vorrichtung 100 gekoppelt werden kann.With reference to 1A FIG. 12 is a cross-sectional view of some embodiments of a device. FIG 100 illustrated in accordance with the present disclosure. The device 100 includes a first substrate 106 , a coupling structure 112 that over the first substrate 106 is arranged, and a second substrate 122 that over the coupling structure 112 is arranged. The first substrate 106 includes an insulating layer 110 and an active semiconductor layer 108 ; and the coupling structure 112 includes several metal layers (eg 114a - 114e ), which are inside a dielectric structure 116 are arranged. One or more active components, such as metal oxide semiconductor field effect transistors (MOSFETs) 111 are in or over a transistor zone 102 of the first substrate 106 arranged and one or more passive components such as inductor 128 , Capacitor 130 and / or resistance 131 are over an RF region 104 of the first substrate 106 arranged. A substrate via (TSV) 118 extends vertically through the semiconductor layer 108 and through the insulating layer 110 , The TSV 118 couples a metal layer (eg 114a . 114b . 114c , ...) electrically with a contact point 120 on a lower surface of the insulating layer 110 , An area of the contact point 120 can through a packaging or molding layer 121 remain exposed, thereby allowing the device 100 is attached to a printed circuit board or other chip via solder bumps, wire leads, etc., so that the circuit board or other chip is electrically connected to active and / or passive components on the device 100 can be coupled.

Insbesondere weist das erste Substrat 106 ein Nichtvorhandensein eines Handhabungssubstrats unter der Isolierschicht 110 auf und daher ist die Kontaktstelle 120 bei einigen Ausführungsformen in direktem Kontakt mit der unteren Fläche der Isolierschicht 110. Es wird nachfolgend offensichtlicher, dass mit Bezugnahme auf die 2 bis 13 die Vorrichtung 100 beispielsweise durch einen Prozess hergestellt werden kann, bei dem das erste Substrat 106 anfänglich ein SOI-Wafer ist, der die Halbleiterschicht 108, Isolierschicht 110 und ein Handhabungssubstrat unter der Isolierschicht 110 umfasst. Bei der endgültigen Vorrichtung, wie sie beispielsweise in 1A gezeigt ist, wurde jedoch das darunterliegende Handhabungssubstrat entfernt, um zu verhindern, dass das darunterliegende Handhabungssubstrat während des Vorrichtungsbetriebs als eine Wirbelstromquelle agiert. Da die Isolierschicht 110 isolierend (und daher für Wirbelströme nicht anfällig) ist, beseitigt das Entfernen des darunterliegenden Handhabungssubstrats eine Quelle von problematischen Wirbelströmen von der Unterseite des ersten Substrats 106. Daher kann die Vorrichtung 100 weniger Übersprechen und weniger Verzerrung aufweisen als konventionelle Vorrichtungen.In particular, the first substrate 106 an absence of a handling substrate under the insulating layer 110 on and therefore is the contact point 120 in some embodiments, in direct contact with the bottom surface of the insulating layer 110 , It will be more apparent below with reference to the 2 to 13 the device 100 for example, can be made by a process in which the first substrate 106 Initially, an SOI wafer is the semiconductor layer 108 , Insulating layer 110 and a handling substrate under the insulating layer 110 includes. In the final device, such as in 1A however, the underlying handling substrate has been removed to prevent the underlying handling substrate from acting as an eddy current source during device operation. As the insulating layer 110 insulating (and therefore not susceptible to eddy currents), removal of the underlying handling substrate eliminates a source of problematic eddy currents from the bottom of the first substrate 106 , Therefore, the device can 100 have less crosstalk and less distortion than conventional devices.

Um die verminderte Dicke und Struktursteifigkeit des ersten Substrats 106 aufgrund des Entfernens des darunterliegenden Handhabungssubstrats auszugleichen und eine ausreichende Dicke vorzusehen, um ein Package hinreichend auszufüllen und strukturelle Unterstützung während der Herstellung bereitzustellen, ist ein Handhabungssubstrat 124 über einer oberen Fläche 112u der Kopplungsstruktur 112 angeordnet. Eine optionale, aber vorteilhafte Fangschicht 126 kann die Kopplungsstruktur 112 vom Handhabungssubstrat 124 trennen. Die Fangschicht 126 ist konfiguriert, Träger zu fangen, die durch RF-Komponenten (z. B. Induktor 128 und/oder Kondensator 130) erregt werden, um Wirbelströme im Handhabungssubstrat 124 zu begrenzen. Als Beispiel sei ein Fall herangezogen, bei dem der Induktor 128 und/oder der Kondensator 130 individuell oder gemeinsam ein RF-Signal erzeugen, das im Handhabungssubstrat 124 zu einem gewissen Ausmaß Träger erregen kann, wenn eine geeignete Vorspannung angelegt wird. Die Fangschicht 126 ist konfiguriert, diese Träger zu fangen, um entsprechende Wirbelströme zu begrenzen. Die Fangschicht 126 kann sich bei einigen Ausführungsformen als dotiertes oder undotiertes Polysilizium oder als eine amorphe Siliziumschicht manifestieren. Die Fangschicht 126 kann mit dem Handhabungssubstrat 124 an einer Grenzfläche zusammentreffen, die in einigen Fällen Erhebungen und Vertiefungen aufweist, in anderen Fällen im Wesentlichen planar ist oder in anderen Fällen generell aufgeraut ist.To the reduced thickness and structural rigidity of the first substrate 106 due to the removal of the underlying handling substrate and to provide sufficient thickness to adequately fill a package and provide structural support during manufacture is a handling substrate 124 over an upper surface 112u the coupling structure 112 arranged. An optional but advantageous trapping layer 126 can the coupling structure 112 from the handling substrate 124 separate. The catch layer 126 is configured to trap carriers caused by RF components (eg, inductor 128 and / or capacitor 130 ) to generate eddy currents in the handling substrate 124 to limit. As an example, a case is used in which the inductor 128 and / or the capacitor 130 individually or jointly generate an RF signal in the handling substrate 124 to a certain extent can excite carriers when an appropriate bias voltage is applied. The catch layer 126 is configured to capture these carriers to limit corresponding eddy currents. The catch layer 126 may manifest as doped or undoped polysilicon or as an amorphous silicon layer in some embodiments. The catch layer 126 can with the handling substrate 124 meet at an interface, which in some cases has peaks and valleys, in other cases is substantially planar or in other cases generally roughened.

1B zeigt einige Ausführungsformen, bei denen die Fangschicht 126 aus Polysilizium hergestellt ist und mehrere Korngrenzen 132 aufweist. Die Korngrenzen 132 sind Dislokationen oder Fehler wo die Atome der Fangschicht 126 innerhalb des Kristallgitters am falschen Platz oder fehlausgerichtet sind. Die Korngrenzen 132 agieren als Rekombinationszentren, die konfiguriert sind, Träger zu fangen (z. B. Träger aus dem Handhabungssubstrat 124). Sobald die Träger innerhalb der Rekombinationszentren gefangen sind, ist ihre Lebensdauer verringert. Daher wird durch Fangen von Trägern innerhalb der Korngrenzen 132 der Fangschicht 126 das Ansammeln von Trägern entlang einer unteren Fläche des Handhabungssubstrats 124 im Wesentlichen reduziert, was Wirbelströme, Übersprechen und nichtlineare Verzerrung während des Betriebs der Vorrichtung 100 abschwächt. 1B shows some embodiments where the trapping layer 126 made of polysilicon and multiple grain boundaries 132 having. The grain boundaries 132 are dislocations or mistakes where the atoms of the trapping layer 126 within the crystal lattice in the wrong place or misaligned. The grain boundaries 132 act as recombination centers configured to capture carriers (e.g., carriers from the handling substrate 124 ). Once the carriers are trapped within the recombination centers, their lifetime is reduced. Therefore, by trapping carriers within the grain boundaries 132 the catch layer 126 the accumulation of carriers along a lower surface of the handling substrate 124 essentially reduces eddy currents, crosstalk and nonlinear distortion during device operation 100 weakens.

Bei einigen Ausführungsformen umfasst eine Grenzfläche zwischen dem Handhabungssubstrat 124 und der Fangschicht 126 eine Reihe von Erhebungen 134 und Vertiefungen 136, die ein Sägezahnprofil bilden können. Die Erhebungen 134 und Vertiefungen 136 erleichtern geringere Korngrößen und erleichtern daher mehr Korngrenzen in der Nähe der oberen Fläche des Handhabungssubstrats 124. Daher werden die meisten Träger an den Korngrenzen 132 gefangen, um Wirbelströme abzuschwächen und/oder zu verhindern. Die Erhebungen 134 und/oder Vertiefungen 136 können unter anderem dreieckigförmig, pyramidenförmig oder kegelförmig sein. Bei einigen Ausführungsformen können die Erhebungen 134 eine Höhe h im Bereich von ca. 10 nm bis zu ca. 1 μm wie gemessen von der Basis einer angrenzenden Vertiefung (oder einer entfernteren Vertiefung) aufweisen und bei einigen Ausführungsformen ca. 0,5 μm betragen. Die Erhebungen 134 können auch eine Breite w im Bereich von ca. 10 nm bis ca. 10 μm aufweisen und bei einigen Ausführungsformen ca. 1 μm betragen. Bei anderen Ausführungsformen können die Erhebungen 134 wie veranschaulicht in einer Spitze enden anstatt abgeflacht zu sein und/oder können abgerundet sein. Anstatt wie veranschaulicht in einer Spitze zu enden, können die Vertiefungen 136 bei anderen Ausführungsformen flach oder gerundet sein. Bei einigen Ausführungsformen können angrenzende Erhebungen die gleichen Höhen und/oder Breiten zueinander aufweisen (angrenzende Vertiefungen können auch die gleichen Tiefen und/oder Breiten zueinander aufweisen), aber Erhebungen können bei anderen Ausführungsformen auch unterschiedliche Höhen und/oder unterschiedliche Breiten zueinander aufweisen (und Vertiefungen können unterschiedliche Tiefen und/oder Breiten aufweisen). In einigen Fällen folgen die Erhebungen und/oder Vertiefungen einer Zufallsverteilung von Höhen und/oder Breiten, folgen einer Gaußschen Verteilung von Höhen und/oder Breiten oder folgen einer anderen Verteilung.In some embodiments, an interface between the handle substrate 124 and the catch layer 126 a series of surveys 134 and depressions 136 that can form a sawtooth profile. The surveys 134 and depressions 136 facilitate smaller grain sizes and therefore facilitate more grain boundaries near the top surface of the handling substrate 124 , Therefore, most carriers are at the grain boundaries 132 trapped to mitigate and / or prevent eddy currents. The surveys 134 and / or depressions 136 may be triangular, pyramidal or conical, among others. In some embodiments, the bumps 134 a height h in the range of about 10 nm to about 1 μm as measured from the base of an adjacent well (or a more remote well) and in some embodiments about 0.5 μm. The surveys 134 may also have a width w in the range of about 10 nm to about 10 microns and in some embodiments be about 1 micron. In other embodiments, the elevations 134 as illustrated, ends in a peak rather than being flattened and / or may be rounded. Instead of ending up in a tip as illustrated, the wells can 136 be flat or rounded in other embodiments. In some embodiments, adjacent protrusions may have the same heights and / or widths to one another (adjacent recesses may also have the same depths and / or widths to each other), but in other embodiments, protrusions may also have different heights and / or different widths to each other (and recesses can have different depths and / or widths). In some cases, the bumps and / or pits follow a random distribution of heights and / or widths, follow a Gaussian distribution of heights and / or widths, or follow a different distribution.

Vorteilhafterweise stellt die Einbindung des Handhabungssubstrats 124 über der Kopplungsstruktur 112 eine erhöhte Struktursteifigkeit bereit, um das Nichtvorhandensein eines Handhabungssubstrats unter der Isolierschicht 110 auszugleichen. Außerdem reduziert die Fangschicht 126 vorteilhafterweise Wirbelströme als potenzielle Quelle von Rauschen im Handhabungssubstrat 124 und ist, obwohl optional, für viele Anwendungen vorteilhaft.Advantageously, the integration of the handling substrate 124 above the coupling structure 112 increased structural rigidity to prevent the absence of a handling substrate under the insulating layer 110 compensate. It also reduces the catch layer 126 advantageously eddy currents as a potential source of noise in the handling substrate 124 and, although optional, is advantageous for many applications.

Unter Bezugnahme auf die 2 bis 13 stellen eine Reihe von Querschnittansichten gemeinsam ein Verfahren zum Herstellen einer Vorrichtung gemäß einigen Ausführungsformen dar.With reference to the 2 to 13 For example, a series of cross-sectional views together illustrate a method of making a device according to some embodiments.

2 veranschaulicht eine Querschnittansicht von einigen Ausführungsformen eines Vorsehens eines SOI-Substrats 106'. Wie veranschaulicht, durch 2 ist das SOI-Substrat 106' ein Halbleiter-auf-Isolator-(SOI)-Substrat, das ein Handhabungssubstrat 202, eine Isolierschicht 110, die über dem Handhabungssubstrat 202 angeordnet ist, und eine Halbleiterschicht 108, die über der Isolierschicht 110 angeordnet ist, umfasst. In vielen Fällen kann das SOI-Substrat 106' die Form eines scheibenähnlichen Wafers annehmen. Solch ein Wafer kann beispielsweise einen Durchmesser von 1 Zoll (25 mm); 2 Zoll (51 mm); 3 Zoll (76 mm); 4 Zoll (100 mm); 5 Zoll (130 mm) oder 125 mm (4,9 Zoll); 150 mm (5,9 Zoll, gewöhnlich als „6 Zoll” bezeichnet); 200 mm (7,9 Zoll, gewöhnlich als „8 Zoll” bezeichnet); 300 mm (11,8 Zoll, gewöhnlich als „12 Zoll” bezeichnet); oder 450 mm (17,7 Zoll, gewöhnlich als „18 Zoll” bezeichnet); aufweisen. 2 FIG. 12 illustrates a cross-sectional view of some embodiments of providing an SOI substrate. FIG 106 ' , As illustrated by 2 is the SOI substrate 106 ' a semiconductor on insulator (SOI) substrate comprising a handle substrate 202 , an insulating layer 110 that over the handling substrate 202 is arranged, and a semiconductor layer 108 that over the insulating layer 110 is arranged comprises. In many cases, the SOI substrate 106 ' take the form of a wafer-like wafer. For example, such a wafer may have a diameter of 1 inch (25 mm); 2 inches (51 mm); 3 inches (76 mm); 4 inches (100 mm); 5 inches (130 mm) or 125 mm (4.9 inches); 150 mm (5.9 inches, commonly referred to as "6 inches"); 200 mm (7.9 inches, commonly referred to as "8 inches"); 300 mm (11.8 inches, commonly referred to as "12 inches"); or 450 mm (17.7 inches, commonly referred to as "18 inches"); exhibit.

Das Handhabungssubstrat 202 kann eine Dicke aufweisen, die ausreichend ist, um das SOI-Substrat 106' mit der ausreichenden Struktursteifigkeit auszustatten, um Halbleiterbearbeitungsvorgängen zu widerstehen. Bei einigen Ausführungsformen weist das Handhabungssubstrat 202 beispielsweise eine Dicke im Bereich von ca. 200 μm bis ca. 1000 μm auf und beträgt ca. 700 μm bei einigen Ausführungsformen. Bei Ausführungsbeispielen kann das Handhabungssubstrat 202 ein Siliziumhandhabungssubstrat mit niedrigem spezifischem Widerstand sein, das einen Widerstand zwischen mehreren Ohm-cm und mehreren zehn Ohm-cm und bei einigen Ausführungsformen zwischen 8 Ohm-cm und 12 Ohm-cm aufweist. Bei alternativen Ausführungsformen kann das Handhabungssubstrat 202 ein hochohmiges Siliziumhandhabungssubstrat mit einem Widerstand zwischen mehreren hundert und mehreren tausend Ohm-cm sein und bei einigen Ausführungsformen zwischen 2 kΩ-cm bis 8 kΩ-cm liegen. Obwohl entweder ein hochohmiges oder niederohmiges Siliziumsubstrat verwendet werden kann, ist es vorteilhaft, niederohmige Siliziumsubstrate zu verwenden, da niederohmige Siliziumsubstrate billig sind und ein größerer spezifischer Widerstand keine signifikanten Vorteile bietet, da das Handhabungssubstrat 202 bei diesem Herstellungsverfahren entfernt wird. Andere Handhabungssubstrate wie Saphirsubstrate können auch verwendet werden.The handling substrate 202 may have a thickness sufficient to the SOI substrate 106 ' to provide sufficient structural stiffness to withstand semiconductor processing operations. In some embodiments, the handling substrate 202 For example, a thickness in the range of about 200 microns to about 1000 microns and is about 700 microns in some embodiments. In embodiments, the handling substrate 202 a low resistivity silicon handling substrate having a resistance of between several ohm-cm and several tens of ohm-cm, and in some embodiments, between 8 ohm-cm and 12 ohm-cm. In alternative embodiments, the handling substrate 202 be a high resistance silicon handling substrate with a resistance between several hundred and several thousand ohm-cm, and in some embodiments, between 2 kΩ-cm to 8 kΩ-cm. Although either a high-resistance or low-resistance silicon substrate may be used, it is advantageous to use low-resistance silicon substrates because low-resistance silicon substrates are cheap and greater resistivity is not significant Offers advantages, since the handling substrate 202 is removed in this manufacturing process. Other handling substrates such as sapphire substrates may also be used.

Bei einigen Ausführungsformen kann die Isolierschicht 110 eine Dicke im Bereich von kleiner als ein Mikrometer bis zu mehreren Mikrometern aufweisen, was ausreichend ist, um eine Potenzialtrennung zwischen dem Handhabungssubstrat 202 und der Halbleiterschicht 108 bereitzustellen. Bei einigen Ausführungsformen kann die Isolierschicht 110 Siliziumdioxid sein, das eine Dielektrizitätskonstante von ca. 3,9 aufweist. Bei anderen Ausführungsformen kann die Isolierschicht 110 ein Low-κ-Dielektrikum sein. Nicht begrenzende Beispiele von Low-κ-Dielektrikum umfassen, sind aber nicht beschränkt auf: fluordotiertes Siliziumdioxid, kohlenstoffdotiertes Siliziumdioxid, poröses Siliziumdioxid, poröses kohlenstoffdotiertes Siliziumdioxid, aufgeschleuderte organische polymere Dielektrika und/oder aufgeschleudertes siliziumbasiertes polymeres Dielektrikum.In some embodiments, the insulating layer 110 have a thickness ranging from less than one micron to several microns, which is sufficient to provide potential separation between the handling substrate 202 and the semiconductor layer 108 provide. In some embodiments, the insulating layer 110 Be silica, which has a dielectric constant of about 3.9. In other embodiments, the insulating layer 110 a low-k dielectric. Non-limiting examples of low κ dielectric include, but are not limited to: fluorine doped silica, carbon doped silica, porous silica, porous carbon doped silica, spin on organic polymeric dielectrics, and / or spin on silicon based polymeric dielectric.

Bei einigen Ausführungsformen ist die Halbleiterschicht 108 eine Schicht aus reinem Silizium, das eine monokristalline Gitterstruktur aufweisen und intrinsisch (z. B. undotiert) oder ein dotierter p-Typ oder n-Typ sein kann. Die Halbleiterschicht 108 kann bei einigen Ausführungsformen eine Dicke im Bereich von mehreren Mikrometern bis hinunter zu ca. einem Nanometer aufweisen. Die Halbleiterschicht 108 kann auch eine Halbleiterverbindung sein, die aus Elementen von zwei oder mehr unterschiedlichen Gruppen des Periodensystems hergestellt ist. Die Elemente können Zweistofflegierungen (zwei Elemente, z. B. GaAs), Dreistofflegierungen (drei Elemente, z. B. InGaAs oder AlGaAs) oder Vierstofflegierungen (vier Elemente, z. B. AlInGaP) bilden. Die Halbleiterschicht 108 kann dotierte Regionen, Epitaxieschichten, Isolierschichten, die in oder auf der Halbleiterschicht gebildet sind, Fotolackschichten, die in oder auf der Halbleiterschicht gebildet sind, und/oder leitenden Schichten, die in oder auf der Halbleiterschicht gebildet sind, umfassen.In some embodiments, the semiconductor layer is 108 a layer of pure silicon which has a monocrystalline lattice structure and may be intrinsic (e.g., undoped) or a doped p-type or n-type. The semiconductor layer 108 In some embodiments, it may have a thickness in the range of several microns down to about one nanometer. The semiconductor layer 108 may also be a semiconductor compound made up of elements of two or more different groups of the periodic table. The elements may be binary alloys (two elements, eg GaAs), ternary alloys (three elements, eg InGaAs or AlGaAs) or quad alloys (four elements, eg AlInGaP). The semiconductor layer 108 For example, doped regions, epitaxial layers, insulating layers formed in or on the semiconductor layer may include photoresist layers formed in or on the semiconductor layer and / or conductive layers formed in or on the semiconductor layer.

In 3 werden aktive Komponenten wie MOSFETS 111 und/oder andere Feldeffekttransistoren (FETs) in oder über einer Transistorzone 102 der Halbleiterschicht 108 gebildet. Eine flache Grabenisolation-(STI)-Region 117 wird gebildet, in der Isoliermaterial eine Insel des Materials der Halbleiterschicht 108 umgibt. Eine Gateelektrode 123 wird gebildet, Seitenwandabstandselemente 125 werden auf gegenüberliegenden Seitenwänden der Gateelektrode 123 gebildet und Source/Drain-Regionen 119 werden auf gegenüberliegenden Seiten der Seitenwandabstandselemente 125 gebildet. Ein Gatedielektrikum 127 trennt die Gateelektrode 123 von einer Kanalregion in der Halbleiterschicht, welche die Source/Drain-Regionen 119 trennt. Bei einigen Ausführungsformen umfasst die Gateelektrode 123 Polysilizium oder Metall, die Seitenwandabstandselemente 125 umfassen Siliziumnitrid und das Gatedielektrikum 127 umfasst Siliziumdioxid oder High-κ-Dielektrikum. Obwohl nicht veranschaulicht, können die Transistoren 111 auch andere Formen wie finFET-Vorrichtungen, Bipolartransistoren, Floating-Gate-Transistoren usw. annehmen. Ein Widerstand 131, der beispielsweise aus Polysilizium 135 hergestellt werden kann und von der Halbleiterschicht 108 mittels dem Gatedielektrikum und/oder einem anderen Dielektrikum 129 isoliert werden kann, kann in der RF-Region 104 gebildet werden. Eine Dielektrikumschicht 133 erstreckt sich über obere Flächen der Gateelektroden 123 und Source/Drain-Regionen 119. Die Dielektrikumschicht 133 kann ein Low-κ-Dielektrikum oder Siliziumdioxid umfassen.In 3 become active components like MOSFETs 111 and / or other field effect transistors (FETs) in or over a transistor zone 102 the semiconductor layer 108 educated. A shallow trench isolation (STI) region 117 is formed, in the insulating material, an island of the material of the semiconductor layer 108 surrounds. A gate electrode 123 is formed sidewall spacers 125 are on opposite side walls of the gate electrode 123 formed and source / drain regions 119 are on opposite sides of the sidewall spacers 125 educated. A gate dielectric 127 separates the gate electrode 123 from a channel region in the semiconductor layer which includes the source / drain regions 119 separates. In some embodiments, the gate electrode comprises 123 Polysilicon or metal, the sidewall spacers 125 include silicon nitride and the gate dielectric 127 includes silicon dioxide or high-k dielectric. Although not illustrated, the transistors can 111 Other forms such as finFET devices, bipolar transistors, floating gate transistors, etc. assume. A resistance 131 made of polysilicon, for example 135 can be made and of the semiconductor layer 108 by means of the gate dielectric and / or another dielectric 129 can be isolated in the RF region 104 be formed. A dielectric layer 133 extends over upper surfaces of the gate electrodes 123 and source / drain regions 119 , The dielectric layer 133 may comprise a low κ dielectric or silicon dioxide.

In 4 werden die Source/Drain-Kontakte 150 gebildet, um eine ohmsche Verbindung zu den Source/Drain-Regionen 119 durch die Dielektrikumschicht 133 vorzusehen, und Gatekontakte 152 werden gebildet, um eine ohmsche Verbindung zu oberen Flächen der Gateelektroden 123 vorzusehen. Bei einigen Ausführungsformen können die Source/Drain-Kontakte 150 und/oder Gatekontakte 152 beispielsweise Kupfer, Wolfram, Aluminium, Gold, Titan oder Titannitrid umfassen. Außerdem wird eine Substratdurchkontaktierung (TSV) 118 gebildet. Die veranschaulichte TSV 118 erstreckt sich nach unten durch die Dielektrikumschicht 133, durch die Halbleiterschicht 108 und durch die Isolierschicht 110. Bei anderen Ausführungsformen kann sich die TSV 118 auch nach unten teilweise oder vollständig durch das Handhabungssubstrat 202 erstrecken. Die TSV 118 kann beispielsweise aus Kupfer, Wolfram, Aluminium, Gold, Titan oder Titannitrid hergestellt werden und kann aus dem gleichen oder unterschiedlichem Material hergestellt werden wie die Source/Drain-Kontakte 150 und/oder die Gatekontakte 152. Die TSV 118 wird typischerweise durch eine getrennte Fotomaske und/oder getrenntes Ätzen gegenüber den Source/Drain-Kontakten und/oder Gatekontakten gebildet.In 4 become the source / drain contacts 150 formed to make an ohmic connection to the source / drain regions 119 through the dielectric layer 133 provide, and gate contacts 152 are formed to make an ohmic connection to upper surfaces of the gate electrodes 123 provided. In some embodiments, the source / drain contacts 150 and / or gate contacts 152 For example, copper, tungsten, aluminum, gold, titanium or titanium nitride include. In addition, a substrate via (TSV) 118 educated. The illustrated TSV 118 extends down through the dielectric layer 133 , through the semiconductor layer 108 and through the insulating layer 110 , In other embodiments, the TSV may 118 also down partially or completely through the handling substrate 202 extend. The TSV 118 can be made of, for example, copper, tungsten, aluminum, gold, titanium or titanium nitride, and can be made of the same or different material as the source / drain contacts 150 and / or the gate contacts 152 , The TSV 118 is typically formed by a separate photomask and / or separate etching from the source / drain contacts and / or gate contacts.

Wie veranschaulicht, durch 5 wird eine Kopplungsstruktur 112 über dem SOI-Substrat 106' gebildet. Die Kopplungsstruktur 112 wird durch Bilden einer ersten Dielektrikumschicht 154, wie eine Low-κ-Dielektrikumschicht, Nitrid- oder Siliziumdioxiddielektrikumschicht, und dann Bilden von einer oder mehreren Fotoresistmasken über der ersten Dielektrikumschicht 154 gebildet. Mit einer vorhandenen Fotoresistmaske wird ein Ätzen ausgeführt, um Grabenöffnungen und/oder Durchkontaktierungsöffnungen in der ersten Dielektrikumschicht 154 zu bilden. Dann wird Metall abgeschieden, um die Öffnungen in der ersten Dielektrikumschicht 154 zu füllen, wodurch Durchkontaktierungen und/oder Metallleitungen 156 entsprechend einer Metall-1-Schicht gebildet werden. Bei einigen Ausführungsformen wird Kupfer verwendet, um die Öffnungen in der ersten Dielektrikumschicht 154 derart zu füllen, dass Durchkontaktierungen und Metall-1-Leitungen aus Kupfer hergestellt werden. Bei Ausführungsformen, bei denen Kupfer verwendet wird, werden die Öffnungen typischerweise mit einer Diffusionssperrschicht ausgekleidet, dann wird eine Kupferbekeimungsschicht über der Diffusionssperrschicht gebildet und ein Elektroplattierverfahren verwendet, um Kupfer aufzubauen und die Öffnungen zu füllen. Die Diffusionssperrschicht weist typischerweise eine hohe elektrische Leitfähigkeit auf, um einen guten elektronischen Kontakt aufrechtzuerhalten, während eine ausreichend niedrige Kupferdiffusionsfähigkeit aufrechterhalten wird, um diese Kupferleiterfilme von darunterliegenden Strukturen ausreichend chemisch zu isolieren. Cobalt, Ruthenium, Tantal, Tantalnitrid, Indiumoxid, Wolframnitrid und Titannitrid sind einige nicht begrenzende Beispiele von Materialien, die für die Diffusionssperrschicht verwendet werden können. Nachdem das Metall gewachsen wurde, um die Öffnungen zu füllen, erfolgt ein chemisch-mechanisches Planarisieren-(CMP)-Arbeitsvorgang, um die erste Metallschicht und das erste Dielektrikum an der Ebene 154a zu planarisieren. Dann wird eine zweite Dielektrikumschicht 158 gebildet, Öffnungen werden in der zweiten Dielektrikumschicht 158 gebildet und Metall wird abgeschieden, um Durchkontaktierungen und Metall-2-Leitungen 160 zu bilden. Zusätzliche Dielektrikum- und Metallschichten werden auf diese Weise gebildet, bis die Kopplungsstruktur 112 gebildet ist. Wie veranschaulicht in 5 kann die Kopplungsstruktur 112 eine RF-Komponente wie Induktor 128 und/oder Kondensator 130 umfassen, die über einer RF-Region 104 des SOI-Substrats 106' gebildet wird.As illustrated by 5 becomes a coupling structure 112 over the SOI substrate 106 ' educated. The coupling structure 112 is formed by forming a first dielectric layer 154 such as a low κ dielectric layer, nitride or silicon dioxide dielectric layer, and then forming one or more photoresist masks over the first dielectric layer 154 educated. An etching is performed on an existing photoresist mask to form trench openings and / or via openings in the first dielectric layer 154 to build. Then, metal is deposited around the openings in the first dielectric layer 154 to fill, creating vias and / or metal lines 156 formed according to a metal 1 layer become. In some embodiments, copper is used to surround the openings in the first dielectric layer 154 to fill such that vias and metal-1 lines are made of copper. In embodiments where copper is used, the openings are typically lined with a diffusion barrier layer, then a copper seed layer is formed over the diffusion barrier layer and an electroplating process is used to build copper and fill the openings. The diffusion barrier layer typically has high electrical conductivity to maintain good electronic contact while maintaining a sufficiently low copper diffusion capability to sufficiently chemically isolate these copper conductor films from underlying structures. Cobalt, ruthenium, tantalum, tantalum nitride, indium oxide, tungsten nitride and titanium nitride are some non-limiting examples of materials that can be used for the diffusion barrier layer. After the metal has been grown to fill the openings, a chemical mechanical planarization (CMP) operation is performed around the first metal layer and the first dielectric at the plane 154a to planarize. Then, a second dielectric layer 158 formed openings are in the second dielectric layer 158 formed and metal is deposited to vias and metal 2 wires 160 to build. Additional dielectric and metal layers are formed in this manner until the coupling structure 112 is formed. As illustrated in 5 can the coupling structure 112 an RF component such as inductor 128 and / or capacitor 130 include that over an RF region 104 of the SOI substrate 106 ' is formed.

In 6 wird ein zweites Handhabungssubstrat 124' wie ein massiver Siliziumwafer vorgesehen. Das zweite Handhabungssubstrat 124' kann eine Dicke aufweisen, die zwischen 300 μm und 1000 μm liegt und bei einigen Ausführungsformen ca. 700 μm beträgt. Bei einigen Ausführungsformen kann das zweite Handhabungssubstrat 124' einen spezifischen Widerstand aufweisen, der größer ist als der des Handhabungssubstrats 202. Bei einigen Ausführungsformen, kann das zweite Handhabungssubstrat 124' beispielsweise einen spezifischen Widerstand, der zwischen mehreren hundert und mehreren tausend Ohm-cm liegt und bei einigen Ausführungsformen zwischen 2 kΩ-cm bis 8 kΩ-cm liegt, aufweisen, was dabei unterstützen kann, Wirbelströme in der endgültigen Vorrichtung zu reduzieren. In einigen Fällen ist das zweite Handhabungssubstrat 124' zur strukturellen Unterstützung vorgesehen und kann daher ein Nichtvorhandensein von Vorrichtungsmerkmalen und ein Nichtvorhandensein von Kopplungsstrukturmerkmalen bei einigen Ausführungsformen aufweisen. In vielen Fällen kann das zweite Handhabungssubstrat 124' die Form eines scheibenähnlichen Wafers annehmen. Solch ein Wafer kann beispielsweise einen Durchmesser von 1 Zoll (25 mm); 2 Zoll (51 mm); 3 Zoll (76 mm); 4 Zoll (100 mm); 5 Zoll (130 mm) oder 125 mm (4,9 Zoll); 150 mm (5,9 Zoll, gewöhnlich als „6 Zoll” bezeichnet); 200 mm (7,9 Zoll, gewöhnlich als „8 Zoll” bezeichnet); 300 mm (11,8 Zoll, gewöhnlich als „12 Zoll” bezeichnet); oder 450 mm (17,7 Zoll, gewöhnlich als „18 Zoll” bezeichnet) aufweisen; aufweisen; und weist häufig den gleichen Durchmesser wie das SOI-Substrat 106' auf.In 6 becomes a second handling substrate 124 ' as a massive silicon wafer provided. The second handling substrate 124 ' may have a thickness which is between 300 microns and 1000 microns and in some embodiments is about 700 microns. In some embodiments, the second handle substrate 124 ' have a resistivity greater than that of the handling substrate 202 , In some embodiments, the second handle substrate may be 124 ' For example, a resistivity of between several hundred and several thousand ohm-cm, and in some embodiments between 2 kΩ-cm to 8 kΩ-cm, may help to reduce eddy currents in the final device. In some cases, the second handling substrate is 124 ' for structural support and therefore may have an absence of device features and an absence of coupling features in some embodiments. In many cases, the second handling substrate 124 ' take the form of a wafer-like wafer. For example, such a wafer may have a diameter of 1 inch (25 mm); 2 inches (51 mm); 3 inches (76 mm); 4 inches (100 mm); 5 inches (130 mm) or 125 mm (4.9 inches); 150 mm (5.9 inches, commonly referred to as "6 inches"); 200 mm (7.9 inches, commonly referred to as "8 inches"); 300 mm (11.8 inches, commonly referred to as "12 inches"); or 450 mm (17.7 inches, commonly referred to as "18 inches");exhibit; and often has the same diameter as the SOI substrate 106 ' on.

In 7 wird eine obere Fläche des zweiten Handhabungssubstrats 124' geätzt, um Erhebungen 134 und Vertiefungen 136 zu bilden. Die Erhebungen 134 und Vertiefungen 136 werden hergestellt, indem zuerst eine Fotomaske (nicht gezeigt) verwendet wird, um eine Struktur auf der oberen Fläche zu definieren, und dann die obere Fläche einem Ätzmittel 702 ausgesetzt wird, um die obere Fläche mit Erhebungen und Vertiefungen rau zu machen. Bei anderen Ausführungsformen kann das zweite Handhabungssubstrat 124' beschädigt werden, indem die obere Fläche des zweiten Handhabungssubstrats 124' mechanisch beschädigt wird (z. B. Mikrokratzen, abrasives Strahlen usw.) oder durch Ausführen von Sputtern, einer Abscheidung oder selbstorganisierender Monoschicht. Bei einigen Ausführungsformen umfassen die Erhebungen und Vertiefungen sägezahnartig geformte Vorsprünge und entsprechende Vertiefungen, wobei Erhebungen und Vertiefungen der individuellen „Zähne” in gleichen Abständen oder zufälligen Abständen beabstandet sind. Bei anderen Ausführungsformen umfassen die Erhebungen und Vertiefungen zufällig geformte Vorsprünge, die unterschiedliche Gitterrichtungen und -geometrien aufweisen. Bei einigen Ausführungsformen kann das Ätzmittel 702 ein Trockenätzmittel (z. B. ein Plasmaätzmittel, ein RIE-Ätzmittel usw.) oder ein Nassätzmittel (z. B. Fluorwasserstoffsäure) umfassen.In 7 becomes an upper surface of the second handling substrate 124 ' etched to surveys 134 and depressions 136 to build. The surveys 134 and depressions 136 are made by first using a photomask (not shown) to define a pattern on the top surface and then the top surface an etchant 702 is exposed to rough the top surface with projections and depressions. In other embodiments, the second handle substrate 124 ' be damaged by the upper surface of the second handling substrate 124 ' is mechanically damaged (eg, micro scratching, abrasive blasting, etc.) or by performing sputtering, deposition, or self-assembling monolayer. In some embodiments, the protrusions and depressions include sawtooth-shaped protrusions and corresponding recesses, wherein protrusions and depressions of the individual "teeth" are spaced at equal intervals or at random intervals. In other embodiments, the protrusions and depressions include randomly shaped protrusions having different grid directions and geometries. In some embodiments, the etchant 702 a dry etchant (eg, a plasma etchant, an RIE etchant, etc.) or a wet etchant (eg, hydrofluoric acid).

In 8 wird eine Fangschicht 126 aber den Erhebungen 134 und Vertiefungen 136 derart gebildet, dass eine Grenzfläche zwischen der Fangschicht 126 und dem zweiten Handhabungssubstrat 124' hergestellt wird. Daher wird ein zweites Substrat 122 vorgesehen. Bei einigen Ausführungsformen kann die Fangschicht 126 eine polykristalline Siliziumschicht sein. Bei anderen Ausführungsformen kann die Fangschicht 126 amorphes Silizium umfassen, das eine Dotierstoffart umfasst. Bei verschiedenen Ausführungsformen kann die Dotierstoffart Argon (Ar), Kohlenstoff (C) und/oder Germanium (Ge) umfassen. Die Fläche 802 der Fangschicht, die am weitesten vom zweiten Handhabungssubstrat 124' entfernt ist, kann in einigen Fällen unter Verwendung von beispielsweise CMP planarisiert werden, um sie für Bonden geeigneter zu machen.In 8th becomes a catch layer 126 but the surveys 134 and depressions 136 formed such that an interface between the trapping layer 126 and the second handling substrate 124 ' will be produced. Therefore, a second substrate 122 intended. In some embodiments, the capture layer 126 be a polycrystalline silicon layer. In other embodiments, the capture layer 126 comprising amorphous silicon comprising a dopant species. In various embodiments, the dopant species may include argon (Ar), carbon (C), and / or germanium (Ge). The area 802 the capture layer furthest from the second handle substrate 124 ' In some cases, using CMP, for example, can be planarized to make it more suitable for bonding.

In 9 werden das SOI-Substrat 106' und die Kopplungsstruktur 112 an das zweite Substrat 122 gebondet. Dieses Bonden kann eine von vielen Formen annehmen, wie beispielsweise Fusionsbonden oder Bonden durch Epoxid. Bei einigen Ausführungsformen kann ein Oxid vor dem Bonden über der unteren Fläche der Fangschicht 126 gebildet werden und das Oxid auf der unteren Fläche der Fangschicht 126 kann dann an die obere Fläche der Kopplungsstruktur 112 durch Ausführen eines Glühprozesses gebondet werden.In 9 become the SOI substrate 106 ' and the coupling structure 112 to the second substrate 122 bonded. This bonding can take one of many forms, such as fusion bonding or epoxide bonding. In some embodiments, an oxide may be over the bottom surface of the trap layer prior to bonding 126 be formed and the oxide on the lower surface of the trapping layer 126 can then contact the upper surface of the coupling structure 112 be bonded by performing an annealing process.

In 10 wird das Handhabungssubstrat 202 entfernt. Bei einigen Ausführungsformen wird ein zweistufiger Prozess verwendet, um das Handhabungssubstrat 202 zu entfernen. Während einer ersten Stufe wird ein Schleifverfahren verwendet, um das Handhabungssubstrat, beispielsweise um einen ersten Abstand d1 auszudünnen. Das Schleifverfahren kann eine Fläche verwenden, die einigermaßen abrasiv ist und daher durch den Abstand d1 des Handhabungssubstrats 202 hindurch recht schnell herunterschleift. Nachdem das Schleifverfahren wie beispielsweise wie bestimmt durch eine vorbestimmte Zeit oder durch Ausführen von Messungen, die anzeigen, dass der vorbestimmte Abstand d1 entfernt wurde, abgeschlossen ist; wird ein chemisch-mechanisches Planarisieren-(CMP)-Arbeitsvorgang ausgeführt, um eine zweite Restmenge d2 des Handhabungssubstrats 202 zu entfernen. Der CMP-Arbeitsvorgang verwendet typischerweise ein Polierpad, das weniger abrasiv ist als beim Schleifen, wodurch eine glattere gleichförmigere Fläche als beim Schleifen vorgesehen wird. Der CMP-Arbeitsvorgang kann beispielsweise enden, nachdem eine vorbestimmte Zeit abgelaufen ist, oder wenn Messungen anzeigen, dass das Handhabungssubstrat 202 vollständig entfernt wurde. Es ist offensichtlich, dass bei einigen Ausführungsformen eine Menge an ausgedünntem Handhabungssubstrat 202 auf der unteren Fläche der Isolierschicht 110 hinterlassen wird.In 10 becomes the handling substrate 202 away. In some embodiments, a two-step process is used to manipulate the handling substrate 202 to remove. During a first stage, a grinding process is used to thin the handling substrate, for example by a first distance d1. The grinding process may use a surface which is reasonably abrasive and therefore by the distance d1 of the handling substrate 202 sand down quite quickly. After the grinding process is completed, such as determined by a predetermined time or by making measurements indicating that the predetermined distance d1 has been removed; a chemical mechanical planarization (CMP) operation is performed to obtain a second residual amount d2 of the handling substrate 202 to remove. The CMP operation typically uses a polishing pad that is less abrasive than when sanding, providing a smoother, more uniform surface than when sanding. The CMP operation may end, for example, after a predetermined time has elapsed, or when measurements indicate that the handling substrate 202 was completely removed. It will be appreciated that in some embodiments, an amount of thinned handling substrate 202 on the lower surface of the insulating layer 110 will be left.

11 zeigt die Struktur von 10, nachdem CMP ausgeführt wurde. Im Beispiel von 11 wird der untere Abschnitt der TSV 118 freigelegt. 11 shows the structure of 10 after CMP has run. In the example of 11 becomes the lower section of the TSV 118 exposed.

In 12 wurde eine Kontaktstelle 120 in direktem Kontakt mit dem unteren Abschnitt der TSV 118 gebildet. Die Kontaktstelle 120 ist bei einigen Ausführungsformen in direktem Kontakt mit der Unterseite der Isolierschicht 110. Die Kontaktstelle 120 kann beispielsweise aus Kupfer, Wolfram, Aluminium, Gold, Titan oder Titannitrid hergestellt werden. Bei einigen Ausführungsformen wird die Kontaktstelle 120 durch Bilden einer Metallschicht auf der unteren Fläche der Isolierschicht 110 und dann Strukturieren der Metallschicht beispielsweise unter Verwendung einer Fotolithographiemaske und Ausführen von Ätzen der Metallschicht mit der vorhandenen Fotolithographiemaske hergestellt. Zu beachten ist, dass 12 entsprechend mehrere unterschiedliche TSVs 118, 118a, 118b und entsprechende Kontaktstellen 120, 120a, 120b zeigt, um einige Beispiele hervorzuheben. Die TSV 118 erstreckt sich zwischen Metall-1-Schicht, Dielektrikumschicht 133, Halbleiterschicht 108 und Isolierschicht 110; während sich die zweite TSV 118a von einer unteren Fläche des Widerstands 131 durch das Dielektrikum 129, die Halbleiterschicht 108 und Isolierschicht 110 erstreckt. Eine dritte TSV 118b erstreckt sich von der Metall-2-Leitung durch die zweite Dielektrikumschicht 158, die erste Dielektrikumschicht 154, die Dielektrikumschicht 133, durch die Halbleiterschicht 108 und durch die Isolierschicht 110.In 12 became a contact point 120 in direct contact with the lower section of the TSV 118 educated. The contact point 120 In some embodiments, it is in direct contact with the bottom of the insulating layer 110 , The contact point 120 For example, it can be made of copper, tungsten, aluminum, gold, titanium or titanium nitride. In some embodiments, the pad becomes 120 by forming a metal layer on the lower surface of the insulating layer 110 and then patterning the metal layer, for example, using a photolithography mask and performing etching of the metal layer with the existing photolithography mask. It should be noted that 12 according to several different TSVs 118 . 118a . 118b and corresponding contact points 120 . 120a . 120b shows, to highlight a few examples. The TSV 118 extends between metal 1 layer, dielectric layer 133 , Semiconductor layer 108 and insulating layer 110 ; while the second TSV 118a from a lower surface of the resistor 131 through the dielectric 129 , the semiconductor layer 108 and insulating layer 110 extends. A third TSV 118b extends from the metal 2-line through the second dielectric layer 158 , the first dielectric layer 154 , the dielectric layer 133 , through the semiconductor layer 108 and through the insulating layer 110 ,

Nach dem Bilden der Kontaktstellen 120 kann die Struktur, die häufig noch in der Form eines scheibenähnlichen Wafers ist, optional an andere Substrate gebondet werden, um einen 3D-IC herzustellen, und kann in individuelle Chiplagen oder integrierte Schaltungen geschnitten oder geritzt werden. Dann wird in 13 eine Packagingschicht 121 gebildet, um eine untere Fläche der Isolierschicht 110 abzudecken. Die Packagingschicht 121 kann sich entlang von Seitenwänden der Vorrichtung erstrecken, um eine obere Fläche des zweiten Handhabungssubstrats 122 abzudecken. Die Packagingschicht 121 kann beispielsweise aus Keramik oder einem Polymermaterial hergestellt werden und kann die Vorrichtung vor Umgebungsextremen, Korrosionswirkung, Schmutz, Staub, Wasserdampf usw. schützen.After forming the contact points 120 For example, the structure, which is often still in the form of a wafer-like wafer, can be optionally bonded to other substrates to make a 3D IC, and can be cut or scored into individual die or integrated circuits. Then it will be in 13 a packaging layer 121 formed around a lower surface of the insulating layer 110 cover. The packaging layer 121 may extend along sidewalls of the device about an upper surface of the second handle substrate 122 cover. The packaging layer 121 For example, it may be made of ceramic or a polymeric material and may protect the device from environmental extremes, corrosion, dirt, dust, water vapor, etc.

14 veranschaulicht ein Ablaufdiagramm von einigen Ausführungsformen eines Verfahrens 1400 zum Herstellen einer Vorrichtung gemäß einigen Aspekten dieser Offenbarung. Obwohl das offenbarte Verfahren 1400 nachstehend als eine Reihe von Vorgängen oder Ereignissen veranschaulicht und beschrieben wird, ist es selbstverständlich, dass die veranschaulichte Reihenfolge solcher Vorgänge oder Ereignisse nicht einschränkend ausgelegt werden soll. Beispielsweise können einige Handlungen abgesehen von den hierin veranschaulichten und/oder beschriebenen in unterschiedlicher Reihenfolge und/oder gleichzeitig mit anderen Handlungen oder Ereignissen stattfinden. Außerdem können nicht alle veranschaulichten Handlungen erforderlich sein, um einen oder mehrere Aspekte oder Ausführungsformen der Beschreibung hierin zu implementieren. Weiter können eine oder mehrere der hierin dargestellten Handlungen in einer oder mehreren getrennten Handlungen und/oder Phasen durchgeführt werden. Obwohl 14 zur Übersichtlichkeit in Bezug auf die 2 bis 13 beschrieben wird, ist es offensichtlich, dass die Strukturen, die in den 2 bis 13 offenbart sind, nicht auf das Verfahren von 14 begrenzt sind, sondern stattdessen als Strukturen unabhängig von dem Verfahren für sich alleine stehen können. Obwohl das Verfahren von 14 in Bezug auf die 2 bis 13 beschrieben ist, ist es offensichtlich, dass das Verfahren nicht auf die in den 2 bis 13 offenbarten Strukturen begrenzt ist, sondern stattdessen unabhängig von den in den 2 bis 13 offenbarten Strukturen für sich alleine stehen kann. 14 FIG. 12 illustrates a flowchart of some embodiments of a method. FIG 1400 for manufacturing a device according to some aspects of this disclosure. Although the disclosed method 1400 is illustrated and described below as a series of acts or events, it is to be understood that the illustrated order of such acts or events should not be construed as limiting. For example, some acts apart from those illustrated and / or described herein may take place in a different order and / or concurrently with other acts or events. In addition, not all illustrated acts may be required to implement one or more aspects or embodiments of the description herein. Further, one or more of the acts depicted herein may be performed in one or more separate acts and / or phases. Even though 14 for clarity in terms of 2 to 13 It is obvious that the structures used in the 2 to 13 are disclosed, not on the method of 14 are limited but instead can stand alone as structures independent of the process. Although the procedure of 14 in terms of the 2 to 13 It is obvious that the procedure is not limited to those in the 2 to 13 disclosed structures is limited, but instead independent of those in the 2 to 13 revealed structures can stand on their own.

Bei 1402 wird ein SOI-Substrat vorgesehen. Das erste Substrat umfasst ein erstes Handhabungssubstrat, eine Isolierschicht, die über dem ersten Handhabungssubstrat angeordnet ist, und eine über der Isolierschicht angeordnete Halbleiterschicht. Daher kann 1402 beispielsweise 2 entsprechen.at 1402 an SOI substrate is provided. The first substrate includes a first handle substrate, an insulating layer disposed over the first handle substrate, and a semiconductor layer disposed over the insulating layer. Therefore, can 1402 for example 2 correspond.

Bei 1404 wird eine Kopplungsstruktur über dem SOI-Substrat gebildet. Die Kopplungsstruktur umfasst mehrere innerhalb einer dielektrischen Struktur angeordnete Metallschichten. Daher kann 1404 beispielsweise 5 entsprechen.at 1404 For example, a coupling structure is formed over the SOI substrate. The coupling structure comprises a plurality of metal layers arranged within a dielectric structure. Therefore, can 1404 for example 5 correspond.

Bei 1406 wird ein zweites Substrat an eine obere Fläche der Kopplungsstruktur gebondet. Bei einigen Ausführungsformen umfasst das zweite Substrat ein zweites Handhabungssubstrat und eine Fangschicht. Bei einigen solchen Ausführungsformen wird nach dem Bonden die Fangschicht zwischen dem zweiten Handhabungssubstrat und der oberen Fläche der Kopplungsstruktur angeordnet. Daher kann 1406 beispielsweise 9 entsprechen.at 1406 a second substrate is bonded to an upper surface of the coupling structure. In some embodiments, the second substrate includes a second handle substrate and a trap layer. In some such embodiments, after bonding, the capture layer is disposed between the second handle substrate and the top surface of the coupling structure. Therefore, can 1406 for example 9 correspond.

Bei 1408 wird das erste Handhabungssubstrat entfernt, um eine untere Fläche der Isolierschicht freizulegen, nachdem das zweite Substrat an die obere Fläche der Kopplungsstruktur gebondet wurde. Daher kann 1408 beispielsweise 10 entsprechen.at 1408 For example, the first handling substrate is removed to expose a bottom surface of the insulating layer after the second substrate has been bonded to the top surface of the coupling structure. Therefore, can 1408 for example 10 correspond.

Bei 1410 wird eine Kontaktstelle in direktem Kontakt mit der unteren Fläche der Isolierschicht gebildet, nachdem das erste Handhabungssubstrat entfernt wurde. Eine Substratdurchkontaktierung (TSV) erstreckt sich vertikal durch die Isolierschicht und die Halbleiterschicht und koppelt die Kontaktstelle elektrisch mit einer Metallschicht der Kopplungsstruktur. Daher kann 1410 beispielsweise 12 entsprechen.at 1410 For example, a pad is formed in direct contact with the lower surface of the insulating layer after the first handling substrate is removed. A substrate via (TSV) extends vertically through the insulating layer and the semiconductor layer and electrically couples the pad to a metal layer of the coupling structure. Therefore, can 1410 for example 12 correspond.

Daher sind, wie vorstehend ersichtlich, einige Ausführungsformen der vorliegenden Offenbarung an eine Vorrichtung gerichtet. Die Vorrichtung umfasst ein Substrat, das eine über einer Isolierschicht angeordnete Siliziumschicht umfasst. Das Substrat umfasst eine Transistorvorrichtungsregion und eine Hochfrequenz-(RF)-Region. Eine Kopplungsstruktur ist über dem Substrat angeordnet und umfasst mehrere innerhalb einer dielektrischen Struktur angeordnete Metallschichten. Ein Handhabungssubstrat ist über einer oberen Fläche der Kopplungsstruktur angeordnet. Eine Fangschicht trennt die Kopplungsstruktur und das Handhabungssubstrat.Therefore, as noted above, some embodiments of the present disclosure are directed to an apparatus. The device comprises a substrate which comprises a silicon layer arranged above an insulating layer. The substrate includes a transistor device region and a radio frequency (RF) region. A coupling structure is disposed over the substrate and includes a plurality of metal layers disposed within a dielectric structure. A handling substrate is disposed over an upper surface of the coupling structure. A trapping layer separates the coupling structure and the handling substrate.

Andere Ausführungsformen betreffen ein Verfahren. In dem Verfahren wird ein erstes Substrat vorgesehen. Das erste Substrat umfasst ein erstes Handhabungssubstrat, eine Isolierschicht, die über dem ersten Handhabungssubstrat angeordnet ist, und eine über der Isolierschicht angeordnete Halbleiterschicht. Eine Kopplungsstruktur wird über dem Substrat gebildet. Die Kopplungsstruktur umfasst mehrere innerhalb einer dielektrischen Struktur angeordnete Metallschichten. Ein zweites Substrat, das ein zweites Handhabungssubstrat und eine Fangschicht umfasst, wird an eine obere Fläche der Kopplungsstruktur gebondet. Nach dem Bonden wird die Fangschicht zwischen dem zweiten Handhabungssubstrat und der oberen Fläche der Kopplungsstruktur angeordnet. Das zweite Handhabungssubstrat wird dann entfernt, um eine untere Fläche der Isolierschicht freizulegen.Other embodiments relate to a method. In the method, a first substrate is provided. The first substrate includes a first handle substrate, an insulating layer disposed over the first handle substrate, and a semiconductor layer disposed over the insulating layer. A coupling structure is formed over the substrate. The coupling structure comprises a plurality of metal layers arranged within a dielectric structure. A second substrate comprising a second handle substrate and a trap layer is bonded to an upper surface of the coupling structure. After bonding, the trap layer is disposed between the second handle substrate and the top surface of the coupling structure. The second handling substrate is then removed to expose a bottom surface of the insulating layer.

Noch weitere Ausführungsformen betreffen ein Verfahren. In diesem Verfahren wird ein SOI-Substrat bereitgestellt. Das SOI-Substrat umfasst ein erstes Handhabungssubstrat, eine Isolierschicht, die über dem ersten Handhabungssubstrat angeordnet ist, und eine über der Isolierschicht angeordnete Siliziumschicht. Das SOI-Substrat umfasst eine Transistorvorrichtungsregion und eine Hochfrequenz-(RF)-Region, die seitlich voneinander beabstandet sind. Eine Kopplungsstruktur wird über dem SOI-Substrat gebildet. Die Kopplungsstruktur umfasst mehrere innerhalb einer dielektrischen Struktur angeordnete Metallschichten. Ein zweites Substrat, das eine Fangschicht und ein zweites Handhabungssubstrat umfasst, das aus Silizium hergestellt ist, wird an eine obere Fläche der Kopplungsstruktur gebondet. Nach dem Bonden trennt die Fangschicht das zweite Handhabungssubstrat von der oberen Fläche der Kopplungsstruktur. Das erste Handhabungssubstrat wird dann entfernt, um eine untere Fläche der Isolierschicht freizulegen; und eine Kontaktstelle wird in direktem Kontakt mit einer unteren Fläche der Isolierschicht gebildet. Eine Substratdurchkontaktierung (TSV) erstreckt sich vertikal durch die Siliziumschicht und durch die Isolierschicht.Still other embodiments relate to a method. In this method, an SOI substrate is provided. The SOI substrate includes a first handle substrate, an insulating layer disposed over the first handle substrate, and a silicon layer disposed over the insulating layer. The SOI substrate includes a transistor device region and a radio frequency (RF) region that are laterally spaced apart. A coupling structure is formed over the SOI substrate. The coupling structure comprises a plurality of metal layers arranged within a dielectric structure. A second substrate comprising a trap layer and a second handle substrate made of silicon is bonded to an upper surface of the coupling structure. After bonding, the trap layer separates the second handle substrate from the top surface of the coupling structure. The first handling substrate is then removed to expose a bottom surface of the insulating layer; and a pad is formed in direct contact with a lower surface of the insulating layer. A substrate via (TSV) extends vertically through the silicon layer and through the insulating layer.

Das vorhergehende beschreibt Merkmale von mehreren Ausführungsformen, sodass der Fachmann die Aspekte der vorliegenden Offenbarung besser verstehen kann. Dem Fachmann sollte offensichtlich sein, dass er ohne Weiteres die vorliegende Offenbarung als eine Basis verwenden kann, um andere Prozesse und Strukturen zu konzipieren oder zu modifizieren, um die gleichen Zwecke auszuführen und/oder die gleichen Vorteile der hier eingeführten Ausführungsformen zu erreichen. Der Fachmann sollte auch realisieren, dass solche äquivalenten Aufbauten nicht vom Sinn und Umfang der vorliegenden Offenbarung abweichen, und dass er verschiedene Änderungen, Ersetzungen und Modifikationen hierin vornehmen kann, ohne vom Sinn und Umfang der vorliegenden Offenbarung abzuweichen.The foregoing describes features of several embodiments so that those skilled in the art can better understand the aspects of the present disclosure. It should be apparent to one skilled in the art that he may readily use the present disclosure as a basis to design or modify other processes and structures to accomplish the same purposes and / or achieve the same advantages of the embodiments introduced herein. It should also be realized by those skilled in the art that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that various changes, substitutions, and alterations can be made herein without departing from the spirit and scope of the present disclosure.

Claims (20)

Vorrichtung, umfassend: ein Substrat, das eine über einer Isolierschicht angeordnete Halbleiterschicht umfasst, wobei das Substrat eine Transistorvorrichtungsregion und eine Hochfrequenz-(RF)-Region umfasst; eine Kopplungsstruktur, die über dem Substrat angeordnet ist und mehrere innerhalb einer dielektrischen Struktur angeordnete Metallschichten umfasst; ein Handhabungssubstrat, das über einer oberen Fläche der Kopplungsstruktur angeordnet ist; und eine Fangschicht, welche die Kopplungsstruktur und das Handhabungssubstrat trennt.Apparatus comprising: a substrate including a semiconductor layer disposed over an insulating layer, the substrate including a transistor device region and a radio frequency (RF) region; a coupling structure disposed over the substrate and including a plurality of metal layers disposed within a dielectric structure; a handling substrate disposed over an upper surface of the coupling structure; and a trap layer separating the coupling structure and the handling substrate. Vorrichtung nach Anspruch 1, weiter umfassend: eine Kontaktstelle, die in direktem physischen Kontakt mit einer unteren Fläche der Isolierschicht des Substrats angeordnet ist; und eine Substratdurchkontaktierung, die sich vertikal durch die Halbleiterschicht und die Isolierschicht erstreckt und die Kontaktstelle mit einer Metallschicht der Kopplungsstruktur elektrisch koppelt.The device of claim 1, further comprising: a pad disposed in direct physical contact with a bottom surface of the insulating layer of the substrate; and a substrate via extending vertically through the semiconductor layer and the insulating layer and electrically coupling the pad to a metal layer of the coupling structure. Vorrichtung nach Anspruch 1 oder 2, wobei das Handhabungssubstrat ein Siliziumsubstrat umfasst und die Fangschicht eine Polysiliziumschicht umfasst, die mit dem Siliziumsubstrat an einer nichtplanaren Grenzfläche zusammentrifft.The device of claim 1 or 2, wherein the handling substrate comprises a silicon substrate and the capture layer comprises a polysilicon layer that meets the silicon substrate at a non-planar interface. Vorrichtung nach Anspruch 3, wobei die nichtplanare Grenzfläche eine Reihe von Erhebungen umfasst, die sich vom Siliziumsubstrat nach unten in die Fangschicht erstrecken.The device of claim 3, wherein the non-planar interface comprises a series of protrusions extending downwardly into the trap layer from the silicon substrate. Vorrichtung nach einem der vorstehenden Ansprüche, wobei die RF-Region eine RF-Vorrichtung umfasst, die in der Kopplungsstruktur angeordnet und konfiguriert ist, ein RF-Signal zu senden, wobei die Fangschicht konfiguriert ist, Träger zu fangen, die durch das RF-Signal erregt werden, um Wirbelströme im Handhabungssubstrat zu begrenzen.The device of claim 1, wherein the RF region comprises an RF device disposed in the coupling structure and configured to transmit an RF signal, wherein the capture layer is configured to capture carriers caused by the RF signal be energized to limit eddy currents in the handling substrate. Vorrichtung nach einem der vorstehenden Ansprüche, weiter umfassend: eine Packagingschicht, die eine untere Fläche der Isolierschicht abdeckt und sich entlang von Seitenwänden der Vorrichtung erstreckt, um eine obere Fläche des Handhabungssubstrats abzudecken.The device of any one of the preceding claims, further comprising: a packaging layer covering a bottom surface of the insulating layer and extending along sidewalls of the device to cover an upper surface of the handling substrate. Verfahren, umfassend: Vorsehen eines ersten Substrats, das ein erstes Handhabungssubstrat, eine Isolierschicht, die über dem ersten Handhabungssubstrat angeordnet ist, und eine über der Isolierschicht angeordnete Halbleiterschicht umfasst; Bilden einer Kopplungsstruktur über dem Substrat, wobei die Kopplungsstruktur mehrere innerhalb einer dielektrischen Struktur angeordnete Metallschichten umfasst; Bonden eines zweiten Substrats, das ein zweites Handhabungssubstrat und eine Fangschicht umfasst, an eine obere Fläche der Kopplungsstruktur, wobei die Fangschicht nach dem Bonden zwischen dem zweiten Handhabungssubstrat und der oberen Fläche der Kopplungsstruktur angeordnet ist; und nach dem Bonden, Entfernen des zweiten Handhabungssubstrats, um eine untere Fläche der Isolierschicht freizulegen.Method, comprising: Providing a first substrate comprising a first handle substrate, an insulating layer disposed over the first handle substrate, and a semiconductor layer disposed over the insulating layer; Forming a coupling structure over the substrate, the coupling structure comprising a plurality of metal layers disposed within a dielectric structure; Bonding a second substrate comprising a second handle substrate and a trap layer to an upper surface of the coupling structure, wherein the trap layer is disposed after bonding between the second handle substrate and the upper surface of the coupling structure; and after bonding, removing the second handle substrate to expose a bottom surface of the insulating layer. Verfahren nach Anspruch 7, weiter umfassend: nach dem Entfernen des zweiten Handhabungssubstrats, das Bilden einer Kontaktstelle in direktem physischem Kontakt mit einer unteren Fläche der Isolierschicht des ersten Substrats, wobei sich eine Substratdurchkontaktierung (TSV) vertikal durch die Halbleiterschicht und die Isolierschicht erstreckt und die Kontaktstelle mit einer Metallschicht der Kopplungsstruktur elektrisch koppelt.The method of claim 7, further comprising: after removing the second handle substrate, forming a pad in direct physical contact with a bottom surface of the insulating layer of the first substrate, wherein a substrate via (TSV) extends vertically through the semiconductor layer and the insulating layer and electrically couples the pad to a metal layer of the coupling structure , Verfahren nach Anspruch 7 oder 8, wobei die ersten und zweiten Handhabungssubstrate unterschiedliche ohmsche Widerstände aufweisen.A method according to claim 7 or 8, wherein the first and second handling substrates have different ohmic resistances. Verfahren nach einem der Ansprüche 7 bis 9, wobei das erste Handhabungssubstrat einen Widerstand zwischen 8 Ohm-cm und 12 Ohm-cm aufweist.The method of any one of claims 7 to 9, wherein the first handling substrate has a resistance between 8 ohm-cm and 12 ohm-cm. Verfahren nach einem der Ansprüche 7 bis 10, wobei das erste Handhabungssubstrat einen ersten ohmschen Widerstand aufweist und das zweite Handhabungssubstrat einen zweiten ohmschen Widerstand aufweist und der zweite ohmsche Widerstand um einen Faktor zehn oder mehr größer ist als der erste ohmsche Widerstand.Method according to one of claims 7 to 10, wherein the first handling substrate has a first ohmic resistance and the second handling substrate has a second ohmic resistance and the second ohmic resistance is greater by a factor of ten or more than the first ohmic resistance. Verfahren nach einem der Ansprüche 7 bis 11, wobei das zweite Handhabungssubstrat ein Siliziumsubstrat umfasst und die Fangschicht eine amorphe Siliziumschicht umfasst.Method according to one of claims 7 to 11, wherein the second handling substrate comprises a silicon substrate and the trapping layer comprises an amorphous silicon layer. Verfahren nach einem der Ansprüche 7 bis 11, wobei das zweite Handhabungssubstrat ein Siliziumsubstrat umfasst und die Fangschicht eine Polysiliziumschicht umfasst, die mit dem Siliziumsubstrat an einer nichtplanaren Grenzfläche zusammentrifft.The method of claim 7, wherein the second handling substrate comprises a silicon substrate and the capture layer comprises a polysilicon layer that meets the silicon substrate at a non-planar interface. Verfahren nach Anspruch 13, wobei die nichtplanare Grenzfläche eine Reihe von Erhebungen umfasst, die sich vom Siliziumsubstrat nach unten in die Fangschicht erstrecken.The method of claim 13, wherein the non-planar interface comprises a series of protrusions extending downwardly from the silicon substrate into the trapping layer. Verfahren nach Anspruch 13 oder 14, wobei die nichtplanare Grenzfläche durch Bilden einer Fotomaske über einer Fläche des Siliziumsubstrats und Ätzen der Fläche des Siliziumsubstrats gebildet wird, um eine Reihe von Erhebungen und Vertiefungen zu bilden, und die Fangschicht direkt über der Reihe von Erhebungen und Vertiefungen gebildet wird.The method of claim 13 or 14, wherein the non-planar interface is formed by forming a photomask over a surface of the silicon substrate and etching the surface of the silicon substrate to form a series of protrusions and depressions, and the trap layer directly over the series of protrusions and depressions is formed. Verfahren nach einem der Ansprüche 7 bis 15, wobei eine Hochfrequenz-(RF)-Vorrichtung in der Kopplungsstruktur angeordnet und konfiguriert ist, ein RF-Signal zu senden, wobei die Fangschicht konfiguriert ist, durch das RF-Signal erregte Träger zu fangen, um Wirbelströme im zweiten Handhabungssubstrat zu begrenzen. The method of claim 7, wherein a radio frequency (RF) device is disposed in the coupling structure and configured to transmit an RF signal, wherein the capture layer is configured to capture carriers excited by the RF signal To limit eddy currents in the second handling substrate. Verfahren, umfassend: Vorsehen eines Halbleiter-auf-Isolator-(SOI)-Substrats, das ein erstes Handhabungssubstrat aus Silizium, eine Isolierschicht, die über dem ersten Handhabungssubstrat angeordnet ist, und einer über der Isolierschicht angeordnete Siliziumschicht umfasst, wobei das SOI-Substrat eine Transistorvorrichtungsregion und eine Hochfrequenz-(RF)-Region umfasst, die seitlich voneinander beabstandet sind; Bilden einer Kopplungsstruktur über dem SOI-Substrat, wobei die Kopplungsstruktur mehrere innerhalb einer dielektrischen Struktur angeordnete Metallschichten umfasst; Bonden eines zweiten Substrats, das eine Fangschicht und ein zweites Handhabungssubstrat umfasst, das aus Silizium hergestellt ist, an eine obere Fläche der Kopplungsstruktur, wobei die Fangschicht nach dem Bonden das zweite Handhabungssubstrat von der oberen Fläche der Kopplungsstruktur trennt; nach dem Bonden, Entfernen des ersten Handhabungssubstrats, um eine untere Fläche der Isolierschicht freizulegen; und Bilden einer Kontaktstelle in direktem Kontakt mit einer unteren Fläche der Isolierschicht, wobei sich eine Substratdurchkontaktierung (TSV) vertikal durch die Siliziumschicht und durch die Isolierschicht erstreckt, um die Kontaktstelle zu kontaktieren.Method, comprising: Providing a semiconductor on insulator (SOI) substrate comprising a first silicon handle substrate, an insulating layer disposed over the first handle substrate, and a silicon layer disposed over the insulating layer, the SOI substrate comprising a transistor device region and a semiconductor device Radio frequency (RF) region, which are laterally spaced from each other; Forming a coupling structure over the SOI substrate, the coupling structure comprising a plurality of metal layers disposed within a dielectric structure; Bonding a second substrate comprising a trap layer and a second handle substrate made of silicon to an upper surface of the coupling structure, wherein after the bonding, the trap layer separates the second handle substrate from the upper surface of the coupling structure; after bonding, removing the first handle substrate to expose a bottom surface of the insulating layer; and Forming a pad in direct contact with a bottom surface of the insulating layer, wherein a substrate via (TSV) extends vertically through the silicon layer and through the insulating layer to contact the pad. Verfahren nach Anspruch 17, wobei das erste Handhabungssubstrat einen ohmschen Widerstand aufweist, der kleiner ist als der des zweiten Handhabungssubstrats.The method of claim 17, wherein the first handle substrate has an ohmic resistance smaller than that of the second handle substrate. Verfahren nach Anspruch 17 oder 18, weiter umfassend: Bilden eines Gatedielektrikums auf einer oberen Fläche der Transistorvorrichtungsregion der Siliziumschicht; Bilden einer Gateelektrode über dem Gatedielektrikum, wobei mindestens eine von den Metallschichten mit der Gateelektrode gekoppelt wird.The method of claim 17 or 18, further comprising: Forming a gate dielectric on an upper surface of the transistor device region of the silicon layer; Forming a gate electrode over the gate dielectric, wherein at least one of the metal layers is coupled to the gate electrode. Verfahren nach Anspruch 19, wobei die TSV die Kontaktstelle mit der mindestens einen von den Metallschichten elektrisch koppelt.The method of claim 19, wherein the TSV electrically couples the pad to the at least one of the metal layers.
DE102016115579.9A 2015-10-19 2016-08-23 Capture layer substrate stacking technique to improve performance for RF devices Active DE102016115579B4 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562243442P 2015-10-19 2015-10-19
US62/243,442 2015-10-19
US15/051,197 2016-02-23
US15/051,197 US9761546B2 (en) 2015-10-19 2016-02-23 Trap layer substrate stacking technique to improve performance for RF devices

Publications (2)

Publication Number Publication Date
DE102016115579A1 true DE102016115579A1 (en) 2017-04-20
DE102016115579B4 DE102016115579B4 (en) 2022-02-10

Family

ID=58456613

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102016115579.9A Active DE102016115579B4 (en) 2015-10-19 2016-08-23 Capture layer substrate stacking technique to improve performance for RF devices

Country Status (1)

Country Link
DE (1) DE102016115579B4 (en)

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6004285B2 (en) 2010-12-24 2016-10-05 クォルコム・インコーポレイテッド Trap rich layer for semiconductor devices

Also Published As

Publication number Publication date
DE102016115579B4 (en) 2022-02-10

Similar Documents

Publication Publication Date Title
DE112014007341B4 (en) GaN TRANSISTORS WITH POLYSILICON LAYERS FOR FORMING ADDITIONAL COMPONENTS AND METHOD FOR THE PRODUCTION THEREOF
DE102021100240A1 (en) 3D SEMICONDUCTOR PACKAGE WITH STORAGE ARRAY
DE102020108663A1 (en) DEVICE WITH A CUT-OUT GATE ELECTRODE THAT HAS A HIGH UNIFORMITY OF THICKNESS
DE102013114218B4 (en) METHOD OF MAKING A SEMICONDUCTOR DEVICE AND SEMICONDUCTOR WORKPIECE
DE102019115270B4 (en) EMBEDDED FERROELECTRIC MEMORY IN HIGH-K-FIRST TECHNOLOGY
DE102019126237A1 (en) DIELECTRIC FINS WITH DIFFERENT DIELECTRICITY CONSTANT AND SIZES IN DIFFERENT ZONES OF A SEMICONDUCTOR DEVICE
DE10056871B4 (en) Improved gate contact field effect transistor and method of making the same
DE102014107000B4 (en) Method for producing a semiconductor component
DE102017108048A1 (en) SEMICONDUCTOR DEVICE WITH A TRIANGULAR STRUCTURE
DE102017108047A1 (en) SEMICONDUCTOR DEVICE WITH STRUCTURE FOR PROTECTION AGAINST ELECTROSTATIC DISCHARGE
DE102018124749A1 (en) Structures and methods for noise isolation in semiconductor devices
DE102016118062B4 (en) Method for producing a semiconductor component with a non-volatile memory and a logic circuit
DE102017122650A1 (en) SEMICONDUCTOR CHIP INCLUDING A SELF-ALIGNED REVERSE CONDUCTIVE LAYER AND METHOD FOR MANUFACTURING THEREOF
DE102014110450B4 (en) Integrated circuit and method for manufacturing an integrated circuit
DE102018208546A1 (en) STRUCTURES FROM THE MIDDLE AREA OF THE MANUFACTURING LINE
DE102019215248B4 (en) FINFET WITH INSULATING LAYERS BETWEEN THE GATE AND SOURCE/DRAIN CONTACTS AND METHOD FOR THE PRODUCTION THEREOF
DE102014108790B4 (en) Method of manufacturing a semiconductor device with device separation structures and semiconductor device
DE102019128295A1 (en) RECESSED COMPOSITE CAPACITOR
DE102017215354A1 (en) SEMICONDUCTOR AND METHOD FOR MANUFACTURING SEMICONDUCTOR COMPONENTS
DE102014119340B4 (en) Method for manufacturing FinFETs with different threshold voltages
DE102014101283A1 (en) Semiconductor device and method for manufacturing a semiconductor device
DE102017119047A1 (en) METHOD FOR PRODUCING MONOLITHIC THREE-DIMENSIONAL (3D) INTEGRATED CIRCUITS
DE102019101304B4 (en) Power semiconductor device and method of forming a power semiconductor device
DE102016115579B4 (en) Capture layer substrate stacking technique to improve performance for RF devices
DE102014106747A1 (en) SEMICONDUCTOR DEVICE, INTEGRATED CIRCUIT AND METHOD FOR MANUFACTURING A SEMICONDUCTOR DEVICE

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0023522000

Ipc: H01L0023660000

R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final