DE102016115579B4 - Capture layer substrate stacking technique to improve performance for RF devices - Google Patents

Capture layer substrate stacking technique to improve performance for RF devices Download PDF

Info

Publication number
DE102016115579B4
DE102016115579B4 DE102016115579.9A DE102016115579A DE102016115579B4 DE 102016115579 B4 DE102016115579 B4 DE 102016115579B4 DE 102016115579 A DE102016115579 A DE 102016115579A DE 102016115579 B4 DE102016115579 B4 DE 102016115579B4
Authority
DE
Germany
Prior art keywords
substrate
layer
handle substrate
insulating layer
interconnect
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102016115579.9A
Other languages
German (de)
Other versions
DE102016115579A1 (en
Inventor
Kuo-Yu Cheng
Chih-Ping Chao
Kuan-Chi Tsai
Shih-Shiung Chen
Wei-Kung Tsai
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/051,197 external-priority patent/US9761546B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102016115579A1 publication Critical patent/DE102016115579A1/en
Application granted granted Critical
Publication of DE102016115579B4 publication Critical patent/DE102016115579B4/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • H01L23/5223Capacitor integral with wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • H01L23/5225Shielding layers formed together with wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5227Inductive arrangements or effects of, or between, wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/552Protection against radiation, e.g. light or electromagnetic waves

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Thin Film Transistor (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

Vorrichtung, umfassend:ein Substrat (106), das eine über einer Isolierschicht (110) angeordnete Halbleiterschicht (108) umfasst, wobei das Substrat (106) eine Transistorvorrichtungsregion (102) und eine Hochfrequenz- (RF) -Region (104) umfasst;eine Kopplungsstruktur (112), die über dem Substrat (106) angeordnet ist und mehrere innerhalb einer dielektrischen Struktur (116) angeordnete Metallschichten (114) umfasst;ein Handhabungssubstrat (124), das über einer oberen Fläche der Kopplungsstruktur (112) angeordnet ist;eine Fangschicht (126), welche die Kopplungsstruktur (112) und das Handhabungssubstrat (124) trennt;eine Kontaktstelle (120), die in direktem physischen Kontakt mit einer unteren Fläche der Isolierschicht (110) des Substrats (106) angeordnet ist; undeine Substratdurchkontaktierung (118), die sich vertikal durch die Halbleiterschicht (108) und die Isolierschicht (110) erstreckt und die Kontaktstelle (120) mit einer Metallschicht (114) der Kopplungsstruktur (112) elektrisch koppelt.A device comprising: a substrate (106) comprising a semiconductor layer (108) disposed over an insulating layer (110), said substrate (106) comprising a transistor device region (102) and a radio frequency (RF) region (104); an interconnect (112) disposed over the substrate (106) and including a plurality of metal layers (114) disposed within a dielectric structure (116); a handle substrate (124) disposed over a top surface of the interconnect (112); a trap layer (126) separating the interconnect (112) and the handle substrate (124); a pad (120) disposed in direct physical contact with a bottom surface of the insulating layer (110) of the substrate (106); and a substrate via (118) extending vertically through the semiconductor layer (108) and the insulating layer (110) and electrically coupling the pad (120) to a metal layer (114) of the interconnect (112).

Description

ALLGEMEINER STAND DER TECHNIKBACKGROUND ART

Integrierte Schaltungen werden auf Halbleitersubstraten gebildet und gepackt, um sogenannte Chips oder Mikrochips zu bilden. Traditionell werden integrierte Schaltungen auf Volumenhalbleitersubstraten gebildet, die Halbleitermaterial, wie Silizium umfassen. In den letzten Jahren sind Halbleiter-auf-Isolator- (SOI) -Substrate als Alternative aufgekommen. SOI-Substrate weisen eine dünne Schicht aus aktivem Halbleiter (z. B. Silizium) auf, die von einem darunterliegenden Handhabungssubstrat durch eine Schicht aus Isoliermaterial getrennt ist. Die Schicht aus Isoliermaterial isoliert die dünne Schicht aus aktivem Halbleiter elektrisch vom Handhabungssubstrat, wodurch ein Stromverlust von Vorrichtungen, die innerhalb der dünnen Schicht aus aktivem Halbleiter gebildet sind, reduziert wird. Die dünne Schicht aus aktivem Halbleiter stellt zudem andere Vorteile wie schnellere Schaltzeiten und niedrigere Betriebsspannungen bereit, die dazu führten, dass SOI-Substrate zur Herstellung von hohen Stückzahlen von Hochfrequenz- (RF) -Systemen wie RF-Umschaltern weit verbreitet sind.Integrated circuits are formed and packaged on semiconductor substrates to form so-called chips or microchips. Traditionally, integrated circuits are formed on bulk semiconductor substrates that include semiconductor material such as silicon. In recent years, semiconductor-on-insulator (SOI) substrates have emerged as an alternative. SOI substrates have a thin layer of active semiconductor (e.g., silicon) that is separated from an underlying handle substrate by a layer of insulating material. The layer of insulating material electrically isolates the thin active semiconductor layer from the handle substrate, thereby reducing current leakage from devices formed within the thin active semiconductor layer. The thin layer of active semiconductor also provides other benefits such as faster switching times and lower operating voltages that have led to SOI substrates being widely used for high volume fabrication of radio frequency (RF) systems such as RF switches.

Stand der Technik zum Gegenstand der Erfindung ist beispielsweise zu finden in US 2012 / 0 161310 A1 .Prior art relating to the subject matter of the invention can be found, for example, in U.S. 2012/0 161310 A1 .

Die Erfindung sieht eine Vorrichtung gemäß Anspruch 1, ein Verfahren gemäß Anspruch 6 und ein Verfahren gemäß Anspruch 15 vor. Ausgestaltungen sind in den abhängigen Ansprüchen angegeben.The invention provides an apparatus according to claim 1, a method according to claim 6 and a method according to claim 15. Refinements are given in the dependent claims.

Figurenlistecharacter list

Aspekte der vorliegenden Offenbarung werden aus der folgenden ausführlichen Beschreibung am besten verstanden, wenn sie mit den begleitenden Figuren gelesen werden. Es ist zu beachten, dass gemäß der branchenüblichen Praxis verschiedene Merkmale nicht maßstäblich gezeichnet sind. Tatsächlich können die Dimensionen der verschiedenen Merkmale zur Übersichtlichkeit der Erörterung willkürlich vergrößert oder reduziert sein.

  • 1A veranschaulicht eine Querschnittansicht von einigen Ausführungsformen einer Vorrichtung gemäß einigen Aspekten der vorliegenden Offenbarung.
  • 1B veranschaulicht eine vergrößerte Querschnittansicht eines Abschnitts von 1A gemäß einigen Ausführungsformen.
  • Die 2 bis 13 veranschaulichen einige Ausführungsformen von Querschnittansichten, die ein Verfahren zum Bilden eines IC bei verschiedenen Stufen der Herstellung zeigen.
  • 14 veranschaulicht ein Ablaufdiagramm von einigen Ausführungsformen eines Verfahrens zum Bilden einer Vorrichtung gemäß einigen Ausführungsformen.
Aspects of the present disclosure are best understood from the following detailed description when read with the accompanying figures. It should be noted that, in accordance with standard industry practice, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
  • 1A FIG. 12 illustrates a cross-sectional view of some embodiments of an apparatus according to some aspects of the present disclosure.
  • 1B FIG. 12 illustrates an enlarged cross-sectional view of a portion of FIG 1A according to some embodiments.
  • the 2 until 13 10 illustrate some embodiments of cross-sectional views showing a method of forming an IC at various stages of manufacture.
  • 14 FIG. 11 illustrates a flow chart of some embodiments of a method of forming a device according to some embodiments.

AUSFÜHRLICHE BESCHREIBUNGDETAILED DESCRIPTION

Die folgende Offenbarung stellt viele unterschiedliche Ausführungsformen oder Beispiele bereit, um unterschiedliche Merkmale des bereitgestellten Gegenstandes zu implementieren. Es werden nachfolgend spezielle Beispiele von Komponenten und Anordnungen beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind natürlich lediglich Beispiele und sollen nicht begrenzen. Beispielsweise kann die Bildung eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung Ausführungsformen umfassen, bei denen die ersten und zweiten Merkmale in direktem Kontakt gebildet sind, und auch Ausführungsformen, bei denen zusätzliche Funktionen zwischen den ersten und zweiten Merkmalen gebildet sein können, sodass die ersten und zweiten Merkmale nicht in direktem Kontakt sein können. Außerdem kann die vorliegende Offenbarung Bezugsnummern und/oder -zeichen in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient zum Zweck der Einfachheit und Übersichtlichkeit und diktiert nicht an sich eine Beziehung zwischen den verschiedenen beschriebenen Ausführungsformen und/oder Konfigurationen.The following disclosure provides many different embodiments or examples to implement different features of the provided subject matter. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature over or on a second feature in the following description may include embodiments where the first and second features are formed in direct contact, as well as embodiments where additional features may be formed between the first and second features , so that the first and second features cannot be in direct contact. In addition, the present disclosure may repeat reference numerals and/or indicia throughout the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various described embodiments and/or configurations.

Weiter können räumlich relative Begriffe, wie „darunter“, „unter“, „untere“, „über“, „obere“ und dergleichen zur Erleichterung der Erörterung hierin verwendet sein, um die Beziehung eines Elements oder Merkmals zu einem bzw. zu anderen Elementen oder Merkmalen wie veranschaulicht in den Figuren zu beschreiben. Die räumlich relativen Begriffe sollen zusätzlich zu der Ausrichtung, die in den Figuren gezeigt ist, verschiedene Ausrichtungen der Vorrichtung bei der Verwendung oder beim Betrieb der Vorrichtung umfassen. Die Vorrichtung kann anderweitig ausgerichtet sein (um 90 Grad gedreht oder in anderen Ausrichtungen) und die hier verwendeten räumlichen relativen Beschreiber können desgleichen dementsprechend interpretiert werden.Further, for ease of discussion, spatially relative terms such as "below," "below," "lower," "above," "upper," and the like may be used herein to indicate the relationship of an element or feature to another element(s). or to describe features as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation of the device in addition to the orientation shown in the figures. The device may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatial relative descriptors used herein similarly interpreted accordingly.

RF-Halbleitervorrichtungen, die typischerweise auf Halbleiter-auf-Isolator- (SOI) - Substraten hergestellt werden, arbeiten bei hohen Frequenzen und erzeugen RF-Signale. Für diese RF-Vorrichtungen umfassen die SOI-Substrate typischerweise ein hochohmiges Handhabungssubstrat, eine Isolierschicht über dem Handhabungssubstrat und eine über der Isolierschicht angeordnete Halbleiterschicht. Das hochohmige Handhabungssubstrat weist eine niedrige Dotierungskonzentration auf und kann beispielsweise einen Widerstand im Bereich von 2 Kiloohm-Zentimeter (kΩ-cm)) bis 8 kΩ-cm aufweisen. Der hohe Widerstand des Handhabungssubstrats kann die Hochfrequenz- (RF) -Leistung der RF-Vorrichtungen in mancher Hinsicht verbessern, aber eine Beurteilung in der vorliegenden Offenbarung liegt in der Tatsache, dass das hochohmige Handhabungssubstrat immer noch eine Quelle von Wirbelströmen sein kann, wenn Träger aus dem Gitter des hochohmigen Handhabungssubstrats durch die RF-Signale befreit werden. Diese Wirbelströme, die hohe Frequenzen aufweisen können, stellen im endgültigen Chip eine Rauschquelle dar. Insbesondere können diese Wirbelströme zu Vorrichtungsübersprechen und/oder nicht linearer Signalverzerrung führen.RF semiconductor devices, typically fabricated on semiconductor-on-insulator (SOI) substrates, operate at high frequencies and generate RF signals. For these RF devices, the SOI substrates typically include a high resistance handle substrate, an insulating layer over the handle substrate, and a semiconductor layer overlying the insulating layer. The high resistance handle substrate has a low doping concentration and may have a resistivity ranging from 2 kiloohm-centimeters (kΩ-cm) to 8 kΩ-cm, for example point. The high resistance of the handle substrate can improve the radio frequency (RF) performance of the RF devices in some respects, but a judgment in the present disclosure lies in the fact that the high resistance handle substrate can still be a source of eddy currents when carrier be freed from the grid of the high impedance handle substrate by the RF signals. These eddy currents, which can have high frequencies, are a source of noise in the final chip. In particular, these eddy currents can lead to device crosstalk and/or non-linear signal distortion.

Um ein solches Übersprechen und eine solche nicht lineare Signalverzerrung zu verhindern, schlägt die vorliegende Offenbarung vor, die RF-Vorrichtungen auf einem SOI-Substrat herzustellen, das ein Handhabungssubstrat, eine Schicht aus Isoliermaterial und eine aktive Halbleiterschicht umfasst. Anstatt das vorhandene Handhabungssubstrat in der endgültigen Vorrichtung zu hinterlassen, entfernt das Herstellungsverfahren jedoch das Handhabungssubstrat von der Unterseite der Isolierschicht vor der Endverpackung der Vorrichtung, sodass das Handhabungssubstrat nicht mehr vorhanden ist und nicht mehr als eine Quelle von Wirbelströmen agiert.In order to prevent such crosstalk and non-linear signal distortion, the present disclosure proposes to fabricate the RF devices on an SOI substrate that includes a handle substrate, a layer of insulating material, and an active semiconductor layer. However, rather than leaving the existing handle substrate in the final device, the manufacturing process removes the handle substrate from the underside of the insulating layer prior to final packaging of the device, such that the handle substrate is no longer present and no longer acts as a source of eddy currents.

Unter Bezugnahme auf 1A ist eine Querschnittansicht von einigen Ausführungsformen einer Vorrichtung 100 gemäß der vorliegenden Offenbarung veranschaulicht. Die Vorrichtung 100 umfasst ein erstes Substrat 106, eine Kopplungsstruktur 112, die über dem ersten Substrat 106 angeordnet ist, und ein zweites Substrat 122, das über der Kopplungsstruktur 112 angeordnet ist. Das erste Substrat 106 umfasst eine Isolierschicht 110 und eine aktive Halbleiterschicht 108; und die Kopplungsstruktur 112 umfasst mehrere Metallschichten (z. B. 114a-114e), die innerhalb einer dielektrischen Struktur 116 angeordnet sind. Ein oder mehrere aktive Komponenten wie Metalloxidhalbleiter-Feldeffekttransistoren (MOSFETs) 111 sind in oder über einer Transistorzone 102 des ersten Substrats 106 angeordnet und ein oder mehrere passive Komponenten wie Induktor 128, Kondensator 130 und/oder Widerstand 131 sind über einer RF-Region 104 des ersten Substrats 106 angeordnet. Eine Substratdurchkontaktierung (TSV) 118 erstreckt sich vertikal durch die Halbleiterschicht 108 und durch die Isolierschicht 110. Die TSV 118 koppelt eine Metallschicht (z. B. 114a, 114b, 114c, ...) elektrisch mit einer Kontaktstelle 120 auf einer unteren Fläche der Isolierschicht 110. Eine Fläche der Kontaktstelle 120 kann durch eine Packaging- oder Formschicht 121 freigelegt bleiben, wodurch ermöglicht wird, dass die Vorrichtung 100 an einer Leiterplatte oder einem anderen Chip über Lötkontakthügel, Drahtanschlüsse usw. befestigt wird, sodass die Leiterplatte oder der andere Chip elektrisch mit aktiven und/oder passiven Komponenten auf der Vorrichtung 100 gekoppelt werden kann.With reference to 1A Illustrated is a cross-sectional view of some embodiments of an apparatus 100 according to the present disclosure. The device 100 includes a first substrate 106 , an interconnect 112 disposed over the first substrate 106 , and a second substrate 122 disposed over the interconnect 112 . The first substrate 106 comprises an insulating layer 110 and an active semiconductor layer 108; and coupling structure 112 includes multiple metal layers (e.g., 114a-114e) disposed within dielectric structure 116 . One or more active components such as metal oxide semiconductor field effect transistors (MOSFETs) 111 are disposed in or over a transistor region 102 of the first substrate 106 and one or more passive components such as inductor 128, capacitor 130 and/or resistor 131 are over an RF region 104 of the first substrate 106 arranged. A substrate via (TSV) 118 extends vertically through the semiconductor layer 108 and through the insulating layer 110. The TSV 118 electrically couples a metal layer (e.g., 114a, 114b, 114c, ...) to a pad 120 on a bottom surface of the Insulating layer 110. A surface of pad 120 may be left exposed by a packaging or molding layer 121, allowing device 100 to be attached to a circuit board or other chip via solder bumps, wire bonds, etc. such that the circuit board or other chip may be electrically coupled to active and/or passive components on device 100 .

Insbesondere weist das erste Substrat 106 ein Nichtvorhandensein eines Handhabungssubstrats unter der Isolierschicht 110 auf und daher ist die Kontaktstelle 120 bei einigen Ausführungsformen in direktem Kontakt mit der unteren Fläche der Isolierschicht 110. Es wird nachfolgend offensichtlicher, dass mit Bezugnahme auf die 2 bis 13 die Vorrichtung 100 beispielsweise durch einen Prozess hergestellt werden kann, bei dem das erste Substrat 106 anfänglich ein SOI-Wafer ist, der die Halbleiterschicht 108, Isolierschicht 110 und ein Handhabungssubstrat unter der Isolierschicht 110 umfasst. Bei der endgültigen Vorrichtung, wie sie beispielsweise in 1A gezeigt ist, wurde jedoch das darunterliegende Handhabungssubstrat entfernt, um zu verhindern, dass das darunterliegende Handhabungssubstrat während des Vorrichtungsbetriebs als eine Wirbelstromquelle agiert. Da die Isolierschicht 110 isolierend (und daher für Wirbelströme nicht anfällig) ist, beseitigt das Entfernen des darunterliegenden Handhabungssubstrats eine Quelle von problematischen Wirbelströmen von der Unterseite des ersten Substrats 106. Daher kann die Vorrichtung 100 weniger Übersprechen und weniger Verzerrung aufweisen als konventionelle Vorrichtungen.In particular, the first substrate 106 has an absence of a handle substrate under the insulating layer 110 and therefore, in some embodiments, the pad 120 is in direct contact with the bottom surface of the insulating layer 110. It will become more apparent below that with reference to FIGS 2 until 13 For example, device 100 may be fabricated by a process where first substrate 106 is initially an SOI wafer comprising semiconductor layer 108, insulating layer 110, and a handle substrate beneath insulating layer 110. In the final device, such as that shown in 1A 1, however, the underlying handle substrate has been removed to prevent the underlying handle substrate from acting as an eddy current source during device operation. Because insulating layer 110 is insulating (and therefore not susceptible to eddy currents), removal of the underlying handle substrate eliminates a source of problematic eddy currents from the underside of first substrate 106. Therefore, device 100 may exhibit less crosstalk and less distortion than conventional devices.

Um die verminderte Dicke und Struktursteifigkeit des ersten Substrats 106 aufgrund des Entfernens des darunterliegenden Handhabungssubstrats auszugleichen und eine ausreichende Dicke vorzusehen, um ein Package hinreichend auszufüllen und strukturelle Unterstützung während der Herstellung bereitzustellen, ist ein Handhabungssubstrat 124 über einer oberen Fläche 112u der Kopplungsstruktur 112 angeordnet. Eine optionale, aber vorteilhafte Fangschicht 126 kann die Kopplungsstruktur 112 vom Handhabungssubstrat 124 trennen. Die Fangschicht 126 ist konfiguriert, Träger zu fangen, die durch RF-Komponenten (z. B. Induktor 128 und/oder Kondensator 130) erregt werden, um Wirbelströme im Handhabungssubstrat 124 zu begrenzen. Als Beispiel sei ein Fall herangezogen, bei dem der Induktor 128 und/oder der Kondensator 130 individuell oder gemeinsam ein RF-Signal erzeugen, das im Handhabungssubstrat 124 zu einem gewissen Ausmaß Träger erregen kann, wenn eine geeignete Vorspannung angelegt wird. Die Fangschicht 126 ist konfiguriert, diese Träger zu fangen, um entsprechende Wirbelströme zu begrenzen. Die Fangschicht 126 kann sich bei einigen Ausführungsformen als dotiertes oder undotiertes Polysilizium oder als eine amorphe Siliziumschicht manifestieren. Die Fangschicht 126 kann mit dem Handhabungssubstrat 124 an einer Grenzfläche zusammentreffen, die in einigen Fällen Erhebungen und Vertiefungen aufweist, in anderen Fällen im Wesentlichen planar ist oder in anderen Fällen generell aufgeraut ist.To compensate for the reduced thickness and structural rigidity of the first substrate 106 due to the removal of the underlying handle substrate and to provide sufficient thickness to adequately fill out a package and provide structural support during manufacture, a handle substrate 124 is disposed over a top surface 112u of the interconnect 112. An optional but beneficial trap layer 126 may separate the interconnect 112 from the handle substrate 124 . The trapping layer 126 is configured to trap carriers excited by RF components (e.g., inductor 128 and/or capacitor 130) to limit eddy currents in the handle substrate 124. As an example, consider a case where the inductor 128 and/or the capacitor 130 individually or collectively generate an RF signal that can excite carriers in the handle substrate 124 to some extent when an appropriate bias is applied. The trapping layer 126 is configured to trap these carriers to limit corresponding eddy currents. The trap layer 126 may manifest as doped or undoped polysilicon or an amorphous silicon layer in some embodiments. The trap layer 126 may meet the handle substrate 124 at an interface that in some cases has peaks and valleys, in other cases is substantially planar, or in other cases is generally roughened.

1B zeigt einige Ausführungsformen, bei denen die Fangschicht 126 aus Polysilizium hergestellt ist und mehrere Korngrenzen 132 aufweist. Die Korngrenzen 132 sind Dislokationen oder Fehler wo die Atome der Fangschicht 126 innerhalb des Kristallgitters am falschen Platz oder fehlausgerichtet sind. Die Korngrenzen 132 agieren als Rekombinationszentren, die konfiguriert sind, Träger zu fangen (z. B. Träger aus dem Handhabungssubstrat 124). Sobald die Träger innerhalb der Rekombinationszentren gefangen sind, ist ihre Lebensdauer verringert. Daher wird durch Fangen von Trägern innerhalb der Korngrenzen 132 der Fangschicht 126 das Ansammeln von Trägern entlang einer unteren Fläche des Handhabungssubstrats 124 im Wesentlichen reduziert, was Wirbelströme, Übersprechen und nichtlineare Verzerrung während des Betriebs der Vorrichtung 100 abschwächt. 1B FIG. 12 shows some embodiments where the trap layer 126 is made of polysilicon and has multiple grain boundaries 132. FIG. The grain boundaries 132 are dislocations or faults where the atoms of the trap layer 126 are misplaced or misaligned within the crystal lattice. The grain boundaries 132 act as recombination centers configured to capture carriers (e.g., carriers from the handling substrate 124). Once the carriers are trapped within the recombination centers, their lifespan is reduced. Therefore, by trapping carriers within the grain boundaries 132 of the trapping layer 126, carrier accumulation along a bottom surface of the handle substrate 124 is substantially reduced, which mitigates eddy currents, crosstalk, and nonlinear distortion during operation of the device 100.

Bei einigen Ausführungsformen umfasst eine Grenzfläche zwischen dem Handhabungssubstrat 124 und der Fangschicht 126 eine Reihe von Erhebungen 134 und Vertiefungen 136, die ein Sägezahnprofil bilden können. Die Erhebungen 134 und Vertiefungen 136 erleichtern geringere Korngrößen und erleichtern daher mehr Korngrenzen in der Nähe der oberen Fläche des Handhabungssubstrats 124. Daher werden die meisten Träger an den Korngrenzen 132 gefangen, um Wirbelströme abzuschwächen und/oder zu verhindern. Die Erhebungen 134 und/oder Vertiefungen 136 können unter anderem dreieckigförmig, pyramidenförmig oder kegelförmig sein. Bei einigen Ausführungsformen können die Erhebungen 134 eine Höhe h im Bereich von ca. 10 nm bis zu ca. 1 µm wie gemessen von der Basis einer angrenzenden Vertiefung (oder einer entfernteren Vertiefung) aufweisen und bei einigen Ausführungsformen ca. 0,5 µm betragen. Die Erhebungen 134 können auch eine Breite w im Bereich von ca. 10 nm bis ca. 10 µm aufweisen und bei einigen Ausführungsformen ca. 1 µm betragen. Bei anderen Ausführungsformen können die Erhebungen 134 wie veranschaulicht in einer Spitze enden anstatt abgeflacht zu sein und/oder können abgerundet sein. Anstatt wie veranschaulicht in einer Spitze zu enden, können die Vertiefungen 136 bei anderen Ausführungsformen flach oder gerundet sein. Bei einigen Ausführungsformen können angrenzende Erhebungen die gleichen Höhen und/oder Breiten zueinander aufweisen (angrenzende Vertiefungen können auch die gleichen Tiefen und/oder Breiten zueinander aufweisen), aber Erhebungen können bei anderen Ausführungsformen auch unterschiedliche Höhen und/oder unterschiedliche Breiten zueinander aufweisen (und Vertiefungen können unterschiedliche Tiefen und/oder Breiten aufweisen). In einigen Fällen folgen die Erhebungen und/oder Vertiefungen einer Zufallsverteilung von Höhen und/oder Breiten, folgen einer Gaußschen Verteilung von Höhen und/oder Breiten oder folgen einer anderen Verteilung.In some embodiments, an interface between the handle substrate 124 and the capture layer 126 includes a series of peaks 134 and valleys 136 that may form a sawtooth profile. The peaks 134 and valleys 136 facilitate smaller grain sizes and therefore facilitate more grain boundaries near the top surface of the handle substrate 124. Therefore, most carriers are trapped at the grain boundaries 132 to mitigate and/or prevent eddy currents. The elevations 134 and/or indentations 136 may be triangular, pyramidal, or conical in shape, among others. In some embodiments, the bumps 134 may have a height h ranging from about 10 nm to about 1 μm as measured from the base of an adjacent depression (or a more distant depression), and in some embodiments about 0.5 μm. The bumps 134 can also have a width w in the range of about 10 nm to about 10 μm and can be about 1 μm in some embodiments. In other embodiments, the bumps 134 may be tipped as illustrated rather than being flattened and/or may be rounded. Rather than terminating in a peak as illustrated, in other embodiments the indentations 136 may be flat or rounded. In some embodiments, adjacent ridges may have the same heights and/or widths as one another (adjacent troughs may also have the same depths and/or widths as one another), but ridges may also have different heights and/or different widths from one another (and troughs may have different depths and/or widths). In some cases, the peaks and/or valleys follow a random distribution of heights and/or widths, follow a Gaussian distribution of heights and/or widths, or follow some other distribution.

Vorteilhafterweise stellt die Einbindung des Handhabungssubstrats 124 über der Kopplungsstruktur 112 eine erhöhte Struktursteifigkeit bereit, um das Nichtvorhandensein eines Handhabungssubstrats unter der Isolierschicht 110 auszugleichen. Außerdem reduziert die Fangschicht 126 vorteilhafterweise Wirbelströme als potenzielle Quelle von Rauschen im Handhabungssubstrat 124 und ist, obwohl optional, für viele Anwendungen vorteilhaft.Advantageously, the incorporation of the handle substrate 124 over the interconnect structure 112 provides increased structural rigidity to compensate for the absence of a handle substrate under the insulating layer 110 . In addition, the trapping layer 126 advantageously reduces eddy currents as a potential source of noise in the handle substrate 124 and, although optional, is beneficial for many applications.

Unter Bezugnahme auf die 2 bis 13 stellen eine Reihe von Querschnittansichten gemeinsam ein Verfahren zum Herstellen einer Vorrichtung gemäß einigen Ausführungsformen dar.Referring to the 2 until 13 10 is a series of cross-sectional views collectively depicting a method of fabricating a device according to some embodiments.

2 veranschaulicht eine Querschnittansicht von einigen Ausführungsformen eines Vorsehens eines SOI-Substrats 106'. Wie veranschaulicht, durch 2 ist das SOI-Substrat 106' ein Halbleiter-auf-Isolator- (SOI) -Substrat, das ein Handhabungssubstrat 202, eine Isolierschicht 110, die über dem Handhabungssubstrat 202 angeordnet ist, und eine Halbleiterschicht 108, die über der Isolierschicht 110 angeordnet ist, umfasst. In vielen Fällen kann das SOI-Substrat 106' die Form eines scheibenähnlichen Wafers annehmen. Solch ein Wafer kann beispielsweise einen Durchmesser von 1 Zoll (25 mm); 2 Zoll (51 mm); 3 Zoll (76 mm); 4 Zoll (100 mm); 5 Zoll (130 mm) oder 125 mm (4,9 Zoll); 150 mm (5,9 Zoll, gewöhnlich als „6 Zoll“ bezeichnet); 200 mm (7,9 Zoll, gewöhnlich als „8 Zoll“ bezeichnet); 300 mm (11,8 Zoll, gewöhnlich als „12 Zoll“ bezeichnet); oder 450 mm (17,7 Zoll, gewöhnlich als „18 Zoll“ bezeichnet); aufweisen. 2 FIG. 11 illustrates a cross-sectional view of some embodiments of providing an SOI substrate 106'. As illustrated by 2 the SOI substrate 106' is a semiconductor-on-insulator (SOI) substrate comprising a handle substrate 202, an insulating layer 110 disposed over the handle substrate 202, and a semiconductor layer 108 disposed over the insulating layer 110, includes. In many cases, the SOI substrate 106' may take the form of a disk-like wafer. Such a wafer may be, for example, 1 inch (25 mm) in diameter; 2 inches (51mm); 3 inches (76mm); 4 inches (100mm); 5 inches (130mm) or 125mm (4.9 inches); 150 mm (5.9 in, commonly referred to as "6 in"); 200 mm (7.9 in, commonly referred to as "8 in"); 300 mm (11.8 in, commonly referred to as "12 in"); or 450 mm (17.7 in, commonly referred to as "18 in"); exhibit.

Das Handhabungssubstrat 202 kann eine Dicke aufweisen, die ausreichend ist, um das SOI-Substrat 106' mit der ausreichenden Struktursteifigkeit auszustatten, um Halbleiterbearbeitungsvorgängen zu widerstehen. Bei einigen Ausführungsformen weist das Handhabungssubstrat 202 beispielsweise eine Dicke im Bereich von ca. 200 µm bis ca. 1000 µm auf und beträgt ca. 700 µm bei einigen Ausführungsformen. Bei Ausführungsbeispielen kann das Handhabungssubstrat 202 ein Siliziumhandhabungssubstrat mit niedrigem spezifischem Widerstand sein, das einen Widerstand zwischen mehreren Ohm-cm und mehreren zehn Ohm-cm und bei einigen Ausführungsformen zwischen 8 Ohm-cm und 12 Ohm-cm aufweist. Bei alternativen Ausführungsformen kann das Handhabungssubstrat 202 ein hochohmiges Siliziumhandhabungssubstrat mit einem Widerstand zwischen mehreren hundert und mehreren tausend Ohm-cm sein und bei einigen Ausführungsformen zwischen 2 kΩ-cm bis 8 kΩ-cm liegen.. Obwohl entweder ein hochohmiges oder niederohmiges Siliziumsubstrat verwendet werden kann, ist es vorteilhaft, niederohmige Siliziumsubstrate zu verwenden, da niederohmige Siliziumsubstrate billig sind und ein größerer spezifischer Widerstand keine signifikanten Vorteile bietet, da das Handhabungssubstrat 202 bei diesem Herstellungsverfahren entfernt wird. Andere Handhabungssubstrate wie Saphirsubstrate können auch verwendet werden.The handle substrate 202 may have a thickness sufficient to provide the SOI substrate 106' with sufficient structural rigidity to withstand semiconductor processing operations. For example, in some embodiments, the handle substrate 202 has a thickness in the range of about 200 μm to about 1000 μm, and is about 700 μm in some embodiments. In embodiments, handle substrate 202 may be a low resistivity silicon handle substrate having a resistivity between several ohm-cm and tens of ohm-cm, and in some embodiments between 8 ohm-cm and 12 ohm-cm. In alternative embodiments, the handle substrate 202 may be a high-resistance silicon handle substrate with a resistivity between several hundred and several thousand ohm-cm, and in some embodiments between 2 kΩ-cm to 8 kΩ-cm. Although either a high-resistance or low-resistance silicon substrate may be used , it is advantageous to use low-resistance silicon substrates because low-resistance silicon substrates are cheap and have a larger specific resistance does not offer any significant advantages since the handle substrate 202 is removed in this manufacturing process. Other handle substrates such as sapphire substrates can also be used.

Bei einigen Ausführungsformen kann die Isolierschicht 110 eine Dicke im Bereich von kleiner als ein Mikrometer bis zu mehreren Mikrometern aufweisen, was ausreichend ist, um eine Potenzialtrennung zwischen dem Handhabungssubstrat 202 und der Halbleiterschicht 108 bereitzustellen. Bei einigen Ausführungsformen kann die Isolierschicht 110 Siliziumdioxid sein, das eine Dielektrizitätskonstante von ca. 3,9 aufweist. Bei anderen Ausführungsformen kann die Isolierschicht 110 ein Low-κ-Dielektrikum sein. Nicht begrenzende Beispiele von Low-κ-Dielektrikum umfassen, sind aber nicht beschränkt auf: fluordotiertes Siliziumdioxid, kohlenstoffdotiertes Siliziumdioxid, poröses Siliziumdioxid, poröses kohlenstoffdotiertes Siliziumdioxid, aufgeschleuderte organische polymere Dielektrika und/oder aufgeschleudertes siliziumbasiertes polymeres Dielektrikum.In some embodiments, the insulating layer 110 may have a thickness ranging from less than one micron to several microns, which is sufficient to provide electrical isolation between the handle substrate 202 and the semiconductor layer 108 . In some embodiments, insulating layer 110 may be silicon dioxide, which has a dielectric constant of about 3.9. In other embodiments, the insulating layer 110 may be a low-κ dielectric. Non-limiting examples of low-κ dielectric include, but are not limited to: fluorine-doped silica, carbon-doped silica, porous silica, porous carbon-doped silica, spin-on organic polymeric dielectrics, and/or spin-on silicon-based polymeric dielectric.

Bei einigen Ausführungsformen ist die Halbleiterschicht 108 eine Schicht aus reinem Silizium, das eine monokristalline Gitterstruktur aufweisen und intrinsisch (z. B. undotiert) oder ein dotierter p-Typ oder n-Typ sein kann. Die Halbleiterschicht 108 kann bei einigen Ausführungsformen eine Dicke im Bereich von mehreren Mikrometern bis hinunter zu ca. einem Nanometer aufweisen. Die Halbleiterschicht 108 kann auch eine Halbleiterverbindung sein, die aus Elementen von zwei oder mehr unterschiedlichen Gruppen des Periodensystems hergestellt ist. Die Elemente können Zweistofflegierungen (zwei Elemente, z. B. GaAs), Dreistofflegierungen (drei Elemente, z. B. InGaAs oder AlGaAs) oder Vierstofflegierungen (vier Elemente, z. B. AlInGaP) bilden. Die Halbleiterschicht 108 kann dotierte Regionen, Epitaxieschichten, Isolierschichten, die in oder auf der Halbleiterschicht gebildet sind, Fotolackschichten, die in oder auf der Halbleiterschicht gebildet sind, und/oder leitenden Schichten, die in oder auf der Halbleiterschicht gebildet sind, umfassen.In some embodiments, the semiconductor layer 108 is a layer of pure silicon, which may have a monocrystalline lattice structure and may be intrinsic (eg, undoped) or doped p-type or n-type. Semiconductor layer 108 may have a thickness ranging from several micrometers down to about one nanometer in some embodiments. The semiconductor layer 108 may also be a compound semiconductor made of elements from two or more different groups of the periodic table. The elements can form binary alloys (two elements, e.g. GaAs), ternary alloys (three elements, e.g. InGaAs or AlGaAs) or quaternary alloys (four elements, e.g. AlInGaP). The semiconductor layer 108 may include doped regions, epitaxial layers, insulating layers formed in or on the semiconductor layer, photoresist layers formed in or on the semiconductor layer, and/or conductive layers formed in or on the semiconductor layer.

In 3 werden aktive Komponenten wie MOSFETS 111 und/oder andere Feldeffekttransistoren (FETs) in oder über einer Transistorzone 102 der Halbleiterschicht 108 gebildet. Eine flache Grabenisolation- (STI) -Region 117 wird gebildet, in der Isoliermaterial eine Insel des Materials der Halbleiterschicht 108 umgibt. Eine Gateelektrode 123 wird gebildet, Seitenwandabstandselemente 125 werden auf gegenüberliegenden Seitenwänden der Gateelektrode 123 gebildet und Source/Drain-Regionen 119 werden auf gegenüberliegenden Seiten der Seitenwandabstandselemente 125 gebildet. Ein Gatedielektrikum 127 trennt die Gateelektrode 123 von einer Kanalregion in der Halbleiterschicht, welche die Source/Drain-Regionen 119 trennt. Bei einigen Ausführungsformen umfasst die Gateelektrode 123 Polysilizium oder Metall, die Seitenwandabstandselemente 125 umfassen Siliziumnitrid und das Gatedielektrikum 127 umfasst Siliziumdioxid oder High-κ-Dielektrikum. Obwohl nicht veranschaulicht, können die Transistoren 111 auch andere Formen wie finFET-Vorrichtungen, Bipolartransistoren, Floating-Gate-Transistoren usw. annehmen. Ein Widerstand 131, der beispielsweise aus Polysilizium 135 hergestellt werden kann und von der Halbleiterschicht 108 mittels dem Gatedielektrikum und/oder einem anderen Dielektrikum 129 isoliert werden kann, kann in der RF-Region 104 gebildet werden. Eine Dielektrikumschicht 133 erstreckt sich über obere Flächen der Gateelektroden 123 und Source/Drain-Regionen 119. Die Dielektrikumschicht 133 kann ein Low-κ-Dielektrikum oder Siliziumdioxid umfassen.In 3 Active components such as MOSFETS 111 and/or other field effect transistors (FETs) are formed in or over a transistor region 102 of the semiconductor layer 108 . A shallow trench isolation (STI) region 117 is formed in which insulating material surrounds an island of the semiconductor layer 108 material. A gate electrode 123 is formed, sidewall spacers 125 are formed on opposite sidewalls of the gate electrode 123 , and source/drain regions 119 are formed on opposite sides of the sidewall spacers 125 . A gate dielectric 127 separates the gate electrode 123 from a channel region in the semiconductor layer separating the source/drain regions 119 . In some embodiments, gate electrode 123 comprises polysilicon or metal, sidewall spacers 125 comprises silicon nitride, and gate dielectric 127 comprises silicon dioxide or high-κ dielectric. Although not illustrated, transistors 111 may take other forms such as finFET devices, bipolar transistors, floating gate transistors, and so on. A resistor 131 , which can be made of polysilicon 135 , for example, and isolated from the semiconductor layer 108 by the gate dielectric and/or other dielectric 129 , can be formed in the RF region 104 . A dielectric layer 133 extends over top surfaces of gate electrodes 123 and source/drain regions 119. Dielectric layer 133 may comprise a low-κ dielectric or silicon dioxide.

In 4 werden die Source/Drain-Kontakte 150 gebildet, um eine ohmsche Verbindung zu den Source/Drain-Regionen 119 durch die Dielektrikumschicht 133 vorzusehen, und Gatekontakte 152 werden gebildet, um eine ohmsche Verbindung zu oberen Flächen der Gateelektroden 123 vorzusehen. Bei einigen Ausführungsformen können die Source/Drain-Kontakte 150 und/oder Gatekontakte 152 beispielsweise Kupfer, Wolfram, Aluminium, Gold, Titan oder Titannitrid umfassen. Außerdem wird eine Substratdurchkontaktierung (TSV) 118 gebildet. Die veranschaulichte TSV 118 erstreckt sich nach unten durch die Dielektrikumschicht 133, durch die Halbleiterschicht 108 und durch die Isolierschicht 110. Bei anderen Ausführungsformen kann sich die TSV 118 auch nach unten teilweise oder vollständig durch das Handhabungssubstrat 202 erstrecken. Die TSV 118 kann beispielsweise aus Kupfer, Wolfram, Aluminium, Gold, Titan oder Titannitrid hergestellt werden und kann aus dem gleichen oder unterschiedlichem Material hergestellt werden wie die Source/Drain-Kontakte 150 und/oder die Gatekontakte 152. Die TSV 118 wird typischerweise durch eine getrennte Fotomaske und/oder getrenntes Ätzen gegenüber den Source/Drain-Kontakten und/oder Gatekontakten gebildet.In 4 For example, source/drain contacts 150 are formed to provide ohmic connection to source/drain regions 119 through dielectric layer 133, and gate contacts 152 are formed to provide ohmic connection to upper surfaces of gate electrodes 123. FIG. In some embodiments, source/drain contacts 150 and/or gate contacts 152 may comprise copper, tungsten, aluminum, gold, titanium, or titanium nitride, for example. A substrate via (TSV) 118 is also formed. The illustrated TSV 118 extends down through the dielectric layer 133, through the semiconductor layer 108, and through the insulating layer 110. In other embodiments, the TSV 118 may also extend down partially or fully through the handle substrate 202. The TSV 118 can be made of copper, tungsten, aluminum, gold, titanium, or titanium nitride, for example, and can be made of the same or different material than the source/drain contacts 150 and/or the gate contacts 152. The TSV 118 is typically formed by a separate photomask and/or etch is formed opposite the source/drain contacts and/or gate contacts.

Wie veranschaulicht, durch 5 wird eine Kopplungsstruktur 112 über dem SOI-Substrat 106' gebildet. Die Kopplungsstruktur 112 wird durch Bilden einer ersten Dielektrikumschicht 154, wie eine Low-κ-Dielektrikumschicht, Nitrid- oder Siliziumdioxiddielektrikumschicht, und dann Bilden von einer oder mehreren Fotoresistmasken über der ersten Dielektrikumschicht 154 gebildet. Mit einer vorhandenen Fotoresistmaske wird ein Ätzen ausgeführt, um Grabenöffnungen und/oder Durchkontaktierungsöffnungen in der ersten Dielektrikumschicht 154 zu bilden. Dann wird Metall abgeschieden, um die Öffnungen in der ersten Dielektrikumschicht 154 zu füllen, wodurch Durchkontaktierungen und/oder Metallleitungen 156 entsprechend einer Metall-i-Schicht gebildet werden. Bei einigen Ausführungsformen wird Kupfer verwendet, um die Öffnungen in der ersten Dielektrikumschicht 154 derart zu füllen, dass Durchkontaktierungen und Metall-1-Leitungen aus Kupfer hergestellt werden. Bei Ausführungsformen, bei denen Kupfer verwendet wird, werden die Öffnungen typischerweise mit einer Diffusionssperrschicht ausgekleidet, dann wird eine Kupferbekeimungsschicht über der Diffusionssperrschicht gebildet und ein Elektroplattierverfahren verwendet, um Kupfer aufzubauen und die Öffnungen zu füllen. Die Diffusionssperrschicht weist typischerweise eine hohe elektrische Leitfähigkeit auf, um einen guten elektronischen Kontakt aufrechtzuerhalten, während eine ausreichend niedrige Kupferdiffusionsfähigkeit aufrechterhalten wird, um diese Kupferleiterfilme von darunterliegenden Strukturen ausreichend chemisch zu isolieren. Cobalt, Ruthenium, Tantal, Tantalnitrid, Indiumoxid, Wolframnitrid und Titannitrid sind einige nicht begrenzende Beispiele von Materialien, die für die Diffusionssperrschicht verwendet werden können. Nachdem das Metall gewachsen wurde, um die Öffnungen zu füllen, erfolgt ein chemisch-mechanisches Planarisieren- (CMP) -Arbeitsvorgang, um die erste Metallschicht und das erste Dielektrikum an der Ebene 154a zu planarisieren. Dann wird eine zweite Dielektrikumschicht 158 gebildet, Öffnungen werden in der zweiten Dielektrikumschicht 158 gebildet und Metall wird abgeschieden, um Durchkontaktierungen und Metall-2-Leitungen 160 zu bilden. Zusätzliche Dielektrikum- und Metallschichten werden auf diese Weise gebildet, bis die Kopplungsstruktur 112 gebildet ist. Wie veranschaulicht in 5 kann die Kopplungsstruktur 112 eine RF-Komponente wie Induktor 128 und/oder Kondensator 130 umfassen, die über einer RF-Region 104 des SOI-Substrats 106' gebildet wird.As illustrated by 5 An interconnect 112 is formed over the SOI substrate 106'. The interconnect 112 is formed by forming a first dielectric layer 154, such as a low-κ dielectric layer, nitride, or silicon dioxide dielectric layer, and then forming one or more photoresist masks over the first dielectric layer 154. FIG. With an existing photoresist mask, an etch is performed around trench openings and/or via openings in the first dielectric layer 154 to form. Metal is then deposited to fill the openings in the first dielectric layer 154, forming vias and/or metal lines 156 corresponding to a metal i-layer. In some embodiments, copper is used to fill the openings in the first dielectric layer 154 such that vias and metal 1 lines are made of copper. In embodiments where copper is used, the openings are typically lined with a diffusion barrier layer, then a copper seed layer is formed over the diffusion barrier layer, and an electroplating process is used to build up copper and fill the openings. The diffusion barrier layer typically has a high electrical conductivity to maintain good electronic contact while maintaining a sufficiently low copper diffusivity to adequately chemically isolate these copper conductor films from underlying structures. Cobalt, ruthenium, tantalum, tantalum nitride, indium oxide, tungsten nitride, and titanium nitride are some non-limiting examples of materials that can be used for the diffusion barrier layer. After the metal is grown to fill the openings, a chemical mechanical planarization (CMP) operation is performed to planarize the first metal layer and first dielectric at level 154a. A second dielectric layer 158 is then formed, openings are formed in the second dielectric layer 158 and metal is deposited to form vias and metal-2 lines 160 . Additional dielectric and metal layers are formed in this manner until interconnect 112 is formed. As illustrated in 5 For example, the coupling structure 112 may include an RF component such as inductor 128 and/or capacitor 130 formed over an RF region 104 of the SOI substrate 106'.

In 6 wird ein zweites Handhabungssubstrat 124' wie ein massiver Siliziumwafer vorgesehen. Das zweite Handhabungssubstrat 124' kann eine Dicke aufweisen, die zwischen 300 µm und 1000 µm liegt und bei einigen Ausführungsformen ca. 700 µm beträgt. Bei einigen Ausführungsformen kann das zweite Handhabungssubstrat 124' einen spezifischen Widerstand aufweisen, der größer ist als der des Handhabungssubstrats 202. Bei einigen Ausführungsformen, kann das zweite Handhabungssubstrat 124' beispielsweise einen spezifischen Widerstand, der zwischen mehreren hundert und mehreren tausend Ohm-cm liegt und bei einigen Ausführungsformen zwischen 2 kΩ-cm bis 8 kΩ-cm liegt, aufweisen, was dabei unterstützen kann, Wirbelströme in der endgültigen Vorrichtung zu reduzieren. In einigen Fällen ist das zweite Handhabungssubstrat 124' zur strukturellen Unterstützung vorgesehen und kann daher ein Nichtvorhandensein von Vorrichtungsmerkmalen und ein Nichtvorhandensein von Kopplungsstrukturmerkmalen bei einigen Ausführungsformen aufweisen. In vielen Fällen kann das zweite Handhabungssubstrat 124' die Form eines scheibenähnlichen Wafers annehmen. Solch ein Wafer kann beispielsweise einen Durchmesser von 1 Zoll (25 mm); 2 Zoll (51 mm); 3 Zoll (76 mm); 4 Zoll (100 mm); 5 Zoll (130 mm) oder 125 mm (4,9 Zoll); 150 mm (5,9 Zoll, gewöhnlich als „6 Zoll“ bezeichnet); 200 mm (7,9 Zoll, gewöhnlich als „8 Zoll“ bezeichnet); 300 mm (11,8 Zoll, gewöhnlich als „12 Zoll“ bezeichnet); oder 450 mm (17,7 Zoll, gewöhnlich als „18 Zoll“ bezeichnet) aufweisen; aufweisen; und weist häufig den gleichen Durchmesser wie das SOI-Substrat 106' auf.In 6 a second handle substrate 124' such as a bulk silicon wafer is provided. The second handle substrate 124' may have a thickness ranging from 300 μm to 1000 μm, and in some embodiments is approximately 700 μm. In some embodiments, the second handle substrate 124' may have a resistivity that is greater than that of the handle substrate 202. For example, in some embodiments, the second handle substrate 124' may have a resistivity that is between several hundred and several thousand ohm-cm and in some embodiments is between 2 kΩ-cm to 8 kΩ-cm, which may help reduce eddy currents in the final device. In some cases, the second handle substrate 124' is intended for structural support and may therefore have an absence of device features and an absence of interconnect features in some embodiments. In many cases, the second handle substrate 124' may take the form of a disk-like wafer. Such a wafer may be, for example, 1 inch (25 mm) in diameter; 2 inches (51mm); 3 inches (76mm); 4 inches (100mm); 5 inches (130mm) or 125mm (4.9 inches); 150 mm (5.9 in, commonly referred to as "6 in"); 200 mm (7.9 in, commonly referred to as "8 in"); 300 mm (11.8 in, commonly referred to as "12 in"); or 450 mm (17.7 inches, commonly referred to as "18 inches");exhibit; and often has the same diameter as the SOI substrate 106'.

In 7 wird eine obere Fläche des zweiten Handhabungssubstrats 124' geätzt, um Erhebungen 134 und Vertiefungen 136 zu bilden. Die Erhebungen 134 und Vertiefungen 136 werden hergestellt, indem zuerst eine Fotomaske (nicht gezeigt) verwendet wird, um eine Struktur auf der oberen Fläche zu definieren, und dann die obere Fläche einem Ätzmittel 702 ausgesetzt wird, um die obere Fläche mit Erhebungen und Vertiefungen rau zu machen. Bei anderen Ausführungsformen kann das zweite Handhabungssubstrat 124' beschädigt werden, indem die obere Fläche des zweiten Handhabungssubstrats 124' mechanisch beschädigt wird (z. B. Mikrokratzen, abrasives Strahlen usw.) oder durch Ausführen von Sputtern, einer Abscheidung oder selbstorganisierender Monoschicht. Bei einigen Ausführungsformen umfassen die Erhebungen und Vertiefungen sägezahnartig geformte Vorsprünge und entsprechende Vertiefungen, wobei Erhebungen und Vertiefungen der individuellen „Zähne“ in gleichen Abständen oder zufälligen Abständen beabstandet sind. Bei anderen Ausführungsformen umfassen die Erhebungen und Vertiefungen zufällig geformte Vorsprünge, die unterschiedliche Gitterrichtungen und -geometrien aufweisen. Bei einigen Ausführungsformen kann das Ätzmittel 702 ein Trockenätzmittel (z. B. ein Plasmaätzmittel, ein RIE-Ätzmittel usw.) oder ein Nassätzmittel (z. B. Fluorwasserstoffsäure) umfassen.In 7 For example, a top surface of the second handle substrate 124' is etched to form bumps 134 and valleys 136. FIG. The bumps 134 and valleys 136 are fabricated by first using a photomask (not shown) to define a pattern on the top surface and then exposing the top surface to an etchant 702 to roughen the top surface with bumps and valleys close. In other embodiments, the second handle substrate 124' may be damaged by mechanically damaging the top surface of the second handle substrate 124' (e.g., micro-scratching, abrasive blasting, etc.) or by performing sputtering, deposition, or self-assembling monolayer. In some embodiments, the peaks and valleys comprise sawtooth-shaped projections and corresponding valleys, with peaks and valleys of the individual "teeth" being spaced at equal or random intervals. In other embodiments, the peaks and valleys comprise randomly shaped protrusions that have different lattice orientations and geometries. In some embodiments, the etchant 702 may comprise a dry etchant (e.g., a plasma etchant, an RIE etchant, etc.) or a wet etchant (e.g., hydrofluoric acid).

In 8 wird eine Fangschicht 126 über den Erhebungen 134 und Vertiefungen 136 derart gebildet, dass eine Grenzfläche zwischen der Fangschicht 126 und dem zweiten Handhabungssubstrat 124' hergestellt wird. Daher wird ein zweites Substrat 122 vorgesehen. Bei einigen Ausführungsformen kann die Fangschicht 126 eine polykristalline Siliziumschicht sein. Bei anderen Ausführungsformen kann die Fangschicht 126 amorphes Silizium umfassen, das eine Dotierstoffart umfasst. Bei verschiedenen Ausführungsformen kann die Dotierstoffart Argon (Ar), Kohlenstoff (C) und/oder Germanium (Ge) umfassen. Die Fläche 802 der Fangschicht, die am weitesten vom zweiten Handhabungssubstrat 124' entfernt ist, kann in einigen Fällen unter Verwendung von beispielsweise CMP planarisiert werden, um sie für Bonden geeigneter zu machen.In 8th a trap layer 126 is formed over the peaks 134 and valleys 136 such that an interface is established between the trap layer 126 and the second handle substrate 124'. Therefore, a second substrate 122 is provided. In some embodiments, the trap layer 126 may be a polycrystalline silicon layer. In other embodiments, the trap layer 126 may comprise amorphous silicon that includes a dopant species. In various embodiments, the dopant species may include argon (Ar), carbon (C), and/or germanium (Ge). The surface 802 of the capture layer, that is farthest from the second handle substrate 124' may in some cases be planarized using, for example, CMP to make it more suitable for bonding.

In 9 werden das SOI-Substrat 106' und die Kopplungsstruktur 112 an das zweite Substrat 122 gebondet. Dieses Bonden kann eine von vielen Formen annehmen, wie beispielsweise Fusionsbonden oder Bonden durch Epoxid. Bei einigen Ausführungsformen kann ein Oxid vor dem Bonden über der unteren Fläche der Fangschicht 126 gebildet werden und das Oxid auf der unteren Fläche der Fangschicht 126 kann dann an die obere Fläche der Kopplungsstruktur 112 durch Ausführen eines Glühprozesses gebondet werden.In 9 the SOI substrate 106 ′ and the coupling structure 112 are bonded to the second substrate 122 . This bonding can take one of many forms, such as fusion bonding or epoxy bonding. In some embodiments, an oxide may be formed over the bottom surface of trap layer 126 prior to bonding, and the oxide on the bottom surface of trap layer 126 may then be bonded to the top surface of interconnect 112 by performing an anneal process.

In 10 wird das Handhabungssubstrat 202 entfernt. Bei einigen Ausführungsformen wird ein zweistufiger Prozess verwendet, um das Handhabungssubstrat 202 zu entfernen. Während einer ersten Stufe wird ein Schleifverfahren verwendet, um das Handhabungssubstrat, beispielsweise um einen ersten Abstand d1 auszudünnen. Das Schleifverfahren kann eine Fläche verwenden, die einigermaßen abrasiv ist und daher durch den Abstand d1 des Handhabungssubstrats 202 hindurch recht schnell herunterschleift. Nachdem das Schleifverfahren wie beispielsweise wie bestimmt durch eine vorbestimmte Zeit oder durch Ausführen von Messungen, die anzeigen, dass der vorbestimmte Abstand d1 entfernt wurde, abgeschlossen ist; wird ein chemisch-mechanisches Planarisieren- (CMP) -Arbeitsvorgang ausgeführt, um eine zweite Restmenge d2 des Handhabungssubstrats 202 zu entfernen. Der CMP-Arbeitsvorgang verwendet typischerweise ein Polierpad, das weniger abrasiv ist als beim Schleifen, wodurch eine glattere gleichförmigere Fläche als beim Schleifen vorgesehen wird. Der CMP-Arbeitsvorgang kann beispielsweise enden, nachdem eine vorbestimmte Zeit abgelaufen ist, oder wenn Messungen anzeigen, dass das Handhabungssubstrat 202 vollständig entfernt wurde. Es ist offensichtlich, dass bei einigen Ausführungsformen eine Menge an ausgedünntem Handhabungssubstrat 202 auf der unteren Fläche der Isolierschicht 110 hinterlassen wird.In 10 the handle substrate 202 is removed. In some embodiments, a two-step process is used to remove handle substrate 202 . During a first stage, a grinding process is used to thin the handle substrate, for example, by a first distance d1. The grinding process may use a surface that is reasonably abrasive and therefore grinds down the handle substrate 202 distance d1 quite quickly. After the grinding process is completed, such as as determined by a predetermined time or by taking measurements indicating that the predetermined distance d1 has been removed; a chemical mechanical planarization (CMP) operation is performed to remove a second residual amount d2 of the handle substrate 202. FIG. The CMP operation typically uses a polishing pad that is less abrasive than grinding, thereby providing a smoother, more uniform surface than grinding. For example, the CMP operation may end after a predetermined time has elapsed or when measurements indicate that the handle substrate 202 has been completely removed. It is apparent that in some embodiments an amount of thinned handle substrate 202 is left on the bottom surface of insulating layer 110 .

11 zeigt die Struktur von 10, nachdem CMP ausgeführt wurde. Im Beispiel von 11 wird der untere Abschnitt der TSV 118 freigelegt. 11 shows the structure of 10 after CMP runs. In the example of 11 the lower section of TSV 118 is exposed.

In 12 wurde eine Kontaktstelle 120 in direktem Kontakt mit dem unteren Abschnitt der TSV 118 gebildet. Die Kontaktstelle 120 ist bei einigen Ausführungsformen in direktem Kontakt mit der Unterseite der Isolierschicht 110. Die Kontaktstelle 120 kann beispielsweise aus Kupfer, Wolfram, Aluminium, Gold, Titan oder Titannitrid hergestellt werden. Bei einigen Ausführungsformen wird die Kontaktstelle 120 durch Bilden einer Metallschicht auf der unteren Fläche der Isolierschicht 110 und dann Strukturieren der Metallschicht beispielsweise unter Verwendung einer Fotolithographiemaske und Ausführen von Ätzen der Metallschicht mit der vorhandenen Fotolithographiemaske hergestellt. Zu beachten ist, dass 12 entsprechend mehrere unterschiedliche TSVs 118, 118a, 118b und entsprechende Kontaktstellen 120, 120a, 120b zeigt, um einige Beispiele hervorzuheben. Die TSV 118 erstreckt sich zwischen Metall-i-Schicht, Dielektrikumschicht 133, Halbleiterschicht 108 und Isolierschicht 110; während sich die zweite TSV 118a von einer unteren Fläche des Widerstands 131 durch das Dielektrikum 129, die Halbleiterschicht 108 und Isolierschicht 110 erstreckt. Eine dritte TSV 118b erstreckt sich von der Metall-2-Leitung durch die zweite Dielektrikumschicht 158, die erste Dielektrikumschicht 154, die Dielektrikumschicht 133, durch die Halbleiterschicht 108 und durch die Isolierschicht 110.In 12 a pad 120 in direct contact with the lower portion of the TSV 118 was formed. Pad 120 is in direct contact with the underside of insulating layer 110 in some embodiments. Pad 120 may be made of copper, tungsten, aluminum, gold, titanium, or titanium nitride, for example. In some embodiments, pad 120 is formed by forming a metal layer on the bottom surface of insulating layer 110 and then patterning the metal layer using, for example, a photolithographic mask and performing etching of the metal layer with the existing photolithographic mask. It should be noted that 12 12 shows several different TSVs 118, 118a, 118b and corresponding pads 120, 120a, 120b, respectively, to highlight some examples. TSV 118 extends between metal i-layer, dielectric layer 133, semiconductor layer 108 and insulating layer 110; while the second TSV 118a extends from a lower surface of the resistor 131 through the dielectric 129, the semiconductor layer 108 and the insulating layer 110. FIG. A third TSV 118b extends from the metal-2 line, through second dielectric layer 158, first dielectric layer 154, dielectric layer 133, through semiconductor layer 108, and through insulating layer 110.

Nach dem Bilden der Kontaktstellen 120 kann die Struktur, die häufig noch in der Form eines scheibenähnlichen Wafers ist, optional an andere Substrate gebondet werden, um einen 3D-IC herzustellen, und kann in individuelle Chiplagen oder integrierte Schaltungen geschnitten oder geritzt werden. Dann wird in 13 eine Packagingschicht 121 gebildet, um eine untere Fläche der Isolierschicht 110 abzudecken. Die Packagingschicht 121 kann sich entlang von Seitenwänden der Vorrichtung erstrecken, um eine obere Fläche des zweiten Handhabungssubstrats 122 abzudecken. Die Packagingschicht 121 kann beispielsweise aus Keramik oder einem Polymermaterial hergestellt werden und kann die Vorrichtung vor Umgebungsextremen, Korrosionswirkung, Schmutz, Staub, Wasserdampf usw. schützen.After forming the pads 120, the structure, which is often still in the form of a disc-like wafer, can optionally be bonded to other substrates to create a 3D IC, and can be cut or scribed into individual dies or integrated circuits. Then in 13 a packaging layer 121 is formed to cover a lower surface of the insulating layer 110 . The packaging layer 121 may extend along sidewalls of the device to cover a top surface of the second handle substrate 122 . The packaging layer 121 can be made of ceramic or a polymeric material, for example, and can protect the device from environmental extremes, corrosive action, dirt, dust, water vapor, and so on.

14 veranschaulicht ein Ablaufdiagramm von einigen Ausführungsformen eines Verfahrens 1400 zum Herstellen einer Vorrichtung gemäß einigen Aspekten dieser Offenbarung. Obwohl das offenbarte Verfahren 1400 nachstehend als eine Reihe von Vorgängen oder Ereignissen veranschaulicht und beschrieben wird, ist es selbstverständlich, dass die veranschaulichte Reihenfolge solcher Vorgänge oder Ereignisse nicht einschränkend ausgelegt werden soll. Beispielsweise können einige Handlungen abgesehen von den hierin veranschaulichten und/oder beschriebenen in unterschiedlicher Reihenfolge und/oder gleichzeitig mit anderen Handlungen oder Ereignissen stattfinden. Außerdem können nicht alle veranschaulichten Handlungen erforderlich sein, um einen oder mehrere Aspekte oder Ausführungsformen der Beschreibung hierin zu implementieren. Weiter können eine oder mehrere der hierin dargestellten Handlungen in einer oder mehreren getrennten Handlungen und/oder Phasen durchgeführt werden. Obwohl 14 zur Übersichtlichkeit in Bezug auf die 2 bis 13 beschrieben wird, ist es offensichtlich, dass die Strukturen, die in den 2 bis 13 offenbart sind, nicht auf das Verfahren von 14 begrenzt sind, sondern stattdessen als Strukturen unabhängig von dem Verfahren für sich alleine stehen können. Obwohl das Verfahren von 14 in Bezug auf die 2 bis 13 beschrieben ist, ist es offensichtlich, dass das Verfahren nicht auf die in den 2 bis 13 offenbarten Strukturen begrenzt ist, sondern stattdessen unabhängig von den in den 2 bis 13 offenbarten Strukturen für sich alleine stehen kann. 14 FIG. 14 illustrates a flow chart of some embodiments of a method 1400 for manufacturing a device according to some aspects of this disclosure. Although the disclosed method 1400 is illustrated and described below as a series of acts or events, it is understood that the illustrated order of such acts or events should not be construed in a limiting manner. For example, some acts may occur in a different order and/or concurrently with other acts or events apart from those illustrated and/or described herein. Additionally, not all illustrated acts may be required to implement one or more aspects or embodiments of the description herein. Further, one or more of the acts presented herein may be performed in one or more separate acts and/or phases. Even though 14 to overview ality in relation to the 2 until 13 is described, it is evident that the structures in the 2 until 13 are disclosed, not to the method of 14 are limited, but instead can stand alone as structures independent of the process. Although the procedure of 14 in relation to the 2 until 13 is described, it is obvious that the method is not related to those in the 2 until 13 disclosed structures is limited, but instead independent of the in the 2 until 13 disclosed structures can stand alone.

Bei 1402 wird ein SOI-Substrat vorgesehen. Das erste Substrat umfasst ein erstes Handhabungssubstrat, eine Isolierschicht, die über dem ersten Handhabungssubstrat angeordnet ist, und eine über der Isolierschicht angeordnete Halbleiterschicht. Daher kann 1402 beispielsweise 2 entsprechen.At 1402, an SOI substrate is provided. The first substrate includes a first handle substrate, an insulating layer disposed over the first handle substrate, and a semiconductor layer disposed over the insulating layer. Therefore, 1402, for example 2 correspond.

Bei 1404 wird eine Kopplungsstruktur über dem SOI-Substrat gebildet. Die Kopplungsstruktur umfasst mehrere innerhalb einer dielektrischen Struktur angeordnete Metallschichten. Daher kann 1404 beispielsweise 5 entsprechen.At 1404, an interconnect is formed over the SOI substrate. The coupling structure includes multiple metal layers disposed within a dielectric structure. Therefore, 1404, for example 5 correspond.

Bei 1406 wird ein zweites Substrat an eine obere Fläche der Kopplungsstruktur gebondet. Bei einigen Ausführungsformen umfasst das zweite Substrat ein zweites Handhabungssubstrat und eine Fangschicht. Bei einigen solchen Ausführungsformen wird nach dem Bonden die Fangschicht zwischen dem zweiten Handhabungssubstrat und der oberen Fläche der Kopplungsstruktur angeordnet. Daher kann 1406 beispielsweise 9 entsprechen.At 1406, a second substrate is bonded to a top surface of the interconnect. In some embodiments, the second substrate includes a second handle substrate and a capture layer. In some such embodiments, after bonding, the tether layer is disposed between the second handle substrate and the top surface of the interconnect. Therefore, 1406, for example 9 correspond.

Bei 1408 wird das erste Handhabungssubstrat entfernt, um eine untere Fläche der Isolierschicht freizulegen, nachdem das zweite Substrat an die obere Fläche der Kopplungsstruktur gebondet wurde. Daher kann 1408 beispielsweise 10 entsprechen.At 1408, the first handle substrate is removed to expose a bottom surface of the insulating layer after the second substrate is bonded to the top surface of the interconnect. Therefore, 1408, for example 10 correspond.

Bei 1410 wird eine Kontaktstelle in direktem Kontakt mit der unteren Fläche der Isolierschicht gebildet, nachdem das erste Handhabungssubstrat entfernt wurde. Eine Substratdurchkontaktierung (TSV) erstreckt sich vertikal durch die Isolierschicht und die Halbleiterschicht und koppelt die Kontaktstelle elektrisch mit einer Metallschicht der Kopplungsstruktur. Daher kann 1410 beispielsweise 12 entsprechen.At 1410, a pad is formed in direct contact with the bottom surface of the insulating layer after the first handle substrate is removed. A substrate via (TSV) extends vertically through the insulating layer and the semiconductor layer and electrically couples the pad to a metal layer of the interconnect. Therefore, 1410, for example 12 correspond.

Claims (18)

Vorrichtung, umfassend: ein Substrat (106), das eine über einer Isolierschicht (110) angeordnete Halbleiterschicht (108) umfasst, wobei das Substrat (106) eine Transistorvorrichtungsregion (102) und eine Hochfrequenz- (RF) -Region (104) umfasst; eine Kopplungsstruktur (112), die über dem Substrat (106) angeordnet ist und mehrere innerhalb einer dielektrischen Struktur (116) angeordnete Metallschichten (114) umfasst; ein Handhabungssubstrat (124), das über einer oberen Fläche der Kopplungsstruktur (112) angeordnet ist; eine Fangschicht (126), welche die Kopplungsstruktur (112) und das Handhabungssubstrat (124) trennt; eine Kontaktstelle (120), die in direktem physischen Kontakt mit einer unteren Fläche der Isolierschicht (110) des Substrats (106) angeordnet ist; und eine Substratdurchkontaktierung (118), die sich vertikal durch die Halbleiterschicht (108) und die Isolierschicht (110) erstreckt und die Kontaktstelle (120) mit einer Metallschicht (114) der Kopplungsstruktur (112) elektrisch koppelt.Device comprising: a substrate (106) comprising a semiconductor layer (108) disposed over an insulating layer (110), the substrate (106) comprising a transistor device region (102) and a radio frequency (RF) region (104); a coupling structure (112) disposed over the substrate (106) and comprising a plurality of metal layers (114) disposed within a dielectric structure (116); a handle substrate (124) disposed over a top surface of the interconnect (112); a trap layer (126) separating the interconnect (112) and the handle substrate (124); a pad (120) disposed in direct physical contact with a bottom surface of the insulating layer (110) of the substrate (106); and a substrate via (118) extending vertically through the semiconductor layer (108) and the insulating layer (110) and electrically coupling the pad (120) to a metal layer (114) of the interconnect (112). Vorrichtung nach Anspruch 1, wobei das Handhabungssubstrat (124) ein Siliziumsubstrat umfasst und die Fangschicht (126) eine Polysiliziumschicht umfasst, die mit dem Siliziumsubstrat an einer nichtplanaren Grenzfläche zusammentrifft.device after claim 1 wherein the handle substrate (124) comprises a silicon substrate and the trap layer (126) comprises a polysilicon layer meeting the silicon substrate at a non-planar interface. Vorrichtung nach Anspruch 2, wobei die nichtplanare Grenzfläche eine Reihe von Erhebungen umfasst, die sich vom Siliziumsubstrat nach unten in die Fangschicht (126) erstrecken.device after claim 2 , wherein the non-planar interface comprises a series of bumps extending down from the silicon substrate into the trap layer (126). Vorrichtung nach einem der vorstehenden Ansprüche, wobei die Hochfrequenz- (RF) -Region (104) eine RF-Vorrichtung umfasst, die in der Kopplungsstruktur (112) angeordnet und konfiguriert ist, ein RF-Signal zu senden, wobei die Fangschicht (126) konfiguriert ist, Träger zu fangen, die durch das RF-Signal erregt werden, um Wirbelströme im Handhabungssubstrat (124) zu begrenzen.The device of any preceding claim, wherein the radio frequency (RF) region (104) comprises an RF device disposed in the interconnect (112) and configured to transmit an RF signal, the capture layer (126) configured to trap carriers excited by the RF signal to limit eddy currents in the handle substrate (124). Vorrichtung nach einem der vorstehenden Ansprüche, weiter umfassend: eine Packagingschicht (121), die eine untere Fläche der Isolierschicht (110) abdeckt und sich entlang von Seitenwänden der Vorrichtung erstreckt, um eine obere Fläche des Handhabungssubstrats (124) abzudecken.The device of any preceding claim, further comprising: a packaging layer (121) covering a bottom surface of the insulating layer (110) and extending along sidewalls of the device to cover a top surface of the handle substrate (124). Verfahren, umfassend: Vorsehen eines ersten Substrats (106), das ein erstes Handhabungssubstrat (202), eine Isolierschicht (110), die über dem ersten Handhabungssubstrat (202) angeordnet ist, und eine über der Isolierschicht (110) angeordnete Halbleiterschicht (108) umfasst; Bilden einer Kopplungsstruktur (112) über dem ersten Substrat (106), wobei die Kopplungsstruktur (112) mehrere innerhalb einer dielektrischen Struktur (116) angeordnete Metallschichten (114) umfasst; Bonden eines zweiten Substrats (122), das ein zweites Handhabungssubstrat (124) und eine Fangschicht (126) umfasst, an eine obere Fläche der Kopplungsstruktur (112), wobei die Fangschicht (126) nach dem Bonden zwischen dem zweiten Handhabungssubstrat (124) und der oberen Fläche der Kopplungsstruktur (112) angeordnet ist; nach dem Bonden, Entfernen des ersten Handhabungssubstrats (202), um eine untere Fläche der Isolierschicht (110) freizulegen; und nach dem Entfernen des ersten Handhabungssubstrats (202), das Bilden einer Kontaktstelle (120) in direktem physischem Kontakt mit einer unteren Fläche der Isolierschicht (110) des ersten Substrats (106), wobei sich eine Substratdurchkontaktierung, TSV, (118) vertikal durch die Halbleiterschicht (108) und die Isolierschicht (110) erstreckt und die Kontaktstelle (120) mit einer Metallschicht (114) der Kopplungsstruktur (112) elektrisch koppelt.A method comprising: providing a first substrate (106) comprising a first handle substrate (202), an insulating layer (110) disposed over the first handle substrate (202), and a semiconductor layer (108) disposed over the insulating layer (110) includes; forming an interconnection structure (112) over the first substrate (106), the interconnection structure (112) including a plurality of metal layers (114) disposed within a dielectric structure (116) includes; Bonding a second substrate (122) comprising a second handle substrate (124) and a trap layer (126) to an upper surface of the interconnect (112), the trap layer (126) after bonding between the second handle substrate (124) and the upper surface of the coupling structure (112); after bonding, removing the first handle substrate (202) to expose a bottom surface of the insulating layer (110); and after removing the first handle substrate (202), forming a pad (120) in direct physical contact with a bottom surface of the insulating layer (110) of the first substrate (106) with a substrate via, TSV, (118) extending vertically through extending the semiconductor layer (108) and the insulating layer (110) and electrically coupling the pad (120) to a metal layer (114) of the interconnect (112). Verfahren nach Anspruch 6, wobei das erste (202) und das zweite (124) Handhabungssubstrat unterschiedliche ohmsche Widerstände aufweisen.procedure after claim 6 , wherein the first (202) and the second (124) handle substrate have different ohmic resistances. Verfahren nach einem der Ansprüche 6 oder 7, wobei das erste Handhabungssubstrat (202) einen Widerstand zwischen 8 Ohm-cm und 12 Ohm-cm aufweist.Procedure according to one of Claims 6 or 7 wherein the first handle substrate (202) has a resistance between 8 ohm-cm and 12 ohm-cm. Verfahren nach einem der Ansprüche 6 bis 8, wobei das erste Handhabungssubstrat (202) einen ersten ohmschen Widerstand aufweist und das zweite Handhabungssubstrat (124) einen zweiten ohmschen Widerstand aufweist und der zweite ohmsche Widerstand um einen Faktor zehn oder mehr größer ist als der erste ohmsche Widerstand.Procedure according to one of Claims 6 until 8th , wherein the first handle substrate (202) has a first ohmic resistance and the second handle substrate (124) has a second ohmic resistance and the second ohmic resistance is greater than the first ohmic resistance by a factor of ten or more. Verfahren nach einem der Ansprüche 6 bis 9, wobei das zweite Handhabungssubstrat (124) ein Siliziumsubstrat umfasst und die Fangschicht (126) eine amorphe Siliziumschicht umfasst.Procedure according to one of Claims 6 until 9 wherein the second handle substrate (124) comprises a silicon substrate and the trap layer (126) comprises an amorphous silicon layer. Verfahren nach einem der Ansprüche 6 bis 9, wobei das zweite Handhabungssubstrat (124) ein Siliziumsubstrat umfasst und die Fangschicht (126) eine Polysiliziumschicht umfasst, die mit dem Siliziumsubstrat an einer nichtplanaren Grenzfläche zusammentrifft.Procedure according to one of Claims 6 until 9 wherein the second handle substrate (124) comprises a silicon substrate and the trap layer (126) comprises a polysilicon layer meeting the silicon substrate at a non-planar interface. Verfahren nach Anspruch 11, wobei die nichtplanare Grenzfläche eine Reihe von Erhebungen umfasst, die sich vom Siliziumsubstrat nach unten in die Fangschicht (126) erstrecken.procedure after claim 11 , wherein the non-planar interface comprises a series of bumps extending down from the silicon substrate into the trap layer (126). Verfahren nach Anspruch 11 oder 12, wobei die nichtplanare Grenzfläche durch Bilden einer Fotomaske über einer Fläche des Siliziumsubstrats und Ätzen der Fläche des Siliziumsubstrats gebildet wird, um eine Reihe von Erhebungen und Vertiefungen zu bilden, und die Fangschicht (126) direkt über der Reihe von Erhebungen und Vertiefungen gebildet wird.procedure after claim 11 or 12 wherein the non-planar interface is formed by forming a photomask over a surface of the silicon substrate and etching the surface of the silicon substrate to form a series of peaks and valleys, and the trap layer (126) is formed directly over the series of peaks and valleys. Verfahren nach einem der Ansprüche 6 bis 13, wobei eine Hochfrequenz- (RF) - Vorrichtung in der Kopplungsstruktur (112) angeordnet und konfiguriert ist, ein RF-Signal zu senden, wobei die Fangschicht (126) konfiguriert ist, durch das RF-Signal erregte Träger zu fangen, um Wirbelströme im zweiten Handhabungssubstrat (124) zu begrenzen.Procedure according to one of Claims 6 until 13 wherein a radio frequency (RF) device is disposed in the coupling structure (112) and configured to transmit an RF signal, wherein the capture layer (126) is configured to capture carriers excited by the RF signal to prevent eddy currents in the second handle substrate (124). Verfahren, umfassend: Vorsehen eines Halbleiter-auf-Isolator- (SOI) -Substrats (106), das ein erstes Handhabungssubstrat (202) aus Silizium, eine Isolierschicht (110), die über dem ersten Handhabungssubstrat (202) angeordnet ist, und einer über der Isolierschicht (110) angeordnete Siliziumschicht (108) umfasst, wobei das SOI-Substrat (106) eine Transistorvorrichtungsregion (102) und eine Hochfrequenz- (RF) -Region (104) umfasst, die seitlich voneinander beabstandet sind; Bilden einer Kopplungsstruktur (112) über dem SOI-Substrat (106), wobei die Kopplungsstruktur (112) mehrere innerhalb einer dielektrischen Struktur (116) angeordnete Metallschichten (114) umfasst; Bonden eines zweiten Substrats (122), das eine Fangschicht (126) und ein zweites Handhabungssubstrat (124) umfasst, das aus Silizium hergestellt ist, an eine obere Fläche der Kopplungsstruktur (112), wobei die Fangschicht (126) nach dem Bonden das zweite Handhabungssubstrat (124) von der oberen Fläche der Kopplungsstruktur (112) trennt; nach dem Bonden, Entfernen des ersten Handhabungssubstrats (202), um eine untere Fläche der Isolierschicht (110) freizulegen; und Bilden einer Kontaktstelle (120) in direktem Kontakt mit einer unteren Fläche der Isolierschicht (110), wobei sich eine Substratdurchkontaktierung (TSV, 118) vertikal durch die Siliziumschicht (108) und durch die Isolierschicht (110) erstreckt, um die Kontaktstelle (120) zu kontaktieren.Method comprising: providing a semiconductor-on-insulator (SOI) substrate (106) comprising a first handle substrate (202) of silicon, an insulating layer (110) disposed over the first handle substrate (202), and an insulating layer ( 110) arranged silicon layer (108), said SOI substrate (106) comprising a transistor device region (102) and a radio frequency (RF) region (104) laterally spaced from each other; forming an interconnect (112) over the SOI substrate (106), the interconnect (112) comprising a plurality of metal layers (114) disposed within a dielectric structure (116); Bonding a second substrate (122) comprising a trap layer (126) and a second handle substrate (124) made of silicon to a top surface of the interconnect (112), the trap layer (126) after bonding the second separating the handle substrate (124) from the top surface of the interconnect structure (112); after bonding, removing the first handle substrate (202) to expose a bottom surface of the insulating layer (110); and forming a pad (120) in direct contact with a bottom surface of the insulating layer (110), with a substrate via (TSV, 118) extending vertically through the silicon layer (108) and through the insulating layer (110) to form the pad (120) to contact. Verfahren nach Anspruch 15, wobei das erste Handhabungssubstrat (202) einen ohmschen Widerstand aufweist, der kleiner ist als der des zweiten Handhabungssubstrats (124).procedure after claim 15 , wherein the first handle substrate (202) has an ohmic resistance which is smaller than that of the second handle substrate (124). Verfahren nach Anspruch 15 oder 16, weiter umfassend: Bilden eines Gatedielektrikums auf einer oberen Fläche der Transistorvorrichtungsregion (102) der Siliziumschicht (108); Bilden einer Gateelektrode über dem Gatedielektrikum, wobei mindestens eine von den Metallschichten mit der Gateelektrode gekoppelt wird.procedure after claim 15 or 16 , further comprising: forming a gate dielectric on a top surface of the transistor device region (102) of the silicon layer (108); forming a gate electrode over the gate dielectric, coupling at least one of the metal layers to the gate electrode. Verfahren nach Anspruch 17, wobei die Substratdurchkontaktierung (TSV, 118) die Kontaktstelle (120) mit der mindestens einen von den Metallschichten elektrisch koppelt.procedure after Claim 17 wherein the substrate via (TSV, 118) electrically couples the pad (120) to the at least one of the metal layers.
DE102016115579.9A 2015-10-19 2016-08-23 Capture layer substrate stacking technique to improve performance for RF devices Active DE102016115579B4 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562243442P 2015-10-19 2015-10-19
US62/243,442 2015-10-19
US15/051,197 US9761546B2 (en) 2015-10-19 2016-02-23 Trap layer substrate stacking technique to improve performance for RF devices
US15/051,197 2016-02-23

Publications (2)

Publication Number Publication Date
DE102016115579A1 DE102016115579A1 (en) 2017-04-20
DE102016115579B4 true DE102016115579B4 (en) 2022-02-10

Family

ID=58456613

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102016115579.9A Active DE102016115579B4 (en) 2015-10-19 2016-08-23 Capture layer substrate stacking technique to improve performance for RF devices

Country Status (1)

Country Link
DE (1) DE102016115579B4 (en)

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120161310A1 (en) 2010-12-24 2012-06-28 Io Semiconductor, Inc. Trap Rich Layer for Semiconductor Devices

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120161310A1 (en) 2010-12-24 2012-06-28 Io Semiconductor, Inc. Trap Rich Layer for Semiconductor Devices

Also Published As

Publication number Publication date
DE102016115579A1 (en) 2017-04-20

Similar Documents

Publication Publication Date Title
US11121098B2 (en) Trap layer substrate stacking technique to improve performance for RF devices
DE102021100240A1 (en) 3D SEMICONDUCTOR PACKAGE WITH STORAGE ARRAY
DE102017122650B4 (en) SEMICONDUCTOR CHIP INCLUDING A SELF-ALIGNED BACK CONDUCTIVE LAYER AND METHOD OF MAKING THE SAME
DE102017108048A1 (en) SEMICONDUCTOR DEVICE WITH A TRIANGULAR STRUCTURE
DE102017108047A1 (en) SEMICONDUCTOR DEVICE WITH STRUCTURE FOR PROTECTION AGAINST ELECTROSTATIC DISCHARGE
DE102019200054B4 (en) Process for structuring metallization lines with variable width
DE102013114218A1 (en) METHOD FOR PRODUCING A SEMICONDUCTOR DEVICE AND SEMICONDUCTOR WORKPIECE
DE102019115270A1 (en) EMBEDDED FERROELECTRIC MEMORY IN HIGH-K FIRST TECHNOLOGY
DE102019128295B4 (en) Integrated circuit with first and second capacitor plates extending to different depths into the trench isolation region of the semiconductor substrate and method for producing the same
DE102016117028A1 (en) Semiconductor structure and manufacturing method therefor
DE102017215354B4 (en) SEMICONDUCTORS AND METHOD FOR MANUFACTURING SEMICONDUCTOR COMPONENTS
DE102014110450B4 (en) Integrated circuit and method for manufacturing an integrated circuit
DE102018208546A1 (en) STRUCTURES FROM THE MIDDLE AREA OF THE MANUFACTURING LINE
DE102017118303B4 (en) DIELECTRIC SIDEWALL STRUCTURE FOR QUALITY IMPROVEMENT IN GE AND SIGE COMPONENTS
DE102013112646B4 (en) Process for the low-voltage production of semiconductor components
DE102016226280A1 (en) DEVICE LAYER TRANSMISSION WITH A HANDLING WAFER SECTION RECEIVED
DE102015102718A1 (en) A lead clad semiconductor device and method of manufacturing the same
DE102016211222B3 (en) Transistor with multiple substrate gates
DE102014101283A1 (en) Semiconductor device and method for manufacturing a semiconductor device
DE102020109675A1 (en) Semiconductor chip with low-k dielectric layer
DE102016115579B4 (en) Capture layer substrate stacking technique to improve performance for RF devices
DE102015122639A1 (en) SEMICONDUCTOR ASSEMBLY WITH TRIED ANODIC OXID STRUCTURE AND MANUFACTURING METHOD
DE102022206411A1 (en) SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE USING MULTIPLE CMP PROCESSES
DE102013112862B9 (en) Method for producing a semiconductor device
DE102020110778B4 (en) SEMICONDUCTOR WAFER HAVING DEVICES WITH DIFFERENT TOP LAYER THICKNESS

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0023522000

Ipc: H01L0023660000

R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final