DE102013209442A1 - Optical component - Google Patents

Optical component Download PDF

Info

Publication number
DE102013209442A1
DE102013209442A1 DE102013209442.6A DE102013209442A DE102013209442A1 DE 102013209442 A1 DE102013209442 A1 DE 102013209442A1 DE 102013209442 A DE102013209442 A DE 102013209442A DE 102013209442 A1 DE102013209442 A1 DE 102013209442A1
Authority
DE
Germany
Prior art keywords
optical component
mirror
bias
individual
radiation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
DE102013209442.6A
Other languages
German (de)
Inventor
Adrian Staicu
Johannes Eisenmenger
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Carl Zeiss SMT GmbH
Original Assignee
Carl Zeiss SMT GmbH
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Carl Zeiss SMT GmbH filed Critical Carl Zeiss SMT GmbH
Priority to DE102013209442.6A priority Critical patent/DE102013209442A1/en
Priority to JP2016514307A priority patent/JP6246907B2/en
Priority to PCT/EP2014/056532 priority patent/WO2014187599A1/en
Publication of DE102013209442A1 publication Critical patent/DE102013209442A1/en
Priority to US14/941,498 priority patent/US20160077442A1/en
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B7/00Mountings, adjusting means, or light-tight connections, for optical elements
    • G02B7/18Mountings, adjusting means, or light-tight connections, for optical elements for prisms; for mirrors
    • G02B7/182Mountings, adjusting means, or light-tight connections, for optical elements for prisms; for mirrors for mirrors
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B7/00Mountings, adjusting means, or light-tight connections, for optical elements
    • G02B7/18Mountings, adjusting means, or light-tight connections, for optical elements for prisms; for mirrors
    • G02B7/182Mountings, adjusting means, or light-tight connections, for optical elements for prisms; for mirrors for mirrors
    • G02B7/198Mountings, adjusting means, or light-tight connections, for optical elements for prisms; for mirrors for mirrors with means for adjusting the mirror relative to its support
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70091Illumination settings, i.e. intensity distribution in the pupil plane or angular distribution in the field plane; On-axis or off-axis settings, e.g. annular, dipole or quadrupole settings; Partial coherence control, i.e. sigma or numerical aperture [NA]
    • G03F7/70116Off-axis setting using a programmable means, e.g. liquid crystal display [LCD], digital micromirror device [DMD] or pupil facets

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

Ein optisches Bauelement umfasst mindestens ein Mittel zur Verringerung eines strahlungsinduzierten Einflusses auf die Verlagerung eines optischen Bauteils (27).An optical component comprises at least one means for reducing a radiation-induced influence on the displacement of an optical component (27).

Description

Die Erfindung betrifft ein optisches Bauelement. Die Erfindung betrifft weiterhin ein Verfahren zur Positionierung mindestens eines optischen Bauteils. Außerdem betrifft die Erfindung eine Beleuchtungsoptik und ein Beleuchtungssystem für eine Projektionsbelichtungsanlage sowie eine Projektionsbelichtungsanlage umfassend eine derartige Beleuchtungsoptik. Schließlich betrifft die Erfindung ein Verfahren zur Herstellung eines mikro- oder nanostrukturierten Bauelements.The invention relates to an optical component. The invention further relates to a method for positioning at least one optical component. In addition, the invention relates to an illumination optics and a lighting system for a projection exposure apparatus and a projection exposure apparatus comprising such an illumination optics. Finally, the invention relates to a method for producing a micro- or nanostructured device.

Beispielsweise aus der WO 2009/100 856 A1 ist ein Facettenspiegel für eine Projektionsbelichtungsanlage bekannt, welcher eine Vielzahl von individuell verlagerbaren Einzelspiegeln aufweist. Um die optische Qualität einer Projektionsbelichtungsanlage sicherzustellen, ist eine sehr präzise Positionierung der verlagerbaren Einzelspiegel notwendig.For example, from the WO 2009/100 856 A1 a facet mirror for a projection exposure apparatus is known, which has a plurality of individually displaceable individual mirrors. In order to ensure the optical quality of a projection exposure apparatus, a very precise positioning of the movable individual mirrors is necessary.

Der Erfindung liegt die Aufgabe zugrunde, ein optisches Bauelement zu verbessern.The invention has for its object to improve an optical component.

Diese Aufgabe wird durch die Merkmale des Anspruchs 1 gelöst. Der Kern der Erfindung besteht darin, das optische Bauelement mit mindestens einem Mittel zur Verringerung eines strahlungsinduzierten Einflusses auf die Positionierung mindestens eines optischen Bauteils zu versehen. Das optische Bauelement umfasst insbesondere mindestens ein Mittel zur Verringerung der Einflüsse der durch die Beleuchtungsstrahlung freigesetzten elektrischen Ladungen.This object is solved by the features of claim 1. The essence of the invention is to provide the optical component with at least one means for reducing a radiation-induced influence on the positioning of at least one optical component. In particular, the optical component comprises at least one means for reducing the influences of the electrical charges released by the illumination radiation.

Hierdurch wird insbesondere die Stabilität des mindestens einen optischen Bauteils verbessert. Dies führt zu einer präziseren Positionierung des mindestens einen optischen Bauteils.As a result, in particular the stability of the at least one optical component is improved. This leads to a more precise positioning of the at least one optical component.

Erfindungsgemäß wurde erkannt, dass energiereiche Photonen zu einem Ladungstransfer auf ein optisches Bauteil oder von einem optischen Bauteil weg führen können, wobei dieser Ladungstransfer im Falle eines elektromechanisch aktuierbar verlagerbaren Bauteils zu einer mechanischen Anregung desselben führen können. Mit anderen Worten kann das optische Bauteil durch die Beaufschlagung mit Beleuchtungsstrahlung mechanisch angeregt und/oder gestört werden.According to the invention, it was recognized that high-energy photons can lead to a charge transfer to an optical component or from an optical component, wherein this charge transfer in the case of an electromechanically actuable displaceable component can lead to a mechanical excitation of the same. In other words, the optical component can be mechanically excited and / or disturbed by the application of illumination radiation.

Bei dem verlagerbaren optischen Bauteil kann es sich insbesondere um einen Spiegel, insbesondere um einen Mikrospiegel, das heißt einen Spiegel mit einer Seitenlänge von weniger als 1 mm, handeln. Der Spiegel oder Mikrospiegel kann insbesondere Bestandteil einer Vielspiegel-Anordnung (Multi Mirror Array, MMA) sein. Das MMA kann über 1000, insbesondere über 10000, insbesondere über 100000 derartiger Spiegel umfassen. Es kann sich insbesondere um Spiegel zur Reflexion von VUV- oder EUV-Strahlung handeln.The displaceable optical component can in particular be a mirror, in particular a micromirror, that is to say a mirror with a side length of less than 1 mm. The mirror or micromirror may in particular be part of a multi-mirror array (MMA). The MMA can comprise more than 1000, in particular more than 10000, in particular more than 100000, of such mirrors. In particular, these may be mirrors for reflecting VUV or EUV radiation.

Beim optischen Bauelement kann es sich insbesondere um einen Facettenspiegel, insbesondere einen Feldfacettenspiegel, einer Beleuchtungsoptik für eine Projektionsbelichtungsanlage handeln. Im Falle von einer Beleuchtungsoptik für eine VUV- oder EUV-Projektionsbelichtungsanlage ist das optische Bauelement vorzugsweise in einer evakuierbaren Kammer angeordnet. Beim Betrieb der Projektionsbelichtungsanlage kann diese Kammer insbesondere auf einen Druck von weniger als 50 Pa, insbesondere weniger als 20 Pa, insbesondere weniger als 10 Pa, insbesondere weniger als 5 Pa, evakuiert werden. Hierbei gibt dieser Druck insbesondere den Partialdruck von Wasserstoff in der Kammer an.The optical component may in particular be a facet mirror, in particular a field facet mirror, an illumination optical unit for a projection exposure apparatus. In the case of an illumination optics for a VUV or EUV projection exposure apparatus, the optical component is preferably arranged in an evacuable chamber. During operation of the projection exposure apparatus, this chamber can in particular be evacuated to a pressure of less than 50 Pa, in particular less than 20 Pa, in particular less than 10 Pa, in particular less than 5 Pa. In particular, this pressure indicates the partial pressure of hydrogen in the chamber.

Hochenergetische Photonen von der Strahlungsquelle, insbesondere EUV-Photonen, können zur Erzeugung eines Plasmas, insbesondere eines Wasserstoffplasmas, führen. Andere Ionisierungsmechanismen, beispielsweise der äußere photoelektrische Effekt, insbesondere auch VUV-Photonen, sind ebenfalls möglich. Die strahlungsinduzierten freien Ladungsträger können sich auf dem Spiegel ansammeln und zu einer mechanischen Störung desselben führen.High-energy photons from the radiation source, in particular EUV photons, can lead to the generation of a plasma, in particular a hydrogen plasma. Other ionization mechanisms, for example the external photoelectric effect, in particular also VUV photons, are likewise possible. The radiation-induced free charge carriers can accumulate on the mirror and lead to a mechanical disturbance of the same.

Gemäß einem Aspekt der Erfindung ist vorgesehen, dass das mindestens eine Mittel zur Verringerung eines strahlungsinduzierten Einflusses auf die Positionierung des mindestens einen optischen Bauteils eine Steuereinrichtung zur gezielten Beaufschlagung des mindestens einen optischen Bauteils mit einem elektrischen Bias-Potenzial umfasst. Das elektrische Bias-Potenzial wird mit anderen Worten an das optische Bauteil angelegt, so dass sich das optische Bauteil auf diesem Potenzial befindet.According to one aspect of the invention, it is provided that the at least one means for reducing a radiation-induced influence on the positioning of the at least one optical component comprises a control device for targeted loading of the at least one optical component with an electrical bias potential. In other words, the electrical bias potential is applied to the optical device so that the optical device is at this potential.

Hierdurch ist es möglich, den Strahlungs-, insbesondere den plasmainduzierten Ladungstransfer auf das optische Bauteil zu verringern, insbesondere zu minimieren, insbesondere zu eliminieren. Das Bias-Potenzial kann insbesondere derart gewählt werden, dass der über den Spiegel abfließende Strom reduziert, insbesondere minimiert, insbesondere eliminiert wird.This makes it possible to reduce the radiation, in particular the plasma-induced charge transfer to the optical component, in particular to minimize, in particular to eliminate. The bias potential can in particular be selected such that the current flowing out through the mirror is reduced, in particular minimized, in particular eliminated.

Das Bias-Potenzial kann fix vorgegeben werden. Es kann auch steuerbar, insbesondere dynamisch steuerbar, insbesondere regelbar sein.The bias potential can be fixed. It may also be controllable, in particular dynamically controllable, in particular controllable.

Gemäß einem Aspekt der Erfindung umfasst die Steuereinrichtung eine Nachschlag-Tabelle (Look-up table) zur Ermittlung des an das mindestens eine optische Bauteil anzulegenden Bias-Potenzials. Hierdurch wird die Komplexität der Steuereinrichtung vereinfacht. Die Ermittlung des Bias-Potenzials mit Hilfe einer Nachschlag-Tabelle ermöglicht insbesondere eine unkomplizierte, kostengünstige Steuerung. Es ist auch möglich, die Steuereinrichtung mit mehreren Nachschlag-Tabellen für unterschiedliche Betriebsmodi der Projektionsbelichtungsanlage zu versehen. Beispielsweise kann jeweils eine eigene Nachschlag-Tabelle für unterschiedliche Strahlungsquellen vorgesehen sein. Die Nachschlag-Tabellen können jeweils unterschiedliche Werte für das anzulegende Bias-Potenzial für unterschiedliche Betriebsmodi der Strahlungsquelle aufweisen. Hierbei können insbesondere unterschiedliche Pulsfrequenzen, Pulsdauern und Intensitäten der Strahlungsquelle sowie unterschiedliche Zustände der evakuierbaren Kammer, insbesondere deren Druck, insbesondere die Partialdrücke für unterschiedliche Gase sowie die Gaszusammensetzung in der Kammer, aufweisen.According to one aspect of the invention, the control device comprises a look-up table for determining the bias potential to be applied to the at least one optical component. This simplifies the complexity of the control device. The determination of the bias potential by means of a look-up table allows in particular an uncomplicated, cost-effective control. It is also possible to provide the control device with a plurality of look-up tables for different operating modes of the projection exposure apparatus. For example, a separate look-up table for different radiation sources may be provided in each case. The look-up tables may each have different values for the bias potential to be applied for different operating modes of the radiation source. In this case, in particular different pulse frequencies, pulse durations and intensities of the radiation source as well as different states of the evacuable chamber, in particular their pressure, in particular the partial pressures for different gases and the gas composition in the chamber, have.

Die Nachschlag-Tabellen können offline ermittelt werden. Sie können insbesondere experimentell ermittelt werden. Die können auch mit Hilfe eines Modells ermittelt werden. In einer besonders vorteilhaften Ausführung kann auch vorgesehen sein, die Steuereinrichtung derart auszubilden, dass die Nachschlag-Tabellen während des Betriebs der Projektionsbelichtungsanlage kalibriert werden können.The lookup tables can be determined offline. In particular, they can be determined experimentally. They can also be determined with the help of a model. In a particularly advantageous embodiment can also be provided to form the control device such that the look-up tables can be calibrated during operation of the projection exposure system.

Gemäß einem Aspekt der Erfindung ist vorgesehen, dass die Steuereinrichtung mindestens einen Sensor umfasst, das heißt als Regeleinrichtung mit mindestens einem Sensor ausgebildet ist.According to one aspect of the invention it is provided that the control device comprises at least one sensor, that is, is designed as a control device with at least one sensor.

Mittels des Sensors kann insbesondere ein durch den Spiegel abfließender Strom erfasst werden. Mit Hilfe des Sensors ist es insbesondere möglich, die Ermittlung des Bias-Potenzials dynamisch regelbar zu gestalten. Hierdurch wird die Stabilität des Bauteils weiter verbessert. Es ist insbesondere möglich, die Wirkung des Bias-Potenzials mit Hilfe des Sensors zu überwachen und den genauen Wert des anzulegenden Bias-Potenzials während des Betriebs der Projektionsbelichtungsanlage zu kalibrieren.By means of the sensor, in particular, a current flowing through the mirror can be detected. With the aid of the sensor, it is possible, in particular, to make the determination of the bias potential dynamically controllable. As a result, the stability of the component is further improved. In particular, it is possible to monitor the effect of the bias potential with the aid of the sensor and to calibrate the exact value of the bias potential to be applied during the operation of the projection exposure apparatus.

Gemäß einem Aspekt der Erfindung kann vorgesehen sein, das mindestens eine Mittel zur Verringerung eines strahlungsinduzierten Einflusses auf die Positionierung des mindestens einen optischen Bauteils mindestens eine Steuereinrichtung zur Beaufschlagung des mindestens einen optischen Bauteils und/oder der mindestens einen Aktuator-Einrichtung mit einem Kompensation-Potenzial umfasst. Das Kompensations-Potenzial kann insbesondere als Bestandteil des Bias-Potenzials direkt an das optische Bauteil angelegt werden. Dies ermöglicht insbesondere eine besonders direkte, ungefilterte dynamische Kompensation von strahlungsinduzierten Einflüssen. Alternativ oder zusätzlich hierzu kann auch die Aktuator-Einrichtung mit einem Kompensations-Potenzial beaufschlagt werden. In diesem Fall sind die elektrischen Eigenschaften der Aktuator-Einrichtung sowie die Aktuations-Charakteristik derselben bei der Bestimmung des Kompensations-Potenzials zu berücksichtigen.According to one aspect of the invention, it can be provided that the at least one means for reducing a radiation-induced influence on the positioning of the at least one optical component is at least one control device for acting on the at least one optical component and / or the at least one actuator device with a compensation potential includes. In particular, the compensation potential can be applied directly to the optical component as part of the bias potential. This allows in particular a particularly direct, unfiltered dynamic compensation of radiation-induced influences. Alternatively or additionally, the actuator device can also be subjected to a compensation potential. In this case, the electrical properties of the actuator device as well as the Aktuationscharistik the same in the determination of the compensation potential to be considered.

Beim Kompensation-Potenzial, welches direkt an das optische Bauteil angelegt wird, kann es sich insbesondere um einen zeitabhängigen Anteil des Bias-Potenzials handeln. Gemäß einem Aspekt der Erfindung umfasst die Steuereinrichtung zur Beaufschlagung des optischen Bauteils und/oder der Aktuator-Einrichtung eine Sensor-Einrichtung zur Erfassung eines strahlungsinduzierten Ladungsoffsets im zu beaufschlagenden optischen Bauteil. Sofern die Zeitkonstante des elektrischen Äquivalentskreises des optischen Bauteils wesentlich kürzer ist als die charakteristische Zeitskala der externen elektrischen Störungen des optischen Bauteils, ist der von dem optischen Bauteil abfließende Strom im Wesentlichen ressistiv, das heißt in Phase mit den externen Störungen. In diesem Fall kann das Ladungsoffset durch Anlegen eines entsprechenden Kompensation-Potenzials kompensiert werden. Vorzugsweise weist die Sensor-Einrichtung zur Erfassung des Ladungsoffsets eine Abtastfrequenz (Sampling Rate) auf, welche größer ist als die Pulsfrequenz der Strahlungsquelle. Die Abtastfrequenz der Sensoreinrichtung ist insbesondere mindestens doppelt so groß, insbesondere mindestens fünfmal so groß, insbesondere mindestens zehnmal so groß wie die Pulsfrequenz der Strahlungsquelle. Das Kompensation-Potenzial kann somit mit im Wesentlichen vernachlässigbarer Verzögerung generiert und an das optische Bauteil angelegt werden.The compensation potential, which is applied directly to the optical component, may in particular be a time-dependent component of the bias potential. According to one aspect of the invention, the control device for acting on the optical component and / or the actuator device comprises a sensor device for detecting a radiation-induced charge offset in the optical component to be acted upon. If the time constant of the electrical equivalent circuit of the optical component is significantly shorter than the characteristic time scale of the external electrical disturbances of the optical component, the current flowing away from the optical component is essentially ressistive, ie in phase with the external disturbances. In this case, the charge offset can be compensated by applying a corresponding compensation potential. Preferably, the sensor device for detecting the charge offset has a sampling frequency (sampling rate) which is greater than the pulse frequency of the radiation source. The sampling frequency of the sensor device is in particular at least twice as large, in particular at least five times as large, in particular at least ten times as large as the pulse frequency of the radiation source. The compensation potential can thus be generated with substantially negligible delay and applied to the optical component.

Gemäß einem weiteren Aspekt der Erfindung umfasst das mindestens eine Mittel zur Verringerung des strahlungsinduzierten Einflusses auf die Positionierung des mindestens einen optischen Bauteils mindestens ein Abschirmelement.According to a further aspect of the invention, the at least one means for reducing the radiation-induced influence on the positioning of the at least one optical component comprises at least one shielding element.

Das Abschirmelement ist vorzugsweise im Strahlengang vor dem optischen Bauteil angeordnet. Es ist mit anderen Worten im Strahlengang im Bereich zwischen der Strahlungsquelle und dem optischen Bauteil angeordnet. Es dient insbesondere der elektrostatischen Abschirmung. Es führt auch zu einer elektrodynamischen Abschirmung. Das Abschirmelement umfasst insbesondere ein Gitter und/oder eine Maske, insbesondere ein Blech.The shielding element is preferably arranged in the beam path in front of the optical component. In other words, it is arranged in the beam path in the region between the radiation source and the optical component. It is used in particular for electrostatic shielding. It also leads to electrodynamic shielding. The shielding element comprises in particular a grid and / or a mask, in particular a sheet metal.

Das Abschirmelement ist vorzugsweise aus einem elektrisch leitenden Material. Es kann insbesondere aus Metall sein. Im Bereich des optischen Bauteils ist das Abschirmelement im Wesentlichen strahlungsdurchlässig. Es ist insbesondere derart ausgebildet und/oder angeordnet, dass es zu einer höchstens vernachlässigbaren Abschattung des optischen Bauteils führt. Es ist insbesondere als Gitter ausgebildet. Hierbei sind die Gitteranmessungen an die Abmessungen der optischen Bauteile angepasst. Die Gitterstege sind insbesondere an die Abstände benachbarter Mikrospiegel angepasst. Sie weisen insbesondere eine Dicke auf, welche geringer ist als der Abstand benachbarter Mikrospiegel. Die Dicke der Gitterstege ist insbesondere höchstens halb so groß, insbesondere höchstens 0,2 mal so groß, insbesondere höchstens 0,1 mal so groß wie der Abstand benachbarter Spiegel des optischen Bauelements.The shielding element is preferably made of an electrically conductive material. It can be made of metal in particular. In the area of the optical component, the shielding element is substantially transparent to radiation. It is in particular designed and / or arranged such that it leads to a maximum negligible shading of the optical component. It is designed in particular as a grid. Here are the grid measurements on adapted the dimensions of the optical components. The grid bars are adapted in particular to the spacings of adjacent micromirrors. In particular, they have a thickness which is less than the distance between adjacent micromirrors. The thickness of the grid webs is in particular at most half as large, in particular at most 0.2 times as large, in particular at most 0.1 times as large as the distance between adjacent mirrors of the optical component.

Der Abstand zwischen benachbarten Gitterstegen entspricht insbesondere den Abmessungen der Spiegel, wobei selbstverständlich der Abstand zwischen benachbarten Spiegeln zu berücksichtigen ist.The distance between adjacent grid bars corresponds in particular to the dimensions of the mirrors, whereby of course the distance between adjacent mirrors has to be considered.

Beim Gitter kann es sich um ein einfaches Gitter mit ausschließlich parallelen Gitterstäben handeln. Es kann sich auch um ein Gitter mit gekreuzten, insbesondere senkrecht zueinander ausgerichteten Gitterstäben handeln. Die Gitterstruktur kann insbesondere an die Anordnung der Mikrospiegel angepasst sein. Es ist insbesondere möglich, dass die Gitterstruktur gerade der Anordnung der Zwischenräume zwischen den einzelnen Mikrospiegeln entspricht.The grid can be a simple grid with only parallel bars. It may also be a grid with crossed, in particular perpendicular to each other aligned bars. The lattice structure can be adapted in particular to the arrangement of the micromirrors. It is particularly possible that the grid structure corresponds to the arrangement of the spaces between the individual micromirrors.

Im Bereich, welcher in einer Projektion in Richtung der optischen Achse außerhalb der Gesamtheit der optischen Bauteile des optischen Bauelements liegt, kann das Abschirmelement flächig, das heißt geschlossen, ausgebildet sein. Es kann in diesem Bereich insbesondere als Maske, insbesondere als Abschirmblech, ausgebildet sein.In the region which lies in a projection in the direction of the optical axis outside the entirety of the optical components of the optical component, the shielding member may be flat, that is closed, be formed. It may be formed in this area, in particular as a mask, in particular as a shielding plate.

Gemäß einem Aspekt der Erfindung umfasst das mindestens eine Abschirmelement eine Steuereinrichtung zur gezielten Beaufschlagung desselben mit einem elektrischen Potenzial. Hierdurch kann die Abschirmung mittels des Abschirmelements, insbesondere mittels des Gitters, weiter verbessert werden.According to one aspect of the invention, the at least one shielding element comprises a control device for selectively applying it to an electrical potential. As a result, the shield can be further improved by means of the shielding element, in particular by means of the grid.

Das Abschirmelement ist insbesondere in einem Abstand zum optischen Bauteil angeordnet, welcher mindestens so groß ist wie eine Seitenlänge oder ein Durchmesser des optischen Bauteils.The shielding element is arranged in particular at a distance from the optical component, which is at least as large as a side length or a diameter of the optical component.

Das Abschirmelement ist vorzugsweise vom Rest des optischen Bauelements, insbesondere vom optischen Bauteil und/oder der Aktuator-Einrichtung elektrisch isoliert.The shielding element is preferably electrically insulated from the rest of the optical component, in particular from the optical component and / or the actuator device.

Eine weitere Aufgabe der Erfindung besteht darin, ein Verfahren zur Positionierung eines optischen Bauteils zu verbessern.Another object of the invention is to improve a method for positioning an optical component.

Diese Aufgabe wird durch die Merkmale des Anspruchs 8 gelöst. Bei dem Verfahren handelt es sich insbesondere um ein Verfahren zur Verringerung des strahlungsinduzierten Einflusses auf die Positionierung eines verlagerbaren optischen Bauteils. Durch das erfindungsgemäße Verfahren wird insbesondere die Stabilität und die Präzision der Positionierung des verlagerbaren optischen Bauteils verbessert.This object is solved by the features of claim 8. The method is in particular a method for reducing the radiation-induced influence on the positioning of a displaceable optical component. The method according to the invention particularly improves the stability and precision of the positioning of the displaceable optical component.

Die wesentlichen Vorteile ergeben sich aus der vorhergehenden Beschreibung des optischen Bauelements. Zur Verringerung des strahlungsinduzierten Einflusses auf die Positionierung des verlagerbaren optischen Bauteils ist insbesondere ein Beaufschlagen des mindestens einen optischen Bauteils und/oder der mindestens einen Aktuator-Einrichtung und/oder des mindestens einen Abschirm-Elements mit einem elektrischen Bias- oder Kompensationspotenzial vorgesehen. Hierbei kann es sich um ein konstantes oder um ein zeitabhängiges Potenzial handeln. Das Potenzial kann insbesondere an die Dynamik der Strahlungsquelle, insbesondere an deren Pulsfrequenz, angepasst sein.The main advantages will be apparent from the foregoing description of the optical device. In order to reduce the radiation-induced influence on the positioning of the displaceable optical component, in particular a loading of the at least one optical component and / or the at least one actuator device and / or the at least one shielding element with an electrical bias or compensation potential is provided. This can be a constant or a time-dependent potential. In particular, the potential can be adapted to the dynamics of the radiation source, in particular to its pulse frequency.

Weitere Aufgaben der Erfindung bestehen darin, eine Beleuchtungsoptik und ein Beleuchtungssystem für eine Projektionsbelichtungsanlage sowie eine Projektionsbelichtungsanlage mit einer derartigen Beleuchtungsoptik zu verbessern.Further objects of the invention are to improve an illumination optics and an illumination system for a projection exposure apparatus as well as a projection exposure apparatus with such an illumination optics.

Diese Aufgaben werden durch die Merkmale der Ansprüche 9 bis 11 gelöst.These objects are achieved by the features of claims 9 to 11.

Die Vorteile ergeben sich aus den vorhergehend beschriebenen des optischen Bauelements.The advantages result from the previously described optical component.

Beim Einsatz eines Beleuchtungssystems mit einer EUV-Strahlungsquelle mit einer erzeugten Nutzstrahlung im Bereich von 5 nm bis 30 nm oder einer VUV-Strahlungsquelle mit einer Nutzstrahlung im Bereich von weniger als 200 nm kommen die Vorteile des erfindungsgemäßen optischen Bauelements besonders gut zum Tragen.When using a lighting system with an EUV radiation source with a generated useful radiation in the range of 5 nm to 30 nm or a VUV radiation source with a useful radiation in the range of less than 200 nm, the advantages of the optical component according to the invention are particularly well.

Eine weitere Aufgabe der Erfindung besteht darin, ein Verfahren zur Herstellung eines mikro- oder nanostrukturierten Bauelements zu verbessern.Another object of the invention is to improve a method of manufacturing a micro- or nanostructured device.

Diese Aufgabe wird durch die Merkmale des Anspruchs 12 gelöst.This object is solved by the features of claim 12.

Die Vorteile ergeben sich aus den vorhergehend beschriebenen.The advantages result from the previously described.

Weitere Einzelheiten und Vorteile der Erfindung ergeben sich aus der Beschreibung von Ausführungsbeispielen anhand der Zeichnungen. Es zeigen:Further details and advantages of the invention will become apparent from the description of embodiments with reference to the drawings. Show it:

1 schematisch eine Projektionsbelichtungsanlage für die Mikrolithografie mit einer im Meridionalschnitt dargestellten Beleuchtungsoptik und einer Projektionsoptik; 1 schematically a projection exposure system for microlithography with an im Meridional section illustrated illumination optics and a projection optics;

2 schematisch zwei nebeneinander liegende Einzelspiegel einer Ausführung eines der Facettenspiegel der Beleuchtungsoptik nach 1 in einer geschnittenen Seitenansicht, wobei der in der 2 links dargestellte Einzelspiegel in einer unverkippten Neutralstellung und der in der 2 rechts dargestellte Einzelspiegel in einer durch den Aktuator verkippten Stellung dargestellt ist; 2 schematically two juxtaposed individual mirror of an embodiment of the facet mirror of the illumination optical system 1 in a sectional side view, wherein in the 2 left individual mirror in an untilted neutral position and in the 2 shown right single mirror is shown in a tilted position by the actuator;

3 einen Schnitt gemäß Linie III-III in 2, wobei die Linie II-II die Richtung des Schnitts in 2 angibt 3 a section along line III-III in 2 , wherein the line II-II the direction of the section in 2 indicates

4 eine schematische Darstellung der Einzelspiegel gemäß 2, bei welcher die Kontaktstrukturen hervorgehoben sind, 4 a schematic representation of the individual mirror according to 2 in which the contact structures are highlighted,

5 eine schematische Darstellung der Einzelspiegel gemäß 2, bei welcher die Steuereinrichtung zur Beaufschlagung der Einzelspiegel mit einem elektrischen Bias-Potenzial schematisch dargestellt ist, 5 a schematic representation of the individual mirror according to 2 in which the control device for applying the individual mirrors with an electrical bias potential is shown schematically,

6 eine schematische Darstellung des Verfahrens zur Optimierung der anzulegenden Bias-Potenziale, 6 a schematic representation of the method for optimizing the bias potentials to be applied,

7 eine schematische Darstellung des Verfahrens zur Offlinebestimmung der anzulegenden dynamischen Bias-Potenziale, 7 a schematic representation of the method for off-line determination of the applied dynamic bias potentials,

8 eine schematische Darstellung des Verfahrens zum Anlegen der Bias-Potenziale, 8th a schematic representation of the method for applying the bias potentials,

9 eine schematische Darstellung des Verfahrens für eine Echtzeitanpassung der Bias-Potenziale, 9 a schematic representation of the method for a real-time adaptation of the bias potentials,

10 eine Darstellung des Facettenspiegels mit einem Abschirmelement, und 10 a representation of the facet mirror with a shielding, and

11 eine Aufsicht auf den Facettenspiegel gemäß 10. 11 a view of the facet mirror according to 10 ,

1 zeigt schematisch in einem Meridionalschnitt eine Projektionsbelichtungsanlage 1 für die Mikrolithografie. Ein Beleuchtungssystem 2 der Projektionsbelichtungsanlage 1 hat neben einer Strahlungsquelle 3 eine Beleuchtungsoptik 4 zur Belichtung eines Objektfeldes 5 in einer Objektebene 6. Das Objektfeld 5 kann rechteckig oder bogenförmig mit einem x/y-Aspektverhältnis von beispielsweise 13/1 gestaltet sein. Belichtet wird hierbei ein im Objektfeld 5 angeordnetes und in der 1 nicht dargestelltes reflektierendes Retikel, das eine mit der Projektionsbelichtungsanlage 1 zur Herstellung mikro- bzw. nanostrukturierter Halbleiter-Bauelemente zu projizierende Struktur trägt. Eine Projektionsoptik 7 dient zur Abbildung des Objektfeldes 5 in ein Bildfeld 8 in einer Bildebene 9. Abgebildet wird die Struktur auf dem Retikel auf eine lichtempfindliche Schicht eines im Bereich des Bildfeldes 8 in der Bildebene 9 angeordneten Wafers, der in der Zeichnung nicht dargestellt ist. 1 schematically shows in a meridional section a projection exposure system 1 for microlithography. A lighting system 2 the projection exposure system 1 has next to a radiation source 3 an illumination optics 4 for the exposure of an object field 5 in an object plane 6 , The object field 5 may be rectangular or arcuate with an x / y aspect ratio of, for example, 13/1. One is exposed in the object field 5 arranged and in the 1 not shown reflective reticle, the one with the projection exposure system 1 contributes to the production of microstructured or nanostructured semiconductor devices to be projected structure. A projection optics 7 serves to represent the object field 5 in a picture field 8th in an image plane 9 , The structure on the reticle is imaged onto a photosensitive layer in the area of the image field 8th in the picture plane 9 arranged wafer, which is not shown in the drawing.

Das Retikel, das von einem nicht dargestellten Retikelhalter gehalten ist, und der Wafer, der von einem nicht dargestellten Waferhalter gehalten ist, werden beim Betrieb der Projektionsbelichtungsanlage 1 synchron in der y-Richtung gescannt. Abhängig vom Abbildungsmaßstab der Projektionsoptik 7 kann auch ein gegenläufiges Scannen des Retikels relativ zum Wafer stattfinden.The reticle, which is held by a reticle holder, not shown, and the wafer, which is held by a wafer holder, not shown, are in operation of the projection exposure apparatus 1 scanned synchronously in the y-direction. Depending on the imaging scale of the projection optics 7 can also take place an opposite scanning of the reticle relative to the wafer.

Bei der Strahlungsquelle 3 handelt es sich um eine EUV-Strahlungsquelle mit einer emittierten Nutzstrahlung im Bereich zwischen 5 nm und 30 nm. Es kann sich dabei um eine Plasmaquelle, beispielsweise um eine GDPP-Quelle (Plasmaerzeugung durch Gasentladung, Gas Discharge Produced Plasma), oder um eine LPP-Quelle (Plasmaerzeugung durch Laser, Laser Produced Plasma) handeln. Auch andere EUV-Strahlungsquellen, beispielsweise solche, die auf einem Synchrotron oder auf einem Free Electron Laser (Freie Elektronenlaser, FEL) basieren, sind möglich.At the radiation source 3 it is an EUV radiation source with an emitted useful radiation in the range between 5 nm and 30 nm. It can be a plasma source, for example a GDPP source (plasma generation by gas discharge, gas discharge produced plasma), or an LPP Source (plasma generation by laser, laser produced plasma). Other EUV radiation sources are also possible, for example those based on a synchrotron or on a Free Electron Laser (FEL).

Es kann sich auch um eine VUV-Strahlungsquelle, insbesondere zur Erzeugung von Strahlung mit einer Wellenlänge von weniger als 200 nm handeln.It may also be a VUV radiation source, in particular for generating radiation having a wavelength of less than 200 nm.

EUV-Strahlung 10, die von der Strahlungsquelle 3 ausgeht, wird von einem Kollektor 11 gebündelt. Ein entsprechender Kollektor ist beispielsweise aus der EP 1 225 481 A bekannt. Nach dem Kollektor 11 propagiert die EUV-Strahlung 10 durch eine Zwischenfokusebene 12, bevor sie auf einen Feldfacettenspiegel 13 trifft. Der Feldfacettenspiegel 13 ist in einer Ebene der Beleuchtungsoptik 4 angeordnet, die zur Objektebene 6 optisch konjugiert ist.EUV radiation 10 coming from the radiation source 3 emanating from a collector 11 bundled. A corresponding collector is for example from the EP 1 225 481 A known. After the collector 11 propagates the EUV radiation 10 through an intermediate focus level 12 before moving to a field facet mirror 13 meets. The field facet mirror 13 is in a plane of illumination optics 4 arranged to the object level 6 is optically conjugated.

Die EUV-Strahlung 10 wird nachfolgend auch als Nutzstrahlung, Beleuchtungslicht oder als Abbildungslicht bezeichnet. Bei der Nutzstrahlung kann es sich auch um VUV-Strahlung, insbesondere mit einer Wellenlänge von weniger als 200 nm handeln.The EUV radiation 10 is hereinafter also referred to as useful radiation, illumination light or as imaging light. The useful radiation may also be VUV radiation, in particular with a wavelength of less than 200 nm.

Nach dem Feldfacettenspiegel 13 wird die EUV-Strahlung 10 von einem Pupillenfacettenspiegel 14 reflektiert. Der Pupillenfacettenspiegel 14 liegt entweder in der Eintrittspupillenebene der Beleuchtungsoptik 7 oder in einer hierzu optisch konjugierten Ebene. Der Feldfacettenspiegel 13 und der Pupillenfacettenspiegel 14 sind aus einer Vielzahl von Einzelspiegeln aufgebaut, die nachfolgend noch näher beschrieben werden. Dabei kann die Unterteilung des Feldfacettenspiegels 13 in Einzelspiegel derart sein, dass jede der Feldfacetten, die für sich das gesamte Objektfeld 5 ausleuchten, durch genau einen der Einzelspiegel repräsentiert wird. Alternativ ist es möglich, zumindest einige oder alle der Feldfacetten durch eine Mehrzahl derartiger Einzelspiegel aufzubauen. Entsprechendes gilt für die Ausgestaltung der den Feldfacetten jeweils zugeordneten Pupillenfacetten des Pupillenfacettenspiegels 14, die jeweils durch einen einzigen Einzelspiegel oder durch eine Mehrzahl derartiger Einzelspiegel gebildet sein können.After the field facet mirror 13 becomes the EUV radiation 10 from a pupil facet mirror 14 reflected. The pupil facet mirror 14 lies either in the entrance pupil plane of the illumination optics 7 or in a plane optically conjugated thereto. The field facet mirror 13 and the pupil facet mirror 14 are constructed from a variety of individual mirrors, which are described in more detail below. In doing so, the subdivision of the field facet mirror 13 be in individual mirrors such that each of the field facets, which for themselves the entire object field 5 Illuminate, represented by exactly one of the individual mirrors. Alternatively, it is possible to construct at least some or all of the field facets through a plurality of such individual mirrors. The same applies to the configuration of the pupil facets of the pupil facet mirror respectively assigned to the field facets 14 , which may each be formed by a single individual mirror or by a plurality of such individual mirrors.

Die EUV-Strahlung 10 trifft auf die beiden Facettenspiegel 13, 14 unter einem Einfallswinkel auf, der kleiner oder gleich 25° ist. Die beiden Facettenspiegel werden also im Bereich eines normal incidence-Betriebs mit der EUV-Strahlung 10 beaufschlagt. Auch eine Beaufschlagung unter streifendem Einfall (grazing incidence) ist möglich. Der Pupillenfacettenspiegel 14 ist in einer Ebene der Beleuchtungsoptik 4 angeordnet, die eine Pupillenebene der Projektionsoptik 7 darstellt bzw. zu einer Pupillenebene der Projektionsoptik 7 optisch konjugiert ist. Mithilfe des Pupillenfacettenspiegels 14 und einer abbildenden optischen Baugruppe in Form einer Übertragungsoptik 15 mit in der Reihenfolge des Strahlengangs für die EUV-Strahlung 10 bezeichneten Spiegeln 16, 17 und 18 werden die Feldfacetten des Feldfacettenspiegels 13 einander überlagernd in das Objektfeld 5 abgebildet. Der letzte Spiegel 18 der Übertragungsoptik 15 ist ein Spiegel für streifenden Einfall („Grazing incidence Spiegel”). Die Übertragungsoptik 15 wird zusammen mit dem Pupillenfacettenspiegel 14 auch als Folgeoptik zur Überführung der EUV-Strahlung 10 vom Feldfacettenspiegel 13 hin zum Objektfeld 5 bezeichnet. Das Beleuchtungslicht 10 wird von der Strahlungsquelle 3 hin zum Objektfeld 5 über eine Mehrzahl von Ausleuchtungskanälen geführt. Jedem dieser Ausleuchtungskanäle ist eine Feldfacette des Feldfacettenspiegels 13 und eine dieser nachgeordnete Pupillenfacette des Pupillenfacettenspiegels 14 zugeordnet. Die Einzelspiegel des Feldfacettenspiegels 13 und des Pupillenfacettenspiegels 14 können aktuatorisch verkippbar sein, sodass ein Wechsel der Zuordnung der Pupillenfacetten zu den Feldfacetten und entsprechend eine geänderte Konfiguration der Ausleuchtungskanäle erreicht werden kann. Es resultieren unterschiedliche Beleuchtungssettings, die sich in der Verteilung der Beleuchtungswinkel des Beleuchtungslichts 10 über das Objektfeld 5 unterscheiden.The EUV radiation 10 meets the two facet mirrors 13 . 14 at an angle of incidence which is less than or equal to 25 °. The two facet mirrors are thus in the range of a normal incidence operation with the EUV radiation 10 applied. Also, an application under grazing incidence (grazing incidence) is possible. The pupil facet mirror 14 is in a plane of illumination optics 4 arranged, which is a pupil plane of the projection optics 7 represents or to a pupil plane of the projection optics 7 is optically conjugated. Using the pupil facet mirror 14 and an imaging optical assembly in the form of a transmission optics 15 with in the order of the beam path for the EUV radiation 10 designated mirrors 16 . 17 and 18 become the field facets of the field facet mirror 13 overlapping each other in the object field 5 displayed. The last mirror 18 the transmission optics 15 is a grazing incidence mirror. The transmission optics 15 becomes along with the pupil facet mirror 14 also as a follow-up optics for the transfer of EUV radiation 10 from the field facet mirror 13 towards the object field 5 designated. The illumination light 10 is from the radiation source 3 towards the object field 5 guided over a plurality of illumination channels. Each of these illumination channels is a field facet of the field facet mirror 13 and one of these downstream pupil facets of the pupil facet mirror 14 assigned. The individual mirrors of the field facet mirror 13 and the pupil facet mirror 14 can be tiltable actuator, so that a change of the assignment of the pupil facets to the field facets and correspondingly a changed configuration of the illumination channels can be achieved. This results in different lighting settings, resulting in the distribution of the illumination angle of the illumination light 10 over the object field 5 differ.

Zur Erleichterung der Erläuterung von Lagebeziehungen wird nachfolgend unter anderem ein globales kartesisches xyz-Koordinatensystem verwendet. Die x-Achse verläuft in der 1 senkrecht zur Zeichenebene auf den Betrachter zu. Die y-Achse verläuft in der 1 nach rechts. Die z-Achse verläuft in der 1 nach oben.To facilitate the explanation of positional relationships, a global Cartesian xyz coordinate system is used below, among other things. The x-axis runs in the 1 perpendicular to the drawing plane towards the viewer. The y-axis runs in the 1 to the right. The z-axis runs in the 1 up.

Über eine entsprechende Verkippung der Einzelspiegel des Feldfacettenspiegels 13 und einen entsprechenden Wechsel der Zuordnung dieser Einzelspiegel des Feldfacettenspiegels 13 zu den Einzelspiegeln des Pupillenfacettenspiegels 14 können unterschiedliche Beleuchtungssettings erreicht werden. Abhängig von der Verkippung der Einzelspiegel des Feldfacettenspiegels 13 werden die diesen Einzelspiegeln neu zugeordneten Einzelspiegel des Pupillenfacettenspiegels 14 sofern nötig so durch Verkippung nachgeführt, dass wiederum eine Abbildung der Feldfacetten des Feldfacettenspiegels 13 in das Objektfeld 5 gewährleistet ist.Via a corresponding tilting of the individual mirrors of the field facet mirror 13 and a corresponding change of the assignment of these individual mirrors of the field facet mirror 13 to the individual mirrors of the pupil facet mirror 14 Different lighting settings can be achieved. Depending on the tilt of the individual mirrors of the field facet mirror 13 The individual mirrors newly assigned to these individual mirrors of the pupil facet mirror 14 if necessary, by tipping so that in turn an image of the field facets of the field facet mirror 13 in the object field 5 is guaranteed.

Der Feldfacettenspiegel 13 in Form eines Multi- bzw. Mikrospiegel-Arrays (MMA) bildet eine optische Baugruppe zur Führung der Nutzstrahlung 10, also des EUV-Strahlungsbündels. Der Feldfacettenspiegel 13 ist als mikroelektromechanisches System (MEMS) ausgebildet. Er weist eine Vielzahl von matrixartig zeilen- und spaltenweise in einem Array angeordneten Einzelspiegeln 27 auf. Die Einzelspiegel 27 sind aktuatorisch verkippbar ausgelegt, wie nachfolgend noch erläutert wird. Insgesamt weist der Feldfacettenspiegel 13 etwa 100000 der Einzelspiegel 27 auf. Je nach Größe der Einzelspiegel 27 kann der Feldfacettenspiegel 13 auch beispielsweise 1000, 5000, 7000 oder auch mehrere hunderttausend, insbesondere mindestens 100000, insbesondere mindestens 300000, insbesondere mindestens 500000 Einzelspiegel 27 aufweisen.The field facet mirror 13 in the form of a multi-mirror or micromirror array (MMA) forms an optical assembly for guiding the useful radiation 10 , the EUV radiation bundle. The field facet mirror 13 is designed as a microelectromechanical system (MEMS). It has a multiplicity of individual mirrors arranged in matrix-like rows and columns in an array 27 on. The individual mirrors 27 are designed actuatable tilting, as will be explained below. Overall, the field facet mirror 13 about 100,000 of the individual mirrors 27 on. Depending on the size of the individual mirror 27 can the field facet mirror 13 also, for example, 1000, 5000, 7000 or even several hundred thousand, in particular at least 100,000, in particular at least 300,000, in particular at least 500,000 individual mirrors 27 exhibit.

Vor dem Feldfacettenspiegel 13, das heißt zwischen der Strahlungsquelle 3 des Feldfacettenspiegels 13, kann ein Spektralfilter angeordnet sein, der die Nutzstrahlung 10 von anderen, nicht für die Projektionsbelichtung nutzbaren Wellenlängenkomponenten der Emission der Strahlungsquelle 3 trennt. Der Spektralfilter ist nicht dargestellt.In front of the field facet mirror 13 that is, between the radiation source 3 of the field facet mirror 13 , a spectral filter can be arranged, which is the useful radiation 10 from other wavelength components of the radiation source emission not usable for the projection exposure 3 separates. The spectral filter is not shown.

Der Feldfacettenspiegel 13 wird mit Nutzstrahlung 10 mit einer Leistung von 840 W und einer Leistungsdichte von 6,5 kW/m2 beaufschlagt. Allgemein sind auch andere Leistungen und Leistungsdichten möglich. Die Leistungsdichte beträgt mindestens 500 W/m2, insbesondere mindestens 1 kW/m2, insbesondere mindestens 5 kW/m2, insbesondere mindestens 10 kW/m2, insbesondere mindestens 60 kW/m2.The field facet mirror 13 is using useful radiation 10 with a power of 840 W and a power density of 6.5 kW / m 2 applied. In general, other services and power densities are possible. The power density is at least 500 W / m 2 , in particular at least 1 kW / m 2 , in particular at least 5 kW / m 2 , in particular at least 10 kW / m 2 , in particular at least 60 kW / m 2 .

Das gesamte Einzelspiegel-Array des Facettenspiegels 13 hat einen Durchmesser von 500 mm und ist dicht gepackt mit den Einzelspiegeln 27 ausgelegt. Die Einzelspiegel 27 repräsentieren, soweit eine Feldfacette durch jeweils genau einen Einzelspiegel realisiert ist, bis auf einen Skalierungsfaktor die Form des Objektfeldes 5. Der Facettenspiegel 13 kann aus 500 jeweils eine Feldfacette repräsentierenden Einzelspiegeln 27 mit einer Dimension von etwa 5 mm in der y-Richtung und 100 mm in der x-Richtung gebildet sein. Alternativ zur Realisierung jeder Feldfacette durch genau einen Einzelspiegel 27 kann jede der Feldfacetten durch Gruppen von kleineren Einzelspiegeln 27 approximiert werden. Eine Feldfacette mit Dimensionen von 5 mm in der y-Richtung und von 100 mm in der x-Richtung kann z. B. mittels eines 1 × 20-Arrays von Einzelspiegeln 27 der Dimension 5 mm × 5 mm bis hin zu einem 10 × 200-Array von Einzelspiegeln 27 mit den Dimensionen 0,5 mm × 0,5 mm aufgebaut sein. Die Flächenabdeckung des kompletten Feldfacetten-Arrays durch die Einzelspiegel 27 kann mindestens 70%, insbesondere mindestens 80%, insbesondere mindestens 90% betragen.The entire single-mirror array of the facet mirror 13 has a diameter of 500 mm and is densely packed with the individual mirrors 27 designed. The individual mirrors 27 represent, as far as a field facet is realized by exactly one individual mirror, except for a scaling factor, the shape of the object field 5 , The facet mirror 13 can consist of 500 individual mirrors each representing a field facet 27 with a dimension of about 5 mm in the y-direction and 100 mm in the x-direction Be formed direction. Alternatively to the realization of each field facet by exactly one single mirror 27 Each of the field facets can be divided into groups of smaller individual mirrors 27 be approximated. A field facet with dimensions of 5mm in the y-direction and 100mm in the x-direction may e.g. B. by means of a 1 × 20 array of individual mirrors 27 5 mm × 5 mm to a 10 × 200 array of individual mirrors 27 be constructed with the dimensions 0.5 mm × 0.5 mm. The area coverage of the complete field facet array by the individual mirrors 27 may be at least 70%, in particular at least 80%, in particular at least 90%.

Von den Einzelspiegeln 27 des Facettenspiegels 13 wird das Nutzlicht 10 hin zu dem Pupillenfacettenspiegel 14 reflektiert. Der Pupillenfacettenspiegel 14 hat etwa 2000 statische Pupillenfacetten. Diese sind in einer Mehrzahl konzentrischer Ringe nebeneinander angeordnet, sodass die Pupillenfacette des innersten Rings sektorförmig und die Pupillenfacetten der sich hieran unmittelbar anschließenden Ringe ringsektorförmig gestaltet sind. In einem Quadranten des Pupillenfacettenspiegels 14 können in jedem der Ringe 12 Pupillenfacetten nebeneinander vorliegen. Die Pupillenfacetten können jeweils einfach zusammenhängend ausgebildet sein. Eine hiervon abweichende Anordnung der Pupillenfacetten ist ebenfalls möglich. Sie können auch aus einer Vielzahl von Einzelspiegeln 27 gebildet sein.From the individual mirrors 27 of the facet mirror 13 becomes the useful light 10 towards the pupil facet mirror 14 reflected. The pupil facet mirror 14 has about 2000 static pupil facets. These are arranged side by side in a plurality of concentric rings, so that the pupil facet of the innermost ring is sector-shaped and the pupil facets of the rings immediately adjacent thereto are designed in the manner of an annular sector. In a quadrant of the pupil facet mirror 14 can in each of the rings 12 Pupillenfacetten next to each other. The pupil facets can each be simply connected in a coherent manner. A deviating arrangement of the pupil facets is also possible. You can also choose from a variety of individual mirrors 27 be formed.

Von den Pupillenfacetten wird das Nutzlicht 10 hin zu einem reflektierenden Retikel 30 reflektiert, das in der Objektebene 6 angeordnet ist. Es schließt sich dann die Projektionsoptik 7 an, wie vorstehend erläutert.The pupil facets become the useful light 10 towards a reflective reticle 30 reflected in the object plane 6 is arranged. It then closes the projection optics 7 as explained above.

Die Einzelspiegel 27 des Feldfacettenspiegels 13 und des Pupillenfacettenspiegels 14 tragen Multilayer-Beschichtungen zur Optimierung ihrer Reflektivität bei der Wellenlänge der Nutzstrahlung 10. Die Temperatur der Multilayer-Beschichtungen sollte 425 K beim Betreiben der Projektionsbelichtungs-anlage 1 nicht überschreiten.The individual mirrors 27 of the field facet mirror 13 and the pupil facet mirror 14 Apply multilayer coatings to optimize their reflectivity at the wavelength of the useful radiation 10 , The temperature of the multilayer coatings should be 425 K while operating the projection exposure equipment 1 do not exceed.

Der Aufbau der Einzelspiegel wird nachfolgend beispielhaft anhand der 2 und 3 erläutert. Für weitere Details des Aufbaus der Einzelspiegel 27 und deren Verlagerbarkeit sei auf die WO 2010/049 076 A1 verwiesen. Diese Druckschrift wird vollumfänglich als Bestandteil in die vorliegende Anmeldung aufgenommen.The structure of the individual mirror is described below by way of example with reference to 2 and 3 explained. For more details of the structure of the individual mirrors 27 and their displacement is on the WO 2010/049 076 A1 directed. This document is incorporated in its entirety in the present application.

Die Einzelspiegel 27 der Beleuchtungsoptik 4 sind in einer evakuierbaren Kammer 32 untergebracht, von der in der 2 eine Begrenzungswand 33 angedeutet ist. Die Kammer 32 kommuniziert über eine Fluidleitung 26, in der ein Absperrventil 28 untergebracht ist, mit einer Vakuumpumpe 31.The individual mirrors 27 the illumination optics 4 are in an evacuable chamber 32 housed in the 2 a boundary wall 33 is indicated. The chamber 32 communicates via a fluid line 26 in which a shut-off valve 28 is housed, with a vacuum pump 31 ,

Der Betriebsdruck in der evakuierbaren Kammer 32 beträgt einige Pa (Partialdruck H2). Der Partialdruck von Wasserstoff beträgt insbesondere höchstens 50 Pa, insbesondere höchstens 20 Pa, insbesondere höchstens 10 Pa, insbesondere höchstens 5 Pa. Alle anderen Partialdrücke liegen deutlich unterhalb von 1 × 10–7 mbar. Die Kammer 32 kann insbesondere auf Hochvakuum oder Ultrahochvakuum evakuiert werden.The operating pressure in the evacuable chamber 32 is a few Pa (partial pressure H 2 ). In particular, the partial pressure of hydrogen is at most 50 Pa, in particular at most 20 Pa, in particular at most 10 Pa, in particular at most 5 Pa. All other partial pressures are well below 1 × 10 -7 mbar. The chamber 32 In particular, it can be evacuated to high vacuum or ultrahigh vacuum.

Der die Mehrzahl von Einzelspiegeln 27 aufweisende Spiegel ist zusammen mit der evakuierbaren Kammer 32 Bestandteil eines optischen Bauelements zur Führung eines Bündels der EUV-Strahlung 10. Der Einzelspiegel 27 kann Teil eines der Facettenspiegel 13, 14 sein.The the majority of individual mirrors 27 having mirror is together with the evacuable chamber 32 Component of an optical component for guiding a bundle of EUV radiation 10 , The individual mirror 27 can be part of one of the facet mirrors 13 . 14 be.

Jeder der Einzelspiegel 27 kann eine beaufschlagbare Reflexionsfläche 34 mit Abmessungen von 0,5 mm × 0,5 mm oder auch von 5 mm × 5 mm und größer aufweisen. Die Reflexionsfläche 34 ist Teil eines Spiegelkörpers 35 des Einzelspiegels 27. Der Spiegelkörper 35 trägt die Mehrlagen-(Multilayer)-Beschichtung. Die Einzelspiegel 27 bzw. deren Reflexionsfläche 34 können auch andere Abmessungen aufweisen. Sie sind insbesondere als Kacheln ausgebildet, mit welchen sich eine zweidimensionale Fläche parkettieren lässt. Sie sind insbesondere dreieckig, viereckig, insbesondere quadratisch, oder hexagonal ausgebildet. Ihre Seitenlängen haben insbesondere Abmessungen von höchstens 10 mm, insbesondere höchstens 5 mm, insbesondere höchstens 3 mm, insbesondere höchstens 1 mm, insbesondere höchstens 0,5 mm, insbesondere höchstens 0,3 mm, insbesondere höchstens 0,1 mm. Es kann sich somit insbesondere um Mikrospiegel handeln. Hierunter seien insbesondere Spiegel mit Abmessungen im Mikrometerbereich verstanden.Each of the individual mirrors 27 can be acted upon reflection surface 34 having dimensions of 0.5 mm × 0.5 mm or even 5 mm × 5 mm and larger. The reflection surface 34 is part of a mirror body 35 of the single mirror 27 , The mirror body 35 wears the multilayer coating. The individual mirrors 27 or their reflection surface 34 can also have other dimensions. They are in particular designed as tiles, with which a two-dimensional surface can be paved. They are in particular triangular, quadrangular, in particular square, or hexagonal. In particular, their side lengths have dimensions of at most 10 mm, in particular at most 5 mm, in particular at most 3 mm, in particular at most 1 mm, in particular at most 0.5 mm, in particular at most 0.3 mm, in particular at most 0.1 mm. It may thus be in particular micromirror. These are understood in particular mirrors with dimensions in the micrometer range.

Die Reflexionsflächen 34 der Einzelspiegel 27 ergänzen sich zu einer gesamten Spiegel-Reflexionsfläche des Feldfacettenspiegels 13. Entsprechend können sich die Reflexionsflächen 34 auch zur gesamten Spiegel-Reflexionsfläche des Pupillenfacettenspiegels 14 ergänzen.The reflection surfaces 34 the individual mirror 27 complement each other to a total mirror reflection surface of the field facet mirror 13 , Accordingly, the reflection surfaces can 34 also to the entire mirror reflection surface of the pupil facet mirror 14 complete.

Eine Tragstruktur 36 oder ein Substrat des Einzelspiegels 27 ist über einen Wärmeleitungsabschnitt 37 mit dem Spiegelkörper 35 mechanisch verbunden (vgl. 2). Teil des Wärmeleitungsabschnitts 37 ist ein Gelenkkörper 38, der eine Verkippung des Spiegelkörpers 35 relativ zur Tragstruktur 36 zulässt. Der Gelenkkörper 38 kann als Festkörpergelenk ausgebildet sein, das eine Verkippung des Spiegelkörpers 35 um definierte Kipp-Freiheitsgrade, beispielsweise um eine oder um zwei Kippachsen zulässt. Der Gelenkkörper 38 hat einen äußeren Haltering 39, der an der Tragstruktur 36 festgelegt ist. Weiterhin hat der Gelenkkörper 38 einen gelenkig mit dem Haltering 39 verbundenen inneren Haltekörper 40. Dieser ist zentral unter der Reflexionsfläche 34 angeordnet. Zwischen dem zentralen Haltekörper 40 und dem Spiegelkörper 35 ist ein Abstandshalter 41 angeordnet.A support structure 36 or a substrate of the single mirror 27 is over a heat pipe section 37 with the mirror body 35 mechanically connected (cf. 2 ). Part of the heat pipe section 37 is a joint body 38 that tilts the mirror body 35 relative to the support structure 36 allows. The joint body 38 can be designed as a solid-body joint, which is a tilting of the mirror body 35 by defined tilting degrees of freedom, for example, by one or two tilt axes permits. The joint body 38 has an outer retaining ring 39 that is attached to the support structure 36 is fixed. Furthermore, the joint body has 38 one articulated with the retaining ring 39 connected inner holding body 40 , This is centrally located under the reflection surface 34 arranged. Between the central holding body 40 and the mirror body 35 is a spacer 41 arranged.

Die Tragstruktur 36 weist Kühlkanäle auf, durch die ein aktives Kühlfluid geführt ist. Für weitere Details der Tragstruktur 36 sowie insbesondere deren Wärmehaushalt sei wiederum auf die WO 2010/049 076 A1 verwiesen. Alternative Ausführungsformen des Gelenkkörpers 38 sind insbesondere aus der WO 2010/049 076 A1 bekannt.The supporting structure 36 has cooling channels through which an active cooling fluid is passed. For more details of the support structure 36 and in particular their heat balance is again on the WO 2010/049 076 A1 directed. Alternative embodiments of the joint body 38 are in particular from the WO 2010/049 076 A1 known.

Auf der vom Abstandshalter 41 abgewandten Seite des Haltekörpers 40 ist an diesem ein den Abstandshalter 41 mit kleineren Außendurchmesser fortsetzender Aktuatorstift 43 montiert.On the from the spacer 41 opposite side of the holding body 40 At this one is the spacer 41 with smaller outer diameter continuing actuator pin 43 assembled.

Die Tragstruktur 36 ist als den Aktuatorstift 43 umgebende Hülse ausgestaltet. Die Tragstruktur 36 kann beispielsweise ein Silizium-Wafer sein, auf dem ein ganzes Array von Einzelspiegeln 27 nach Art des in der 2 gezeigten Einzelspiegels 27 angeordnet ist.The supporting structure 36 is as the actuator pin 43 surrounding sleeve configured. The supporting structure 36 may be, for example, a silicon wafer on which a whole array of individual mirrors 27 by type of in the 2 shown individual mirror 27 is arranged.

Die Einzelspiegel 27 sind jeweils mittels einer Aktuator-Einrichtung 50 mit mehreren elektromagnetisch, insbesondere elektrostatisch arbeitenden Aktuatoren verlagerbar, das heißt positionierbar. Die Aktuatoren lassen sich in einem Batch-Prozess als mikroelektromechanisches System (micro-elekctro-mechanical system, MEMS) herstellen. Für Details sei wiederum auf die WO 2010/049 076 A1 verwiesen.The individual mirrors 27 are each by means of an actuator device 50 with several electromagnetically, in particular electrostatically operating actuators displaced, that is positionable. The actuators can be produced in a batch process as a microelectromechanical system (micro-electromechanical system, MEMS). For details turn to the WO 2010/049 076 A1 directed.

Eine Summe der Reflexionsflächen 34 auf den Spiegelkörpern 35 ist größer als das 0,5-fache einer von der Gesamt-Reflexionsfläche des Feldfacettenspiegels 13 belegten Gesamtfläche. Die Gesamtfläche ist dabei definiert als die Summe der Reflexionsflächen 34 zuzüglich der Flächenbelegung durch die Zwischenräume zwischen den Reflexionsflächen 34. Ein Verhältnis der Summe der Reflexionsflächen der Spiegelkörper einerseits zu dieser Gesamtfläche wird auch als Integrationsdichte bezeichnet. Diese Integrationsdichte kann auch größer sein als 0,6, insbesondere größer als 0,7, insbesondere größer als 0,8, insbesondere größer als 0,9.A sum of reflection surfaces 34 on the mirror bodies 35 is greater than 0.5 times one of the total reflection area of the field facet mirror 13 occupied total area. The total area is defined as the sum of the reflection surfaces 34 plus the area occupied by the spaces between the reflecting surfaces 34 , A ratio of the sum of the reflection surfaces of the mirror bodies on the one hand to this total area is also referred to as the integration density. This integration density can also be greater than 0.6, in particular greater than 0.7, in particular greater than 0.8, in particular greater than 0.9.

Mit Hilfe der Projektionsbelichtungsanlage 1 wird wenigstens ein Teil des Retikels 30 auf einen Bereich einer lichtempfindlichen Schicht auf dem Wafer zur lithografischen Herstellung eines mikro- bzw. nanostrukturierten Bauelements, insbesondere eines Halbleiterbauelements, z. B. eines Mikrochips abgebildet. Je nach Ausführung der Projektionsbelichtungsanlage 1 als Scanner oder als Stepper werden das Retikel 30 und der Wafer zeitlich synchronisiert in der y-Richtung kontinuierlich im Scannerbetrieb oder schrittweise im Stepperbetrieb verfahren.With the help of the projection exposure system 1 becomes at least a part of the reticle 30 to a region of a photosensitive layer on the wafer for lithographic production of a micro- or nanostructured device, in particular a semiconductor device, for. B. a microchip shown. Depending on the version of the projection exposure system 1 as a scanner or as a stepper become the reticle 30 and the wafer is temporally synchronized in the y-direction continuously in scanner mode or stepwise in stepper mode.

Das optische Bauelement gemäß 2 wird vorzugsweise im Hochvakuum oder Ultrahochvakuum betrieben. Hierbei kann sich im Bereich vor den Einzelspiegeln 27, insbesondere vor den Spiegelkörpern 35 mit den Reflexionsflächen 34, ein Plasma 45, insbesondere ein Wasserstoffplasma, bilden. Das Plasma 45 kann insbesondere von energiereichen Photonen der Nutzstrahlung 10 erzeugt werden. Die Eigenschaften des Plasmas 45 sind somit insbesondere von den Eigenschaften der Strahlungsquelle 3, insbesondere deren Betriebsmodus, insbesondere deren Pulsfrequenz und/oder Pulsdauer und/oder Intensität, sowie der Atmosphäre in der Kammer 32 abhängig.The optical component according to 2 is preferably operated in a high vacuum or ultrahigh vacuum. This can be in the area in front of the individual mirrors 27 , especially in front of the mirror bodies 35 with the reflection surfaces 34 , a plasma 45 , in particular a hydrogen plasma, form. The plasma 45 can in particular of high-energy photons of the useful radiation 10 be generated. The properties of the plasma 45 are thus in particular of the properties of the radiation source 3 , In particular their operating mode, in particular their pulse rate and / or pulse duration and / or intensity, as well as the atmosphere in the chamber 32 dependent.

In der Hülse der Tragstruktur 36 sind drei Elektroden 62, 63, 64 integriert, die in Umfangsrichtung um ein Zentrum 59 des Aktuatorstifts 43, jeweils etwa knapp 120° überstreckend, gegeneinander elektrisch isoliert angeordnet sind. Die Elektroden 62 bis 64 stellen Gegenelektroden zum als Elektrodenstift ausgebildeten Aktuatorstift 43 dar. Die Elektroden 62, 63, 64 sind Bestandteile einer Aktuator-Einrichtung 50.In the sleeve of the support structure 36 are three electrodes 62 . 63 . 64 integrated in the circumferential direction around a center 59 of the actuator pin 43 , each about 120 ° overstretching, are arranged against each other electrically isolated. The electrodes 62 to 64 provide counter electrodes to the actuator pin formed as an electrode pin 43 dar. The electrodes 62 . 63 . 64 are components of an actuator device 50 ,

Der Aktuatorstift 43 kann als Hohlzylinder ausgeführt sein. Bei einer weiteren Ausführungsform der Aktuator-Einrichtung 50 können auch zwei, vier oder mehr Elektroden anstelle der drei Elektroden 62 bis 64 vorhanden sein. Die Elektroden 62 bis 64 können jeweils mit ihren angepassten Elektroden 62' bis 64' auf dem Aktuatorstift 43 zusammenwirken.The actuator pin 43 can be designed as a hollow cylinder. In a further embodiment of the actuator device 50 can also use two, four or more electrodes instead of the three electrodes 62 to 64 to be available. The electrodes 62 to 64 can each with their adapted electrodes 62 ' to 64 ' on the actuator pin 43 interact.

In der 2 rechts ist der Einzelspiegel 27 in einer gekippten Stellung gezeigt, in der die Gegenelektrode 64 auf einem positiven Potenzial V+ relativ zum negativen Potenzial V– des Aktuatorstifts 43 geschaltet ist. Aufgrund dieser Potenzialdifferenz V+/V– ergibt sich eine Kraft FE, die das freie Ende des Aktuatorstifts 43 hin zur Gegenelektrode 64 zieht, was zu einer entsprechenden Verkippung des Einzelspiegels 27 führt. Die federnde Aufhängung sorgt dabei für eine nachgiebige und kontrollierte Verkippung des Einzelspiegels 27. Zudem sorgt diese federnde Aufhängung für eine hohe Steifigkeit des Einzelspiegels 27 gegenüber translatorischen Bewegungen in der Ebene der federnden Aufhängung, was auch als hohe in-plane-Steifigkeit bezeichnet ist. Diese hohe Steifigkeit unterdrückt eine unerwünschte translatorische Bewegung des Aktuatorstifts 43, also des Elektrodenstifts, in Richtung hin zu den Elektroden 62 bis 64 ganz oder weitgehend. Auf diese Weise ist eine unerwünschte Reduzierung eines möglichen Kippwinkelbereichs des Aktuatorstifts 43 und damit des Spiegelkörpers 35 vermieden.In the 2 right is the individual mirror 27 shown in a tilted position in which the counter electrode 64 at a positive potential V + relative to the negative potential V- of the actuator pin 43 is switched. Due to this potential difference V + / V- results in a force F E , which is the free end of the actuator pin 43 towards the counter electrode 64 pulls, resulting in a corresponding tilting of the individual mirror 27 leads. The springy suspension ensures a yielding and controlled tilting of the single mirror 27 , In addition, this springy suspension ensures high rigidity of the individual mirror 27 to translational movements in the plane of the resilient suspension, which is also referred to as high in-plane stiffness. This high rigidity suppresses undesirable translational movement of the actuator pin 43 , ie the electrode pin, towards the electrodes 62 to 64 wholly or largely. In this way, an undesirable reduction of a possible tilt angle range of the actuator pin 43 and thus the mirror body 35 avoided.

Je nach dem, wie das relative Potenzial der Gegenelektroden 62 bis 64 zum Potenzial der zugehörigen Elektrode 62' bis 64' des Aktuatorstifts 43 gewählt ist, können die Einzelspiegel 27 um einen vorgegebenen Kippwinkel verkippt werden. Dabei sind nicht nur Kippwinkel möglich, die einer Neigung des Aktuatorstifts 43 genau zu einer der drei Gegenelektroden 62 bis 64 hin entsprechen, sondern, je nach einer vorgegebenen Potenzialkombination der Gegenelektroden 62 bis 64, auch beliebige andere Kippwinkel-Orientierungen.Depending on how the relative potential of the counter electrodes 62 to 64 to the potential of the associated electrode 62 ' to 64 ' of the actuator pin 43 is chosen, the individual mirrors can 27 one be tilted predetermined tilt angle. In this case, not only tilt angles are possible, the tilt of the Aktuatorstifts 43 exactly to one of the three counterelectrodes 62 to 64 towards, but, depending on a given potential combination of the counter electrodes 62 to 64 , also any other tilt angle orientations.

Bezüglich eines Verfahrens zur Herstellung des optischen Bauelements und der Aktuator-Einrichtung 50 sowie der zugehörigen Elektronik zur Ansteuerung der Aktuator-Einrichtung 50 und den konstruktiven Details dieser Elektronik, insbesondere der entsprechenden Steuereinrichtung, sei wiederum auf die WO 2010/049 076 A1 verwiesen. Die Steuereinrichtung für die Aktuator-Einrichtung 50 ist insbesondere in einen oder mehrere anwendungsspezifische integrierte Schaltkreise (Application Specific Integrated Circuits, ASICs) 60 integriert, welche in der 2 nur schematisch dargestellt sind. Eine exemplarische Darstellung der Kontaktstrukturen, insbesondere der elektrischen Anschlüsse 65 für die Aktuator-Einrichtung 50, insbesondere die Elektroden 62 bis 64 und 62' bis 64' ist in 4 wiedergegeben. Hierbei ist auch schematisch angedeutet, dass die Kontaktstrukturen durch eine Isolationsschicht 66 von der Tragestruktur 36 elektrisch isoliert sind.Regarding a method of manufacturing the optical device and the actuator device 50 and the associated electronics for controlling the actuator device 50 and the structural details of this electronics, in particular the corresponding control device, turn to the WO 2010/049 076 A1 directed. The control device for the actuator device 50 is particularly in one or more application specific integrated circuits (ASICs) 60 integrated, which in the 2 are shown only schematically. An exemplary representation of the contact structures, in particular the electrical connections 65 for the actuator device 50 , in particular the electrodes 62 to 64 and 62 ' to 64 ' is in 4 played. It is also schematically indicated that the contact structures by an insulating layer 66 from the support structure 36 are electrically isolated.

Im Folgenden werden weitere Details des als Vielspiegelanordnung (MMA) ausgebildeten Facettenspiegels 13, 14 beschrieben.In the following, further details of the multi-mirror arrangement (MMA) formed facet mirror 13 . 14 described.

Die Vielspiegelanordnung (MMA) wird durch eine Abfolge mikroelektromechanischer Strukturierungsschritte (MEMS), insbesondere unter Verwendung lithografischer Verfahrensschritte wie beispielsweise Ätzen, Abscheiden, Bonden oder Formen, hergestellt. Sie wird insbesondere aus einer Anzahl individueller Wafer hergestellt, welche nach der Bearbeitung miteinander gebondet werden.The multi-mirror arrangement (MMA) is produced by a sequence of microelectromechanical structuring (MEMS) steps, in particular using lithographic process steps such as etching, deposition, bonding or molding. In particular, it is made from a number of individual wafers which are bonded together after processing.

Die als Mikrospiegel ausgebildeten Einzelspiegel 27 sind an mikroskopischen Biegestrukturen aufgehängt. Letztere können aus einem dünnen Siliziumwafer oder aus einer metallischen Membran oder ähnlichem ausgeschnitten oder ausgeätzt sein. Die Biegestrukturen können insbesondere zweidimensional, das heißt membranartig, oder balkenförmig oder kardanisch ausgebildet sein.The trained as micromirrors individual mirror 27 are suspended by microscopic bending structures. The latter may be cut or etched from a thin silicon wafer or from a metallic membrane or the like. The bending structures may in particular be two-dimensional, that is to say membrane-like, or bar-shaped or gimbal-shaped.

Die Aktuierung ist vorzugsweise elektrostatisch oder elektromechanisch. Alternativen hierzu sind jedoch ebenso möglich.The actuation is preferably electrostatic or electromechanical. However, alternatives are also possible.

Die Einzelspiegel 27 können um mindestens 80 mrad, insbesondere mindestens 100 mrad in jede radiale Richtung verschwenkt werden.The individual mirrors 27 can be pivoted by at least 80 mrad, in particular at least 100 mrad in each radial direction.

Die Anzahl der Einzelspiegel 27 der Vielspiegel-Anordnung (MMA) liegt im Bereich von 1 bis 1000000. Sie kann prinzipiell auch darüber liegen. Sie ist prinzipiell je nach Anforderung frei wählbar. Die Gesamtanzahl der Einzelspiegel 27 des Facettenspiegels 13, 14 kann insbesondere mehrere Millionen betragen.The number of individual mirrors 27 The multi-mirror arrangement (MMA) is in the range of 1 to 1,000,000. In principle, it can also be above it. It is in principle freely selectable depending on the requirement. The total number of individual mirrors 27 of the facet mirror 13 . 14 may be in particular several million.

Die elektrischen Verbindungen, insbesondere die Schaltkreise, können wie folgt hergestellt werden: Die horizontal verlaufenden, das heißt die in Richtung parallel zu einer Waferoberfläche verlaufenden, können als dünne metallische Schichten auf die Oberfläche der einzelnen Wafer aufgebracht werden. Hierzu kann ein Druck- oder ein Dampfabscheidungsverfahren vorgesehen sein. Die vertikalen elektrischen Verbindungen, das heißt die Verbindungen, welche durch die Wafer, beispielsweise die Tragestruktur 36, hindurchreichen, können durch ein Ätzen von Kanälen und/oder Öffnen und Füllen derselben mit Metall, beispielsweise als sogenannte Silizium-Durchkontaktierungen, hergestellt werden. Auch hierzu kann ein MEMS-Verfahren vorgesehen sein.The electrical connections, in particular the circuits, can be produced as follows: The horizontally extending, that is to say those running in the direction parallel to a wafer surface, can be applied as thin metallic layers to the surface of the individual wafers. For this purpose, a pressure or a vapor deposition process can be provided. The vertical electrical connections, that is the connections passing through the wafers, for example the support structure 36 can be made by etching channels and / or opening and filling them with metal, for example as so-called silicon vias. For this purpose, a MEMS method can be provided.

Auf der Rückseite des optischen Bauelements, das heißt auf der der Reflexionsfläche 34 der Einzelspiegel 27 entgegengesetzten Seite derselben, ist eine elektronische Steuereinrichtung 67 zur Steuerung, insbesondere zur Regelung der Aktuator-Einrichtung 50, angeordnet. Die Steuereinrichtung 67 umfasst insbesondere die bereits erwähnten ASICs 60. Die Steuereinrichtung 67 ist über die elektrischen Anschlüsse 65 mit den Elektroden 62 bis 64, 62' bis 64' jedes der Einzelspiegel 27 bzw. der Aktuator-Einrichtung 50 elektrisch leitend verbunden. Die Steuereinrichtung 67 kann eingebettet, insbesondere als mikroskopisch ausgebildeter integrierter Schaltkreis (IC) oder als separates, externes Bauelement ausgebildet sein. Für Details wie die elektrischen Verbindungen zwischen der Vielspiegel-Anordnung, insbesondere zwischen der Aktuator-Einrichtung 50 und der Steuereinrichtung 67 hergestellt wird, sei wiederum auf die WO 2010/049 076 A1 verwiesen.On the back of the optical component, that is on the reflection surface 34 the individual mirror 27 opposite side thereof is an electronic control device 67 for controlling, in particular for controlling the actuator device 50 arranged. The control device 67 includes in particular the already mentioned ASICs 60 , The control device 67 is about the electrical connections 65 with the electrodes 62 to 64 . 62 ' to 64 ' each of the individual mirrors 27 or the actuator device 50 electrically connected. The control device 67 can be embedded, in particular designed as a microscopically formed integrated circuit (IC) or as a separate, external component. For details such as the electrical connections between the multi-mirror arrangement, in particular between the actuator device 50 and the controller 67 is made again on the WO 2010/049 076 A1 directed.

Das optische Bauelement wird insbesondere in der Kammer 32 mit reduziertem Druck betrieben. Das Restgas in der Kammer 32 hat beim Betrieb der Projektionsbelichtungsanlage 1, insbesondere beim Betrieb des Beleuchtungssystems 2, einen Partialdruck von höchstens 50 Pa, insbesondere höchstens 30 Pa, insbesondere höchstens 10 Pa, vorzugsweise höchstens 5 Pa. Bei dem Gas kann es sich insbesondere um Wasserstoff, Helium oder Argon handeln. Prinzipiell sind auch andere Gase möglich.The optical component is in particular in the chamber 32 operated at reduced pressure. The residual gas in the chamber 32 has during operation of the projection exposure apparatus 1 especially during operation of the lighting system 2 , a partial pressure of at most 50 Pa, in particular at most 30 Pa, in particular at most 10 Pa, preferably at most 5 Pa. The gas may in particular be hydrogen, helium or argon. In principle, other gases are possible.

Die Oberfläche der Aktuatorelektroden 62 bis 64, 62' bis 64' müssen von dem elektrisch geladenen Plasma 45 abgeschirmt werden. Dies wird zum einen durch die Spiegelkörper 35 erreicht, welche zwischen dem Plasma 45 und den Aktuatorelektroden 62 bis 64, 62' bis 64' angeordnet sind. Außerdem kann wie in der 5 schematisch dargestellt ist, ein spezielles Abschirmelement im Bereich zwischen den Spiegelkörpern 35 und den Aktuatorelektroden 62 bis 64, 62' bis 64' angeordnet sein. Diese Funktionalität kann ebenfalls durch eine entsprechende Ausbildung der Halteelemente 40 erfüllt werden. Das Abschirmelement 68 kann membranartig, netzartig oder gitterartig ausgebildet sein. Im Falle einer netzartigen oder gitterartigen Ausbildung beträgt die freie Weite maximal 10 μm. Es ist auch möglich, die Einzelspiegel 27 derart anzuordnen, dass ihr gegenseitiger Abstand dm weniger als 10 μm, insbesondere weniger als 5 μm beträgt. In diesem Fall kann prinzipiell auf das Abschirmelement 68 zwischen dem Spiegelkörper 35 und den Aktuatorelektroden 62 bis 64, 62' bis 64' verzichtet werden.The surface of the actuator electrodes 62 to 64 . 62 ' to 64 ' need of the electrically charged plasma 45 be shielded. This is due to the mirror body 35 reached, which between the plasma 45 and the actuator electrodes 62 to 64 . 62 ' to 64 ' are arranged. In addition, as in the 5 is shown schematically a special shielding element in the area between the mirror bodies 35 and the actuator electrodes 62 to 64 . 62 ' to 64 ' be arranged. This functionality can also be achieved by a corresponding design of the retaining elements 40 be fulfilled. The shielding element 68 can be membrane-like, net-like or latticed. In the case of a net-like or grid-like design, the free width is a maximum of 10 microns. It is also possible the individual mirrors 27 to be arranged such that their mutual distance d m is less than 10 microns, especially less than 5 microns. In this case, in principle, on the shielding 68 between the mirror body 35 and the actuator electrodes 62 to 64 . 62 ' to 64 ' be waived.

Außerdem können vorzugsweise Vorkehrungen getroffen werden, damit kein Streulicht auf die Oberfläche der Aktuator-Elektroden 62 bis 64, 62' bis 64' fällt.In addition, provision may preferably be made so that no stray light on the surface of the actuator electrodes 62 to 64 . 62 ' to 64 ' falls.

Die elektronische Steuereinrichtung 67 dient insbesondere der Steuerung der Aktuator-Einrichtung 50 mit den Aktuator-Elektroden 62 bis 64', 62' bis 64'. Sie kann als offene Steuer-Einrichtung oder als geschlossene Regeleinrichtung ausgebildet sein. In letzterem Fall umfasst sie ein lokales und/oder ein externes Überwachungssystem, das heißt eine Sensoreinrichtung, mittels welcher der Verlagerungszustand, insbesondere die Verkippung, jedes der Einzelspiegel 27 überwachbar ist.The electronic control device 67 serves in particular the control of the actuator device 50 with the actuator electrodes 62 to 64 ' . 62 ' to 64 ' , It can be designed as an open control device or as a closed control device. In the latter case, it comprises a local and / or an external monitoring system, that is to say a sensor device, by means of which the displacement state, in particular the tilting, of each of the individual mirrors 27 is monitorable.

Außerdem kann mittels der Steuereinrichtung 67 ein sogenanntes Bias-Potenzial VBias an den Spiegelkörper 35 selbst angelegt werden. Die hierzu erforderlichen Zuleitungen können in die Tragestruktur 36 sowie in den Gelenkkörper 38 integriert sein. Bei dem Bias-Potenzial VBias kann es sich um ein konstantes Potenzial, insbesondere im Bereich von –10 V bis +10 V handeln. Das Bias-Potenzial VBias kann insbesondere mit einer Genauigkeit von wenigen mV oder besser eingestellt werden. Zur Beaufschlagung der Spiegelkörper 35 der Einzelspiegel 27 mit dem Bias-Potenzial VBias ist eine Spannungsquelle 69, insbesondere eine steuerbare Spannungsquelle 69, vorgesehen. Die Spannungsquelle 69 weist eine Zeitkonstante, insbesondere eine Ansprechzeit auf, welche kürzer ist als der Kehrwert der Pulsfrequenz der Strahlungsquelle 3.In addition, by means of the control device 67 a so-called bias potential V bias to the mirror body 35 be created by yourself. The necessary supply lines can in the support structure 36 as well as in the joint body 38 be integrated. The bias potential V bias may be a constant potential, in particular in the range of -10 V to +10 V. The bias potential V bias can be adjusted in particular with an accuracy of a few mV or better. To act on the mirror body 35 the individual mirror 27 with the bias potential V Bias is a voltage source 69 , in particular a controllable voltage source 69 , intended. The voltage source 69 has a time constant, in particular a response time, which is shorter than the reciprocal of the pulse frequency of the radiation source 3 ,

Durch Beaufschlagung des Spiegelkörpers 35 des Einzelspiegels 27 kann verhindert werden, dass Ladungen aus dem Plasma 45 auf den Spiegelkörper 35 übertragen werden. Der Ladungstransfer vom Plasma 45 auf den Spiegelkörper 35 kann durch die Beaufschlagung letzteren mit dem Bias-Potenzial VBias zumindest verringert werden. Es ist insbesondere möglich, den genauen Wert des Bias-Potenzials VBias derart zu wählen und einzustellen, dass der Ladungstransfer vom Plasma 45 auf den Spiegelkörper 35 des Einzelspiegels 27 minimiert wird. Das Bias-Potenzial VBias kann auch dazu dienen, die aufgrund des photoelektrischen Effekts auftretenden Ladungsverluste von der Spiegelfläche in die Umgebung zu kompensieren. Hierzu kann das Bias-Potenzial VBias an die Betriebsbedingungen, insbesondere an die Betriebsbedingungen der Strahlungsquelle 3, insbesondere deren Pulsdauer, Pulsfrequenz und Intensität, sowie der Atmosphäre in der Kammer 32 angepasst werden. Vorteilhafterweise weist die Steuereinrichtung 67 hierfür eine Nachschlag-Tabelle (Look-up Table) auf. Das Verfahren zur Einstellung des Bias-Potenzials VBias wird nachfolgend noch näher beschrieben.By applying the mirror body 35 of the single mirror 27 can prevent charges from the plasma 45 on the mirror body 35 be transmitted. The charge transfer from the plasma 45 on the mirror body 35 can be at least reduced by the application of the latter with the bias potential V bias . In particular, it is possible to select and adjust the exact value of the bias potential V bias such that the charge transfer from the plasma 45 on the mirror body 35 of the single mirror 27 is minimized. The bias potential V bias may also serve to compensate for the charge losses from the mirror surface into the environment due to the photoelectric effect. For this purpose, the bias potential V bias to the operating conditions, in particular to the operating conditions of the radiation source 3 , in particular their pulse duration, pulse rate and intensity, as well as the atmosphere in the chamber 32 be adjusted. Advantageously, the control device 67 For this purpose, a look-up table on. The method for adjusting the bias potential V bias will be described in more detail below.

Gemäß der in 5 dargestellten Ausführungsform ist außerdem eine Sensor-Einrichtung 70 vorgesehen, mittels welcher der vom Plasma 45 durch einen Einzelspiegel 27 abfließende Strom erfassbar ist. Der Strom ist abhängig von einem durch einen Ladungstransfer vom Plasma 45 auf den Spiegelkörper 35 erzeugten Spiegel-Potenzial, VMirror, und dem internen elektrischen Widerstand zwischen dem Spiegel 27 und der Steuereinrichtung 67 bzw. einer Erdung. Durch geeignete Einstellung des mittels der Steuereinrichtung 67 über die Spannungsquelle 69 an den Spiegel 27 anzulegenden Bias-Spannung VBias kann der Stromfluss vom Plasma 45 durch den Spiegel 27 verringert, insbesondere minimiert, insbesondere eliminiert werden.According to the in 5 illustrated embodiment is also a sensor device 70 provided by means of which of the plasma 45 through a single mirror 27 outgoing current is detectable. The current is dependent on one through a charge transfer from the plasma 45 on the mirror body 35 generated mirror potential, V Mirror , and the internal electrical resistance between the mirror 27 and the controller 67 or a grounding. By suitable adjustment by means of the control device 67 via the voltage source 69 to the mirror 27 To be applied bias voltage V bias , the current flow from the plasma 45 through the mirror 27 reduced, in particular minimized, in particular eliminated.

Die Sensor-Einrichtung 70 ist vorzugsweise derart ausgebildet, dass sie den jeweils durch den Spiegel 27 abfließenden Strom bei jedem im Betrieb des Beleuchtungssystems 2 auftretenden Spiegelpotenzial VMirror mit einer Auflösung im Nanoamperebereich erfassen kann.The sensor device 70 is preferably formed such that they each through the mirror 27 outgoing electricity at each during operation of the lighting system 2 occurring mirror potential V Mirror with a resolution in the nanoampere range can detect.

Um die Sensorik zu vereinfachen, ist es auch möglich, mehrere Einzelspiegel 27 zusammen mit einer einzigen Sensor-Einrichtung 70 zu versehen. Die Sensor-Einrichtung 70 kann in diesem Fall entweder den Durchschnittswert oder die Summe oder einen Einzelwert des durch die Spiegel 27 abfließenden Stroms erfassen. Die Sensor-Einrichtung 70 kann beispielsweise mit zwei, drei, vier, sechs, neun oder mehr Einzelspiegel 27 verbunden sein.To simplify the sensors, it is also possible to use several individual mirrors 27 together with a single sensor device 70 to provide. The sensor device 70 in this case, either the average or the sum or a single value of the mirror 27 Capture effluent. The sensor device 70 For example, with two, three, four, six, nine or more individual mirrors 27 be connected.

Die Strommessung mittels der Sensor-Einrichtung 70 kann insbesondere mit Hilfe eines Spannungsabfalls über einen bekannten Widerstand erfolgen. Der Widerstand kann insbesondere in die MMA-Struktur integriert sein. Er kann beispielsweise als ressistiver Film mit Schaltkreisverbindungen ausgebildet sein. Der Widerstand kann vorzugsweise so nahe wie möglich an der Beschichtung des Spiegels 27, insbesondere in diese integriert sein. Für die Weiterverarbeitung kann der Spannungsabfall ausgelesen und in einen Stromwert konvertiert werden.The current measurement by means of the sensor device 70 can be done in particular by means of a voltage drop across a known resistor. The resistor may in particular be integrated in the MMA structure. It may be formed, for example, as a ressistive film with circuit connections. The resistance may preferably be as close as possible to the coating of the mirror 27 to be integrated in particular in these. For further processing, the voltage drop can be read out and converted into a current value.

Die Sensor-Einrichtung 70 kann in datenübertragender Weise mit einer elektrischen Schnittstelle 71 verbunden sein. Die elektrische Schnittstelle 71 kann ihrerseits in datenübertragender Weise zum einen mit der Steuereinrichtung 67, zum anderen mit einer externen Steuer- oder Regeleineinrichtung 72 verbunden sein. Die externe Steuereinrichtung 72 kann Software- oder Hardwarekomponenten umfassen. The sensor device 70 can in data-transmitting manner with an electrical interface 71 be connected. The electrical interface 71 can in turn in a data-transmitting manner on the one hand with the control device 67 on the other hand with an external control or regulating device 72 be connected. The external control device 72 may include software or hardware components.

Das an die Einzelspiegel 27 anzulegende Bias-Potenzial VBias kann experimentell bestimmt werden. Es kann insbesondere offline, insbesondere vor Inbetriebnahme des Beleuchtungssystems 2, bestimmt werden. Hierzu kann insbesondere eine Nachschlag-Tabelle erstellt werden. Ein Verfahren zur Erstellung einer derartigen Nachschlag-Tabelle ist schematisch in 6 dargestellt. Zunächst wird in einem Bereitstellungsschritt 73 ein Beleuchtungssystem 2 bereitgestellt. Das Beleuchtungssystem 2 wird insbesondere in einen betriebsbereiten Zustand gebracht. Hierzu wird beispielsweise die Kammer 32 mittels der Vakuumpumpe 31 zumindest teilevakuiert. Sodann werden in einem Aktuierungsschritt 74 die Einzelspiegel 27 mittels gezielter Aktivierung der Aktuator-Einrichtung 50 in die gewünschte Stellung gebracht, das heißt positioniert. Sodann wird in einem wiederholten Messverfahren 75 zunächst in einem Beaufschlagungsschritt 76 der Einzelspiegel 27 mit einem Bias-Potenzial VBias beaufschlagt, in einem Kompensationsschritt 77 die an die Aktuator-Elektroden 62 bis 64, 62' bis 64' angelegten Spannungen angepasst, in einem Aktivierungsschritt 78 die Strahlungsquelle 3 aktiviert und in einem Messschritt 79 der durch den Einzelspiegel 27 fließende Strom gemessen.That to the individual mirrors 27 To be applied bias potential V bias can be determined experimentally. In particular, it can be offline, in particular before the lighting system is put into operation 2 to be determined. In particular, a look-up table can be created for this purpose. A method for creating such a look-up table is schematically shown in FIG 6 shown. First, in a deployment step 73 a lighting system 2 provided. The lighting system 2 is in particular brought to a ready state. For this purpose, for example, the chamber 32 by means of the vacuum pump 31 at least partially evacuated. Then in an actuation step 74 the individual mirrors 27 by means of targeted activation of the actuator device 50 placed in the desired position, that is positioned. Then in a repeated measuring procedure 75 first in a loading step 76 the individual mirror 27 subjected to a bias potential V bias , in a compensation step 77 to the actuator electrodes 62 to 64 . 62 ' to 64 ' adjusted voltages, in an activation step 78 the radiation source 3 activated and in one measuring step 79 the through the individual mirror 27 flowing current measured.

Der Kompensationsschritt 77 dient der Anpassung der Aktuierungs-Spannungen, um die im Aktuierungsschritt 74 eingestellte Positionierung des Einzelspiegels 27 nach Beaufschlagung desselben mit der Bias-Spannung VBias im Beaufschlagungsschritt 76 wieder herzustellen. Die Beaufschlagung des Einzelspiegels 27 mit der Bias-Spannung VBias macht eine derartige Kompensation in der Regel notwendig.The compensation step 77 is used to adjust the actuation voltages to those in the actuation step 74 set positioning of the single mirror 27 after applying the same with the bias voltage V bias in the loading step 76 restore. The admission of the single mirror 27 with the bias voltage V bias , such compensation is usually necessary.

Das Messverfahren 75 wird für unterschiedliche Werte des Bias-Potenzials VBias wiederholt. Prinzipiell kann das Messverfahren 75 auch für unterschiedliche Positionierungen der Einzelspiegel 27 wiederholt werden. Außerdem kann das Messverfahren 75 für unterschiedliche Betriebsmodi der Strahlungsquelle 3 wiederholt werden.The measuring method 75 is repeated for different values of the bias potential V bias . In principle, the measuring method 75 also for different positioning of the individual mirror 27 be repeated. In addition, the measuring method 75 for different operating modes of the radiation source 3 be repeated.

Anschließend wird in einem Optimierungsschritt 80 der für die jeweiligen Bedingungen optimale Wert des an den Einzelspiegel 27 anzulegenden Bias-Potenzials VBias* ermittelt. Der Optimierungsschritt 80 kann einen Interpolationsschritt umfassen.Subsequently, in an optimization step 80 the optimal value of the individual mirror for the respective conditions 27 to be applied bias potential V bias * . The optimization step 80 may include an interpolation step.

Erfindungsgemäß wurde gefunden, dass die optimierten Bias-Potenziale VBias* beispielsweise von der Pulsfrequenz der Strahlungsquelle 3 abhängen. Das optimierte Bias-Potenzial VBias* nimmt insbesondere mit zunehmender Frequenz der Strahlungsquelle 3 zu. Das optimierte Bias-Potenzial VBias* wird mit einer Genauigkeit von 10 mV oder besser ermittelt. Erfindungsgemäß wurde gefunden, dass die durch das Plasma 45 verursachte Störung, das heißt der strahlungsinduzierte Einfluss auf die Positionierung des Einzelspiegels 27 auf eine Verkippung von weniger als 10 μrad reduziert werden konnte.According to the invention, it has been found that the optimized bias potentials V bias *, for example, from the pulse frequency of the radiation source 3 depend. The optimized bias potential V bias * increases in particular as the frequency of the radiation source increases 3 to. The optimized bias potential V bias * is determined with an accuracy of 10 mV or better. According to the invention, it has been found that by the plasma 45 caused disturbance, that is the radiation-induced influence on the positioning of the single mirror 27 could be reduced to a tilt of less than 10 μrad.

Das oben beschriebene Kalibrierungs-Verfahren zur Ermittlung der optimierten Bias-Spannung VBias* kann vorzugsweise vollständig automatisiert ausgeführt werden. Es kann insbesondere selbstständig zu einem vorgegebenen Zeitpunkt durchgeführt werden. Es kann insbesondere in regelmäßigen Abständen durchgeführt werden. Es ist insbesondere möglich, die optimierten Bias-Spannungen VBias* an langsame, langfristige Änderungen der Strahlungsquelle 3, insbesondere deren Intensität, anzupassen. Vorteilhafterweise sind die für das Kalibrierungs-Verfahren notwendigen Bauteile, insbesondere die elektronischen Bauteile, in das MMA integriert, insbesondere eingebettet. Die optimierten Bias-Potenziale VBias* liegen insbesondere im Bereich von –10 V bis +10 V, insbesondere im Bereich von –5 V bis +5 V.The above-described calibration method for determining the optimized bias voltage V bias * can preferably be carried out completely automatically. In particular, it can be carried out independently at a given point in time. It can be done in particular at regular intervals. In particular, it is possible to optimize the bias voltages V bias * for slow, long-term changes in the radiation source 3 , in particular their intensity, adapt. Advantageously, the necessary components for the calibration process, in particular the electronic components, integrated into the MMA, in particular embedded. The optimized bias potentials V bias * are in particular in the range of -10 V to +10 V, in particular in the range of -5 V to +5 V.

Durch Anlegen der Bias-Spannung VBias an die Einzelspiegel 27 kann die Wechselwirkung zwischen den Einzelspiegeln 27 bzw. deren Aktuator-Einrichtung 50 und deren Umgebung, insbesondere dem Plasma 45, reduziert, insbesondere minimiert, insbesondere eliminiert werden. Um auf dynamische Aspekte der Aktivierung der Strahlungsquelle 3 einzugehen, kann vorzugsweise auch eine dynamische Steuerung, insbesondere eine zeitabhängige Steuerung, vorgesehen sein. Dies ist insbesondere vorteilhaft, wenn die Strahlungsquelle 3 gepulst betrieben wird. Eine dynamische Steuerung des Bias-Potenzials VBias bzw. allgemein des Mittels zur Verringerung des strahlungsinduzierten Einflusses auf die Positionierung des Einzelspiegels 27, ist insbesondere dann von Vorteil, wenn die elektrischen Eigenschaften der Umgebung der Spiegel 27 bzw. der Aktuator-Einrichtung 50 veränderlich sind, insbesondere, wenn sich diese Eigenschaften beim Betrieb der Strahlungsquelle 3, insbesondere zwischen zwei Pulsen derselben, verändern. Auch nach dem Einschalten der Strahlungsquelle 3 oder nach einer längeren Pause kann es zu dynamischen, insbesondere transienten Effekten kommen. Beispielsweise kann es auch in dem Intervall zwischen der Belichtung zweier Wafer zu zeitabhängigen Veränderungen des Plasmas 45 kommen. Um eine derartige zeitabhängige Veränderung des Plasmas 45 berücksichtigen zu können, kann vorgesehen sein, den über den Einzelspiegel 27 abfließenden Strom mittels der Sensor-Einrichtung 70 zu messen und aus dem gemessenen Strom ein zeitabhängiges Kompensation-Potenzial VBias (t) = VKomp zu ermitteln, welches bei Beaufschlagung des Einzelspiegels 27 mit demselben zu einer Verringerung, insbesondere zu einer Minimierung, insbesondere zu einer Eliminierung des durch den Einzelspiegel 27 abfließenden Stroms führt.By applying the bias voltage V bias to the individual mirrors 27 can the interaction between the individual mirrors 27 or their actuator device 50 and their environment, especially the plasma 45 , reduced, in particular minimized, in particular eliminated. To focus on dynamic aspects of the activation of the radiation source 3 to enter, preferably, a dynamic control, in particular a time-dependent control, may be provided. This is particularly advantageous when the radiation source 3 is operated pulsed. A dynamic control of the bias potential V bias or generally the means for reducing the radiation-induced influence on the positioning of the individual mirror 27 , is particularly advantageous if the electrical properties of the environment of the mirror 27 or the actuator device 50 are variable, especially when these properties in the operation of the radiation source 3 , in particular between two pulses of the same, change. Even after switching on the radiation source 3 or after a longer break, dynamic, especially transient, effects may occur. For example, in the interval between the exposure of two wafers to time-dependent changes in the plasma 45 come. To such a time-dependent change of the plasma 45 to be able to take into account, can be provided, the on the individual mirror 27 outgoing electricity by means of the sensor Facility 70 to measure and from the measured current to determine a time-dependent compensation potential V bias (t) = V Komp , which when exposed to the single mirror 27 with the same to a reduction, in particular to a minimization, in particular to an elimination of the individual mirror 27 draining current leads.

Damit der gemessene Strom die Dynamik der elektrischen Wechselwirkung zwischen dem Einzelspiegel 27 und dessen Umgebung möglichst gut wiedergibt, ist der Spiegel 27 und die Sensor-Einrichtung 70 derart ausgebildet, dass die charakteristische Zeitkonstante des entsprechenden Äquivalenzkreises wesentlich kürzer ist als die charakteristische Zeit der externen elektrischen Störungen. Die Zeitkonstante des Äquivalenzkreises des Einzelspiegels 27 bzw. des MMAs ist insbesondere kürzer als 100 msec, insbesondere kürzer als 30 msec, insbesondere kürzer als 10 msec, insbesondere kürzer als 3 msec, insbesondere kürzer als 1 msec, insbesondere kürzer als 0,3 msec, insbesondere kürzer als 0,1 msec, insbesondere kürzer als 10–5 sec, insbesondere kürzer als 10–6 sec.Thus, the measured current the dynamics of the electrical interaction between the individual mirror 27 and its surroundings reproduces as well as possible, is the mirror 27 and the sensor device 70 such that the characteristic time constant of the corresponding equivalent circuit is substantially shorter than the characteristic time of the external electrical noise. The time constant of the equivalence circle of the single mirror 27 or of the MMA is in particular shorter than 100 msec, in particular shorter than 30 msec, in particular shorter than 10 msec, in particular shorter than 3 msec, in particular shorter than 1 msec, in particular shorter than 0.3 msec, in particular shorter than 0.1 msec , in particular shorter than 10 -5 sec, in particular shorter than 10 -6 sec.

Wie schematisch in 7 dargestellt ist, kann das Kompensationspotenzial VKomp offline bestimmt werden. Hierbei kann insbesondere jeder Einzelspiegel 27 gemäß dem in 7 schematisch dargestellten Ablaufplan kalibriert werden. Zur Kalibrierung ist insbesondere wiederum ein Bereitstellungsschritt 73 vorgesehen, in welchem das Beleuchtungssystem 2 bereitgestellt und in Betriebsbedingungen gebracht wird. Beispielsweise kann wiederum die Kammer 32 mittels der Vakuumpumpe 31 evakuiert werden. Sodann ist wiederum vorgesehen, die Einzelspiegel 27 in einem Aktuierungsschritt 74 zu verlagern, das heißt zu positionieren, das heißt durch Aktivierung der Aktuator-Einrichtung 50 in die gewünschte Position zu bringen. Sodann wird in einem Aktivierungsschritt 78 die Strahlungsquelle 3 aktiviert. Hierauf folgt die Ermittlung des Kompensations-Potenzials VKomp = VBias (t). Die Ermittlung umfasst einen Messschritt 79 und einen Berechnungsschritt 81. Im Messschritt 79 kann der zeitliche Verlauf des über den Spiegel 27 abfließenden Stroms gemessen werden. Aus diesen Messdaten wird im Berechnungsschritt 81 der zeitliche Verlauf der gewünschten Kompensationsspannung VKomp = VBias (t) ermittelt. In einem nachfolgenden Speicherschritt 82 wird die Funktion VBias (t) in freier Form oder parametrisiert gespeichert.As schematically in 7 is shown, the compensation potential V Komp can be determined offline. In this case, in particular, each individual mirror 27 according to the in 7 calibrated schematic diagram are shown. For calibration, in turn, in particular, a provisioning step 73 provided in which the lighting system 2 provided and brought into operating conditions. For example, in turn, the chamber 32 by means of the vacuum pump 31 be evacuated. Then, again, the individual mirrors are provided 27 in an actuation step 74 to shift, that is to position, that is, by activation of the actuator device 50 in the desired position. Then in an activation step 78 the radiation source 3 activated. This is followed by the determination of the compensation potential V Komp = V bias (t). The determination comprises a measuring step 79 and a calculation step 81 , In the measuring step 79 can the temporal course of the mirror 27 be measured from the outflow of electricity. From these measurement data is in the calculation step 81 the time course of the desired compensation voltage V comp = V bias (t) determined. In a subsequent storage step 82 the function V bias (t) is stored in free form or parametrized.

VKomp kann eine periodische Funktion sein. Sie kann insbesondere dieselbe Periodizität wie die Strahlungsquelle 3 aufweisen. Sie kann auch höhere Frequenzkomponenten aufweisen. Sie kann auch eine transiente Komponente, insbesondere für das Intervall unmittelbar nach dem Einschalten der Strahlungsquelle 3 aufweisen. Sie kann auch einen Übergangsbereich aufweisen, welcher die Zeitdauer berücksichtigt, bis die Strahlungsquelle 3 stationäre Bedingungen erreicht hat. Prinzipiell kann die Funktion VBias (t) auch für die gesamte Dauer der Aktivierung der Strahlungsquelle 3 berechnet werden.V Komp can be a periodic function. In particular, it can have the same periodicity as the radiation source 3 exhibit. It can also have higher frequency components. It can also be a transient component, in particular for the interval immediately after switching on the radiation source 3 exhibit. It may also have a transition region which takes into account the time until the radiation source 3 has reached stationary conditions. In principle, the function V Bias (t) can also be used for the entire duration of the activation of the radiation source 3 be calculated.

Für eine Korrektur während des Betriebs des Beleuchtungssystems ist vorgesehen, die Kompensations-Spannung VKomp = VBias (t) an den Einzelspiegel 27 anzulegen. Die erforderliche Zeitabhängigkeit des anzulegenden Kompensations-Potenzials kann mit Hilfe elektronischer Bauteile, beispielsweise Funktionsgeneratoren, Verstärkern, Invertern erzeugt werden. Die Bauteile können digital oder analog sein. Zur Erzeugung des Kompensations-Potenzials VKomp und/oder zum Anlegen desselben an den Einzelspiegel 27 kann eine externe oder eine interne, das heißt eine in das MMA integrierte elektronische Steuer- oder Regeleinrichtung vorgesehen sein.For a correction during the operation of the illumination system is provided, the compensation voltage V comp = V bias (t) to the individual mirror 27 to apply. The required time dependence of the compensation potential to be applied can be generated by means of electronic components, for example function generators, amplifiers, inverters. The components can be digital or analog. For generating the compensation potential V Komp and / or for applying the same to the individual mirror 27 For example, an external or an internal, that is to say an electronic control or regulating device integrated in the MMA can be provided.

Wie in 8 schematisch dargestellt ist, wird die Funktion des Kompensations-Potenzials VKomp = VBias (t) von einer Speichereinrichtung 83 an einen Funktionsgenerator 84 geleitet. Der Funktionsgenerator 84 ist mittels einer Synchronisationseinheit mit der Strahlungsquelle 3 synchronisiert. Die Synchronisationseinheit 85 kann insbesondere von der Strahlungsquelle 3 getriggert sein. Das vom Funktionsgenerator 84 erzeugte Signal wird an einen Spannungsverstärker 86 weitergeleitet. Der Spannungsverstärker 86 steht in elektrisch leitender Verbindung mit dem Einzelspiegel 27. Hierfür ist insbesondere ein elektrischer Anschluss 65 vorgesehen.As in 8th is shown schematically, the function of the compensation potential V comp = V bias (t) from a memory device 83 to a function generator 84 directed. The function generator 84 is by means of a synchronization unit with the radiation source 3 synchronized. The synchronization unit 85 especially from the radiation source 3 be triggered. That of the function generator 84 generated signal is sent to a voltage amplifier 86 forwarded. The voltage amplifier 86 is in electrically conductive connection with the individual mirror 27 , This is in particular an electrical connection 65 intended.

In einer vorteilhaften Ausführungsform ist die Steuereinrichtung 67 schnell genug, um den Strom durch den Spiegel 27 zu erfassen und das Kompensations-Potenzial VKomp = VBias (t) in Echtzeit zu berechnen und erzeugen. Bei dieser Ausführungsform wird das Kompensationspotenzial mit einer Taktrate, welche größer ist als die Pulsfrequenz der Strahlungsquelle 3, berechnet. Die Taktrate zur Berechnung des Kompensations-Potenzials VKomp ist insbesondere mindestens doppelt so groß, insbesondere mindestens fünfmal so groß, insbesondere mindestens 10mal so groß, insbesondere mindestens 20mal so groß, insbesondere mindestens 50mal so groß wie die Pulsfrequenz der Strahlungsquelle 3.In an advantageous embodiment, the control device 67 fast enough to get the current through the mirror 27 to calculate and generate the compensation potential V comp = V bias (t) in real time and generate. In this embodiment, the compensation potential becomes a clock rate which is greater than the pulse frequency of the radiation source 3 , calculated. The clock rate for calculating the compensation potential V Komp is in particular at least twice as large, in particular at least five times as large, in particular at least 10 times as large, in particular at least 20 times as large, in particular at least 50 times as large as the pulse frequency of the radiation source 3 ,

Ein Teil des Verfahrens für eine Echtzeitkorrektur ist schematisch in 9 dargestellt. Hierbei wird mittels einer Regeleinheit in dem Messschritt 79 der Strom durch den Spiegel 27 gemessen. Der Messwert liegt sodann als analoges Signal 87 vor. Das analoge Signal 87 wird an einen Analog-Digital-Umsetzer (ADC) 88 weitergeleitet und liegt sodann als digitales Echtzeitsample 89 vor. Aus diesem Sample 89 kann das erforderliche Kompensation-Potenzial VKomp = VBias (t) im Berechnungsschritt 81 ermittelt werden. Die Ermittlung kann insbesondere softwaregestützt erfolgen. Der derartig ermittelte Korrekturwert wird an einen Digital-Analog-Umsetzer (DAC) 90 weitergeleitet. Der Digital-Analog-Umsetzer 90 generiert in Echtzeit ein analoges Signal VBias (t) und leitet dieses an den Spannungsverstärker 86 weiter, von wo das Signal wiederum an den Spiegel 27 weitergeleitet wird.Part of the process for real time correction is schematically shown in FIG 9 shown. In this case, by means of a control unit in the measuring step 79 the current through the mirror 27 measured. The measured value is then as an analog signal 87 in front. The analog signal 87 is sent to an analog-to-digital converter (ADC) 88 forwarded and is then as a digital real-time sample 89 in front. From this sample 89 can the required compensation potential V comp = V bias (t) in the calculation step 81 be determined. The determination can be carried out in particular software-supported. The so determined correction value is sent to a digital-to-analogue converter (DAC) 90 forwarded. The digital-to-analog converter 90 generates an analog signal V bias (t) in real time and sends it to the voltage amplifier 86 from where the signal turn to the mirror 27 is forwarded.

Zusätzlich hierzu ist es möglich, die an die Aktuator-Elektroden 62 bis 64, 62' bis 64' angelegte Aktuator-Spannung anzupassen. Dies kann insbesondere dann vorteilhaft sein, wenn der Mittelwert des Kompensations-Potenzials VKomp ungleich Null ist. Die Aktuator-Spannungen können insbesondere derart angepasst werden, dass die Spannungsdifferenz zwischen dem Spiegel 27 und den Aktuator-Elektroden 62 bis 64, 62' bis 64' von der Beaufschlagung des Spiegels 27 nicht beeinflusst werden, sondern zeitlich konstant bleiben.In addition to this, it is possible to attach to the actuator electrodes 62 to 64 . 62 ' to 64 ' adapted actuator voltage. This can be advantageous in particular if the mean value of the compensation potential V Komp is not equal to zero. The actuator voltages can in particular be adjusted such that the voltage difference between the mirror 27 and the actuator electrodes 62 to 64 . 62 ' to 64 ' from the imposition of the mirror 27 are not affected, but remain constant over time.

Im Folgenden wird unter Bezugnahme auf die 10 und 11 eine weitere Ausführungsform eines Mittels zur Verringerung eines strahlungsinduzierten Einflusses auf die Positionierung der Einzelspiegel 27 beschrieben. Der grundsätzliche Aufbau der Vielspiegelanordnung (MMA) mit einer Vielzahl von Einzelspiegeln 27 entspricht dem Ausführungsbeispiel gemäß 2, auf dessen Beschreibung hiermit verwiesen wird.The following is with reference to the 10 and 11 a further embodiment of a means for reducing a radiation-induced influence on the positioning of the individual mirror 27 described. The basic structure of the multi-mirror arrangement (MMA) with a large number of individual mirrors 27 corresponds to the embodiment according to 2 , to the description of which reference is hereby made.

Bei der Ausführungsform gemäß 10 umfasst der Facettenspiegel 13, welcher als konkretes Beispiel für das erfindungsgemäße optische Bauelement dient, ein Abschirmelement 91. Das Abschirmelement 91 umfasst ein Gitter 92. Das Gitter 92 kann randseitig von einer Maske in Form eines Blechs 93 umgeben sein. Das Gitter 92 dient der elektrostatischen Abschirmung der Einzelspiegel 27 und der darunterliegenden Elektronik, insbesondere den ASICs 60.In the embodiment according to 10 includes the facet mirror 13 , which serves as a concrete example of the optical component according to the invention, a shielding element 91 , The shielding element 91 includes a grid 92 , The grid 92 may be on the edge of a mask in the form of a sheet 93 be surrounded. The grid 92 serves the electrostatic shielding of the individual mirror 27 and the underlying electronics, especially the ASICs 60 ,

Das Gitter 92 ist aus einem elektrisch leitenden Material. Auch das das Gitter 92 umgebende Blech 93 ist aus einem elektrisch leitenden Material.The grid 92 is made of an electrically conductive material. Even the grid 92 surrounding sheet metal 93 is made of an electrically conductive material.

Das Gitter 92 ist geometrisch an die Ausbildung der Einzelspiegel 27 und deren Anordnung relativ zueinander angepasst. Die einzelnen Gitterstege bilden insbesondere Maschen mit einer Weite w, welche der Seitenlänge l der Einzelspiegel 27 vermehrt um den Abstand dm zweier benachbarter Einzelspiegel 27 reduziert um die Dicke dg der Gitterstege ist.The grid 92 is geometric to the formation of the individual mirror 27 and their arrangement adapted relative to each other. The individual lattice webs in particular form loops with a width w, which the side length l of the individual mirror 27 increased by the distance d m of two adjacent individual mirrors 27 reduced by the thickness d g of the grid bars is.

Das Gitter 92 ist beabstandet zu den Einzelspiegeln 27 angeordnet. Es ist insbesondere im Bereich zwischen der Strahlungsquelle 3, insbesondere zwischen dem Plasma 45 und den Einzelspiegel 27 angeordnet. Es ist in einem Abstand h vor den Einzelspiegeln 27 angeordnet. Hierbei gilt:
h ≥ 1 + dm. Das Gitter 92 ist insbesondere derart zu den Einzelspiegeln 27 angeordnet, dass sein Bild bei einer Senkrechtprojektion in Richtung der optischen Achse auf den Spiegeln 13 in den Bereich zwischen den Einzelspiegeln 27 fällt (siehe 11). Die Dicke dg der Gitterstege ist insbesondere kleiner als der Abstand dm zweier benachbarter Einzelspiegel 27. Es gilt insbesondere: dg ≤ 0,5 dm, insbesondere dg ≤ 0,3 dm,, insbesondere dg ≤ 0,2 dm, insbesondere dg ≤ 0,1 dm, insbesondere dg ≤ 0,05 dm, insbesondere dg ≤ 0,03 dm, insbesondere dg ≤ 0,02 dm, insbesondere dg ≤ 0,01 dm.
The grid 92 is spaced from the individual mirrors 27 arranged. It is especially in the area between the radiation source 3 , especially between the plasma 45 and the individual mirror 27 arranged. It is at a distance h in front of the individual mirrors 27 arranged. Where:
h ≥ 1 + d m . The grid 92 is in particular so to the individual mirrors 27 arranged that its image in a vertical projection in the direction of the optical axis on the mirrors 13 in the area between the individual mirrors 27 falls (see 11 ). The thickness d g of the lattice webs is in particular smaller than the distance d m of two adjacent individual mirrors 27 , In particular: d g ≦ 0.5 d m , in particular d g ≦ 0.3 d m , in particular d g ≦ 0.2 d m , in particular d g ≦ 0.1 d m , in particular d g ≦ 0, 05 d m , in particular d g ≦ 0.03 d m , in particular d g ≦ 0.02 d m , in particular d g ≦ 0.01 d m .

Das Gitter 92 ist mittels Abstandshalter 94 relativ zu der Vielspiegel-Anordnung (MMA) mit den Einzelspiegeln 27 gehalten. Die Abstandshalter 94 weisen einen Durchmesser da auf, welcher kleiner ist als der Abstand dm zweier benachbarter Einzelspiegel 27. Es gilt insbesondere: da ≤ 0,5 dm.The grid 92 is by means of spacers 94 relative to the multi-mirror arrangement (MMA) with the individual mirrors 27 held. The spacers 94 have a diameter there, which is smaller than the distance d m of two adjacent individual mirror 27 , In particular: d a ≤ 0.5 d m .

Die Abstandshalter 94 sind durch die Tragestruktur 36 hindurchgeführt. Sie sind insbesondere in Durchgangsöffnungen durch die Tragestruktur 36 hindurchgeführt. Die Abstandshalter 94 sind insbesondere vom Rest der Tragestruktur 36 elektrisch isoliert.The spacers 94 are through the support structure 36 passed. They are in particular in through openings through the support structure 36 passed. The spacers 94 are in particular from the rest of the support structure 36 electrically isolated.

Die Abstandshalter 94 sind im Bereich zwischen den Einzelspiegel 27 an der Tragestruktur 36 angebracht. Sie sind derart ausgebildet und angeordnet, dass sie die Verlagerung, insbesondere die Verkippung der Einzelspiegel 27 nicht beeinflussen.The spacers 94 are in the range between the individual levels 27 on the support structure 36 appropriate. They are designed and arranged so that they the displacement, in particular the tilt of the individual mirror 27 do not influence.

Das Gitter 92 ist insbesondere derart ausgebildet, dass es nicht zu einer Abschattung der Nutzstrahlung 10 von der Strahlungsquelle 3 beim Auftreffen desselben auf die Einzelspiegel 27 führt.The grid 92 is in particular designed such that it does not cause shading of the useful radiation 10 from the radiation source 3 when it hits the individual mirror 27 leads.

Das Gitter 92 ist außerdem insbesondere derart ausgebildet, dass Beugungseffekte desselben auf die Nutzstrahlung 10 vernachlässigbar sind.The grid 92 In addition, it is especially designed in such a way that diffraction effects of the same affect the useful radiation 10 are negligible.

Mindestens einer der Abstandshalter 94 ist als Kontaktstift 94* ausgebildet. Er ist aus einem elektrisch leitfähigen Material. Der Kontaktstift 94* ist mit einer Spannungsquelle 95 elektrisch leitend verbunden. Die Spannungsquelle 95 ist ihrerseits mittels einer Steuereinrichtung 96 steuerbar.At least one of the spacers 94 is as a contact pin 94 * educated. He is made of an electrically conductive material. The contact pin 94 * is with a voltage source 95 electrically connected. The voltage source 95 is in turn by means of a control device 96 taxable.

Über den Kontaktstift 94* ist das Gitter 92 mit einem elektrischen Abschirmpotenzial VGitter beaufschlagbar. Der Wert des Abschirmpotenzials, mit welchem das Gitter 92 beaufschlagt wird, ist mittels der Steuereinrichtung 96 steuerbar. Er liegt insbesondere im Bereich von –100 V bis +100 V. Er ist vorzugsweise kleiner, das heißt negativer als –10 V.About the contact pin 94 * is the grid 92 with an electrical shielding potential V grid acted upon. The value of the shielding potential with which the grid 92 is acted upon, by means of the control device 96 taxable. It is in particular in the range of -100 V to +100 V. It is preferably smaller, that is more negative than -10 V.

Um gute Ergebnisse zu gewährleisten, werden die folgenden Werte der Abschirm-Spannung bevorzugt: Eine Abschirmspannung negativer als –10 V für Einzelspiegel 27 mit einer Seitenlänge l von 600 μm bei einer Dicke dg von 20 μm und einem Abstand dm kleiner 100 μm. Eine Abschirmspannung negativer als –30 V für Einzelspiegel 27 mit einer Seitenlänge l von 1 mm bei einer Dicke dg von 20 μm und einem Abstand dm kleiner 100 μm. Für Einzelspiegel 27 mit einer Seitenlänge l von weniger als 1 mm und einem Gitter 92 mit dg kleiner 100 μm haben negative Potenziale von bis zu –100 V eine effektive Abschirmung bewirkt.To ensure good results, the following values of shielding voltage are preferred: A shielding voltage more negative than -10 V for individual mirrors 27 with a side length l of 600 microns at a thickness d g of 20 microns and a distance d m less than 100 μm. A screening voltage more negative than -30 V for individual mirrors 27 with a side length l of 1 mm at a thickness d g of 20 microns and a distance d m of less than 100 microns. For individual mirrors 27 with a side length l of less than 1 mm and a grid 92 with d g smaller than 100 μm, negative potentials of up to -100 V caused effective shielding.

Das an das Gitter 92 anzulegende Abschirmpotenzial VGitter kann vor Betrieb des Beleuchtungssystems 2 festgelegt werden. Es kann auch experimentell bestimmt werden. Es kann insbesondere während des Betriebs des Beleuchtungssystems 2 bestimmt und eingestellt werden.That to the grid 92 shielding potential V grid to be applied before operation of the lighting system 2 be determined. It can also be determined experimentally. It can in particular during the operation of the lighting system 2 be determined and adjusted.

Mit Hilfe des Abschirmelements 91 ist es möglich, zu verhindern, dass freie Elektroden oder Ionen aus dem Plasma 45, welche zu einer Störung der Positionierung der Einzelspiegel 27 führen können, zu den Einzelspiegel 27 und/oder der Aktuator-Einrichtung 50 gelangen können.With the help of the shielding element 91 It is possible to prevent free electrodes or ions from the plasma 45 , which leads to a disorder of the positioning of the individual mirror 27 can lead to the individual mirror 27 and / or the actuator device 50 can reach.

Während in 10 das Gitter 92 als zweidimensionales Gitter mit einer Maschenstruktur ausgebildet ist, ist es auch möglich, das Gitter 92 als eindimensionales Gitter, bei welchem sämtliche Gitterstege parallel zueinander verlaufen, auszubilden.While in 10 the grid 92 As a two-dimensional grid is formed with a mesh structure, it is also possible, the grid 92 as a one-dimensional grid in which all lattice webs parallel to each other, form.

Während das Gitter 92 bei der Ausführungsform gemäß 10 mit der Tragestruktur 36 verbunden ist, kann es auch als separates Bauteil, unabgängig von der Vielspiegel-Anordnung ausgebildet sein. Es kann insbesondere als separates Bauteil justierbar im Strahlengang vor dem Spiegel 13 angeordnet sein.While the grid 92 in the embodiment according to 10 with the carrying structure 36 is connected, it may also be formed as a separate component, regardless of the multi-mirror arrangement. It can be adjusted in particular as a separate component in the beam path in front of the mirror 13 be arranged.

Die unterschiedlichen Mittel zur Verringerung eines strahlungsinduzierten Einflusses auf die Positionierung der Einzelspiegel 27 können auch miteinander kombiniert werden.The different means of reducing a radiation-induced influence on the positioning of the individual mirrors 27 can also be combined with each other.

ZITATE ENTHALTEN IN DER BESCHREIBUNG QUOTES INCLUDE IN THE DESCRIPTION

Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.This list of the documents listed by the applicant has been generated automatically and is included solely for the better information of the reader. The list is not part of the German patent or utility model application. The DPMA assumes no liability for any errors or omissions.

Zitierte PatentliteraturCited patent literature

  • WO 2009/100856 A1 [0002] WO 2009/100856 A1 [0002]
  • EP 1225481 A [0054] EP 1225481 A [0054]
  • WO 2010/049076 A1 [0067, 0074, 0074, 0077, 0085, 0093] WO 2010/049076 A1 [0067, 0074, 0074, 0077, 0085, 0093]

Claims (12)

Optisches Bauelement (13; 14) mit a. mindestens einem optischen Bauteil (27), b. mindestens einer Aktuator-Einrichtung (50) zur Verlagerung des mindestens einen optischen Bauteils (27) und c. mindestens einem Mittel zur Verringerung eines strahlungsinduzierten Einflusses auf die Positionierung des mindestens einen optischen Bauteils (27).Optical component ( 13 ; 14 ) with a. at least one optical component ( 27 b. at least one actuator device ( 50 ) for displacing the at least one optical component ( 27 ) and c. at least one means for reducing a radiation-induced influence on the positioning of the at least one optical component ( 27 ). Optisches Bauelement (13; 14) gemäß Anspruch 1, dadurch gekennzeichnet, dass das mindestens eine Mittel zur Verringerung eines strahlungsinduzierten Einflusses auf die Positionierung des mindestens einen optischen Bauteils (27) eine Steuereinrichtung (67) zur gezielten Beaufschlagung des mindestens einen optischen Bauteils (27) mit einem elektrischen Bias-Potential (Vbias) umfasst.Optical component ( 13 ; 14 ) according to claim 1, characterized in that the at least one means for reducing a radiation-induced influence on the positioning of the at least one optical component ( 27 ) a control device ( 67 ) for targeted loading of the at least one optical component ( 27 ) with an electrical bias potential (V bias ). Optisches Bauelement (13; 14) gemäß Anspruch 2, dadurch gekennzeichnet, dass die Steuereinrichtung (67) eine Nachschlag-Tabelle zur Ermittlung des an das mindestens eine optische Bauteils (27) anzulegenden Bias-Potentials (VBias) aufweist.Optical component ( 13 ; 14 ) according to claim 2, characterized in that the control device ( 67 ) a look-up table for determining the at least one optical component ( 27 ) to be applied Bias potential (V bias ). Optisches Bauelement (13; 14) gemäß einem der Ansprüche 2 bis 3, dadurch gekennzeichnet, dass die Steuereinrichtung (67) als Regeleinrichtung mit mindestens einem Sensor (70) ausgebildet ist.Optical component ( 13 ; 14 ) according to one of claims 2 to 3, characterized in that the control device ( 67 ) as a control device with at least one sensor ( 70 ) is trained. Optisches Bauelement (13; 14) gemäß einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass das mindestens eine Mittel zur Verringerung eines strahlungsinduzierten Einflusses auf die Positionierung des mindestens einen optischen Bauteils (27) mindestens ein Abschirmelement (91) umfasst.Optical component ( 13 ; 14 ) according to one of the preceding claims, characterized in that the at least one means for reducing a radiation-induced influence on the positioning of the at least one optical component ( 27 ) at least one shielding element ( 91 ). Optisches Bauelement (13; 14) gemäß Anspruch 5, dadurch gekennzeichnet, dass das mindestens eine Abschirmelement (91) ein Gitter (92) und/oder eine Maske (93) umfasst.Optical component ( 13 ; 14 ) according to claim 5, characterized in that the at least one shielding element ( 91 ) a grid ( 92 ) and / or a mask ( 93 ). Optisches Bauelement (13; 14) gemäß einem der Ansprüche 5 bis 6, dadurch gekennzeichnet, dass das mindestens eine Abschirmelement (91) eine Steuereinrichtung (96) zur gezielten Beaufschlagung desselben mit einem elektrischen Potential umfasst.Optical component ( 13 ; 14 ) according to one of claims 5 to 6, characterized in that the at least one shielding element ( 91 ) a control device ( 96 ) for selectively applying the same with an electrical potential. Verfahren zur Positionierung mindestens eines optischen Bauteils (27) umfassend die folgenden Schritte: a. Bereitstellen eines optischen Bauelements (13; 14) gemäß einem der Ansprüche 1 bis 7, b. Beaufschlagen des mindestens einen optischen Bauteils (27) und/oder der mindestens einen Aktuator-Einrichtung (50) und/oder mindestens eines Abschirm-Elements (91) mit einem elektrischen Potential.Method for positioning at least one optical component ( 27 ) comprising the following steps: a. Providing an optical component ( 13 ; 14 ) according to one of claims 1 to 7, b. Applying the at least one optical component ( 27 ) and / or the at least one actuator device ( 50 ) and / or at least one shielding element ( 91 ) with an electrical potential. Beleuchtungsoptik (4) für eine Projektionsbelichtungsanlage (1) umfassend mindestens ein optisches Bauelement (13; 14) gemäß einem der Ansprüche 1 bis 7.Illumination optics ( 4 ) for a projection exposure apparatus ( 1 ) comprising at least one optical component ( 13 ; 14 ) according to one of claims 1 to 7. Beleuchtungssystem (2) umfassend a. Eine Beleuchtungsoptik (4) gemäß Anspruch 9 und b. Eine Strahlungsquelle (3).Lighting system ( 2 ) comprising a. An illumination optics ( 4 ) according to claim 9 and b. A radiation source ( 3 ). Projektionsbelichtungsanlage (1) umfassend eine Beleuchtungsoptik (4) gemäß Anspruch 9.Projection exposure apparatus ( 1 ) comprising an illumination optical system ( 4 ) according to claim 9. Verfahren zur Herstellung eines mikro- oder nanostrukturierten Bauelements umfassend die folgenden Schritte: – Bereitstellen eines Wafers, auf den zumindest teilweise eine Schicht aus einem lichtempfindlichen Material aufgebracht ist, – Bereitstellen eines Retikels (30), das abzubildende Strukturen aufweist, – Bereitstellen einer Projektionsbelichtungsanlage (1) nach Anspruch 11, – Projizieren wenigstens eines Teils des Retikels (30) auf einen Bereich der Schicht des Wafers mit Hilfe der Projektionsbelichtungsanlage (1).Method for producing a microstructured or nanostructured component comprising the following steps: providing a wafer on which at least partially a layer of a photosensitive material is applied, providing a reticle ( 30 ) having structures to be imaged, - providing a projection exposure apparatus ( 1 ) according to claim 11, - projecting at least a part of the reticle ( 30 ) to an area of the layer of the wafer by means of the projection exposure apparatus ( 1 ).
DE102013209442.6A 2013-05-22 2013-05-22 Optical component Withdrawn DE102013209442A1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
DE102013209442.6A DE102013209442A1 (en) 2013-05-22 2013-05-22 Optical component
JP2016514307A JP6246907B2 (en) 2013-05-22 2014-04-01 Optical component with optical element and means for reducing the influence of radiation on the optical element
PCT/EP2014/056532 WO2014187599A1 (en) 2013-05-22 2014-04-01 Optical component comprising an optical device and means for reducing radiation-induced influences on said optical device
US14/941,498 US20160077442A1 (en) 2013-05-22 2015-11-13 Optical component

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE102013209442.6A DE102013209442A1 (en) 2013-05-22 2013-05-22 Optical component

Publications (1)

Publication Number Publication Date
DE102013209442A1 true DE102013209442A1 (en) 2014-11-27

Family

ID=51863115

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102013209442.6A Withdrawn DE102013209442A1 (en) 2013-05-22 2013-05-22 Optical component

Country Status (1)

Country Link
DE (1) DE102013209442A1 (en)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102015212658A1 (en) 2015-07-07 2017-01-12 Carl Zeiss Smt Gmbh LITHOGRAPHIC APPARATUS AND METHOD FOR OPERATING A LITHOGRAPHIC APPARATUS
WO2017032706A1 (en) 2015-08-27 2017-03-02 Carl Zeiss Smt Gmbh Sensor arrangement for a lithography system, lithography system, and method for operating a lithography system
DE102015224742A1 (en) 2015-12-09 2017-06-14 Carl Zeiss Smt Gmbh Plant and method for operating a plant
WO2017202545A1 (en) 2016-05-23 2017-11-30 Carl Zeiss Smt Gmbh Projection exposure system for semiconductor lithography, comprising elements for plasma conditioning
WO2018141382A1 (en) 2017-02-01 2018-08-09 Carl Zeiss Smt Gmbh System and method for operating a system
DE102018211077A1 (en) 2018-07-05 2018-10-25 Carl Zeiss Smt Gmbh LITHOGRAPHIC APPARATUS AND METHOD FOR OPERATING A LITHOGRAPHIC APPARATUS
DE102023202360A1 (en) 2023-03-15 2023-06-01 Carl Zeiss Smt Gmbh Optical assembly for illumination optics of a projection exposure system

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1225481A2 (en) 2001-01-23 2002-07-24 Carl Zeiss Semiconductor Manufacturing Technologies Ag Collector for an illumination system with wavelength of 193 nm
EP1726993A1 (en) * 2005-05-24 2006-11-29 Carl Zeiss SMT AG Optical system and method for operating the same
DE60118669T2 (en) * 2000-08-25 2007-01-11 Asml Netherlands B.V. Lithographic projection apparatus
WO2009100856A1 (en) 2008-02-15 2009-08-20 Carl Zeiss Smt Ag Facet mirror for use in a projection exposure apparatus for microlithography
WO2010049076A2 (en) 2008-10-20 2010-05-06 Carl Zeiss Smt Ag Optical module for guiding a radiation beam
DE102011006100A1 (en) * 2011-03-25 2012-09-27 Carl Zeiss Smt Gmbh Mirror array

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE60118669T2 (en) * 2000-08-25 2007-01-11 Asml Netherlands B.V. Lithographic projection apparatus
EP1225481A2 (en) 2001-01-23 2002-07-24 Carl Zeiss Semiconductor Manufacturing Technologies Ag Collector for an illumination system with wavelength of 193 nm
EP1726993A1 (en) * 2005-05-24 2006-11-29 Carl Zeiss SMT AG Optical system and method for operating the same
WO2009100856A1 (en) 2008-02-15 2009-08-20 Carl Zeiss Smt Ag Facet mirror for use in a projection exposure apparatus for microlithography
WO2010049076A2 (en) 2008-10-20 2010-05-06 Carl Zeiss Smt Ag Optical module for guiding a radiation beam
DE102011006100A1 (en) * 2011-03-25 2012-09-27 Carl Zeiss Smt Gmbh Mirror array

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102015212658A1 (en) 2015-07-07 2017-01-12 Carl Zeiss Smt Gmbh LITHOGRAPHIC APPARATUS AND METHOD FOR OPERATING A LITHOGRAPHIC APPARATUS
WO2017005849A1 (en) 2015-07-07 2017-01-12 Carl Zeiss Smt Gmbh Lithography apparatus and method for operating a lithography apparatus
US10261424B2 (en) 2015-07-07 2019-04-16 Carl Zeiss Smt Gmbh Lithography apparatus and method for operating a lithography apparatus
DE102015216438A1 (en) 2015-08-27 2017-03-02 Carl Zeiss Smt Gmbh Sensor arrangement for a lithography system, lithography system and method for operating a lithography system
WO2017032706A1 (en) 2015-08-27 2017-03-02 Carl Zeiss Smt Gmbh Sensor arrangement for a lithography system, lithography system, and method for operating a lithography system
US10514619B2 (en) 2015-08-27 2019-12-24 Carl Zeiss Smt Gmbh Sensor arrangement for a lithography system, lithography system, and method for operating a lithography system
DE102015224742A1 (en) 2015-12-09 2017-06-14 Carl Zeiss Smt Gmbh Plant and method for operating a plant
DE102015224742B4 (en) 2015-12-09 2020-06-18 Carl Zeiss Smt Gmbh Plant and method for operating a plant
WO2017202545A1 (en) 2016-05-23 2017-11-30 Carl Zeiss Smt Gmbh Projection exposure system for semiconductor lithography, comprising elements for plasma conditioning
DE102016208850A1 (en) 2016-05-23 2017-12-07 Carl Zeiss Smt Gmbh Projection exposure apparatus for semiconductor lithography with elements for plasma conditioning
US10712677B2 (en) 2016-05-23 2020-07-14 Carl Zeiss Smt Gmbh Projection exposure system for semiconductor lithography, comprising elements for plasma conditioning
WO2018141382A1 (en) 2017-02-01 2018-08-09 Carl Zeiss Smt Gmbh System and method for operating a system
US10838307B2 (en) 2017-02-01 2020-11-17 Carl Zeiss Smt Gmbh Apparatus and method for operating an apparatus
DE102018211077A1 (en) 2018-07-05 2018-10-25 Carl Zeiss Smt Gmbh LITHOGRAPHIC APPARATUS AND METHOD FOR OPERATING A LITHOGRAPHIC APPARATUS
DE102023202360A1 (en) 2023-03-15 2023-06-01 Carl Zeiss Smt Gmbh Optical assembly for illumination optics of a projection exposure system

Similar Documents

Publication Publication Date Title
DE102013209442A1 (en) Optical component
EP3485313B1 (en) Sensor device
WO2015124555A1 (en) Mirror array
DE102015204874A1 (en) Device for pivoting a mirror element with two pivoting degrees of freedom
DE102012218219A1 (en) Method for controlling the tilting of a mirror element
WO2008095695A2 (en) Method and device for monitoring multiple mirror arrays in an illumination system of a microlithographic projection exposure apparatus
DE102013201509A1 (en) Optical component
DE102009000099A1 (en) Micro mirror array with double bending beam arrangement and electronic actuators
DE102009009568A1 (en) Optical assembly for use in microlithography projection exposure system of microchip, has supporting structure connected to mirror body via heat conducting section designed to discharge thermal power density of preset value to structure
DE102017217695A1 (en) Method for modifying the deformation behavior of a deformable mirror
DE102011086949A1 (en) Illumination and displacement device for a projection exposure apparatus
DE102014206765A1 (en) Mirror arrangement, projection objective and EUV lithography system
WO2015162092A1 (en) Device and method for controlling the positioning of multiple adjustable mirror elements in a multi-mirror arrangement
WO2015124553A1 (en) Method for illuminating an object field of a projection exposure system
DE102009034502A1 (en) Optical module for guiding extreme UV radiation beam in lighting system of microlithographic projection-illumination system, has central control unit in signal connection to integrated electronic displacement circuit
EP2841977B1 (en) Optical component for guiding a radiation beam
EP3234695B1 (en) Mirror device
EP3320401B1 (en) Lithography apparatus and method for operating a lithography apparatus
DE102014202755A1 (en) Method for shifting at least one optical component
DE102013218748A1 (en) Optical component
EP3274756B1 (en) Multi-mirror array
JP6246907B2 (en) Optical component with optical element and means for reducing the influence of radiation on the optical element
WO2021239355A1 (en) Mirror, in particular for microlithography
DE102018211077A1 (en) LITHOGRAPHIC APPARATUS AND METHOD FOR OPERATING A LITHOGRAPHIC APPARATUS
DE102015221929A1 (en) Arrangement for a lithography system and lithography system

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R120 Application withdrawn or ip right abandoned