DE10131139B4 - Verfahren zur Herstellung großflächiger Membranmasken mittels Trockenätzen - Google Patents

Verfahren zur Herstellung großflächiger Membranmasken mittels Trockenätzen Download PDF

Info

Publication number
DE10131139B4
DE10131139B4 DE10131139A DE10131139A DE10131139B4 DE 10131139 B4 DE10131139 B4 DE 10131139B4 DE 10131139 A DE10131139 A DE 10131139A DE 10131139 A DE10131139 A DE 10131139A DE 10131139 B4 DE10131139 B4 DE 10131139B4
Authority
DE
Germany
Prior art keywords
layer
semiconductor
dry etching
membrane
etching step
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE10131139A
Other languages
English (en)
Other versions
DE10131139A1 (de
Inventor
Albrecht Ehrmann
Jörg BUTSCHKE
Florian Letzkus
Christian Reuter
Karl Kragler
Reinhard Springer
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Polaris Innovations Ltd
Original Assignee
Infineon Technologies AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Infineon Technologies AG filed Critical Infineon Technologies AG
Priority to DE10131139A priority Critical patent/DE10131139B4/de
Priority to US10/185,631 priority patent/US6864182B2/en
Publication of DE10131139A1 publication Critical patent/DE10131139A1/de
Application granted granted Critical
Publication of DE10131139B4 publication Critical patent/DE10131139B4/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/20Masks or mask blanks for imaging by charged particle beam [CPB] radiation, e.g. by electron beam; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/928Front and rear surface processing
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/942Masking

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Drying Of Semiconductors (AREA)
  • Element Separation (AREA)

Abstract

Verfahren zur Herstellung einer großflächigen Membranmaske auf der Basis eines mehrschichtigen SOI-Substrats, das eine Halbleiter (1)-Isolator (2)- Halbleiterträgerschicht (3)-Scheibe ist, bei dem zunächst die Halbleiterschicht (1) durch das Ausbilden von Maskenöffnungen (6) strukturiert wird und anschließend das SOI-Substrat unterhalb der Maskenöffnungen (6) von der Halbleiterträgerschicht (3) her durch mindestens einen Trockenätzschritt abgetragen wird, so dass eine von einem Tragring (8) gehaltene, durch die strukturierte Halbleiterschicht (1) gebildete Membran entsteht, dadurch gekennzeichnet, dass die Halbleiterträgerschicht (3) vor dem Trockenätzschritt im Bereich des späteren Tragrings (8) und/oder im Bereich der zu ätzenden Fläche mit einem zusätzlichen Schichtaufbau (4, 5, 9) versehen wird, durch den das ungleichmäßige Ätzverhalten der Halbleiterträgerschicht im Zentrum und im Randbereich ausgeglichen wird.

Description

  • Die Erfindung betrifft ein Verfahren zur Herstellung einer großflächigen Membranmaske gemäß dem Oberbegriff der Ansprüche 1, 7 oder 10.
  • Ein derartiges Trockenätzverfahren im SOI-Wafer-Flow-Process ist bereits aus der WO 99/49365 A1 bekannt.
  • In der Halbleitertechnologie erfolgt die Strukturierung der Silizium-Wafer heute fast durchweg mit Hilfe der lithografischen Technik, bei der auf dem Wafer in einer strahlungsempfindlichen Resistschicht zunächst ein Resistmuster erzeugt wird, das dann als Maske bei einem darauf folgenden Prozessschritt, z.B. einer Ätzung, dient. Danach wird die Resistmaske wieder entfernt. Das Resistmuster selbst wird ebenfalls mit Hilfe einer für das jeweilige Belichtungsverfahren geeigneten Maske hergestellt. In der konventionellen Photolithografie werden dafür Chrommasken (Retikel) eingesetzt, die aus einer Glasplatte als Träger und einer dünnen strukturierten Chromschicht bestehen. Masken für die Röntgenlithografie erlauben selbst bei Verwendung von schwach absorbierenden Materialien wie Silizium nur Maskenträgerdicken im Mikrometerbereich. Dies wird durch Membranmasken realisiert, die aus einem zentralen aktiven Bereich, in dem sie zur Membran gedünnt sind, und aus einem Stützrand (Tragring) in der ursprünglichen Dicke des Silizium-Substrats bestehen. Auf der Membranschicht ist bei Röntgenmasken eine geometrisch strukturierte Absorberschicht angebracht.
  • Bei der Elektronen- und Ionenlithografie müssen Membranmasken verwendet werden, bei denen die Maskenöffnungen nicht auf der Membranschicht, sondern in ihr erzeugt sind. Die Membranschicht, deren Dicke im Mikrometerbereich liegt, enthält Maskenöffnungen bzw. Löcher entsprechend den lithografisch zu erzeugenden Figuren. Bei derartigen sogenannten Lochmasken (Stencilmasken) handelt es sich somit, wie bei allen Membranmasken, um mechanisch vergleichsweise instabile Gebilde.
  • Für die Elektronen- und Ionen-Projektions-Lithografie sowie neuere Versionen der Röntgenlithografie müssen Membranmasken mit Dicken im Mikrometerbereich und mit Membranflächen bis zu mehr als 100 Quadratzentimeter Größe hergestellt werden. Die nach dem erfindungsgemäßen Verfahren erzeugten Membranmasken können generell für Lithografieverfahren mit geladenen Teilchen und mit Photonen Anwendung finden. Ein Beispiel ist der Einsatz bei 13 nm Lithografie (weiche Röntgenstrahlen).
  • Ebenso ist eine Verwendung zur Maskierung gegenüber neutralen Teilchen (Atomlithografie) sowie bei allen Anwendungen als Aufdampfmaske möglich. Membranmasken als Produkt eines Verfahrens gemäß der vorliegenden Erfindung sind auch generell für Sensoren verwendbar.
  • Ausgehend von Siliziumscheiben als Substratmaterial werden zur Herstellung der Membranmasken zwei unterschiedliche technologische Prozessvarianten verfolgt. Sie unterscheiden sich grundsätzlich dadurch, ob die Prozessschritte zur Maskenstrukturierung vor (Wafer Flow Process) oder nach (Membrane Flow Process) der Membranherstellung erfolgen.
  • Beim sogenannten Wafer Flow Process, wie er beispielsweise in der PCT-Anmeldung WO 99/49365 A1 dargestellt ist, werden zuerst die Maskenstrukturen auf einem kompakten Siliziumwafer erzeugt und die Membranherstellung durch Rückseiten-Ätzung des Substrats findet am Ende des Prozesses statt. Diese Prozessvariante ermöglicht einerseits, die Strukturierungsprozesse für die Maskenstrukturen auf stabilen, prozessmäßig besser beherrschbaren Wafern durchzuführen. Andererseits bestehen bei dieser Variante auch sehr hohe Anforderungen an den Membran-Ätzprozess, da die strukturierte Membranseite absolut sicher vor einem Ätzangriff geschützt sein muss. Als Ätzstopptechnik wurde herkömmlicherweise eine Bor-Dotierung der Membranschicht vorgesehen, wodurch sich jedoch oft nicht exakt genug definierte Verhältnisse ergeben. Neuerdings werden deshalb auch SOI (Silicon-On-Insulator)-Substrate verwendet, die ebenfalls in der WO 99/49365 A1 beschrieben sind. Dabei dient die vergrabene Oxidschicht im SOI-Wafer als definierter Ätzstopp und die Dotierung der Membranschicht kann beliebig nach anderen Gesichtspunkten gewählt werden. Die WO 99/49365 A1 beschreibt auch bereits die Möglichkeit, ein Trockenätzverfahren durchzuführen.
  • Im Folgenden wird die der Erfindung zugrunde liegende Problematik anhand von 1A erklärt, die das Ergebnis eines Membrantrockenätzprozesses nach dem Stand der Technik darstellt.
  • Die Trockenätzverfahren weisen zwei grundsätzliche Vorteile auf. Zum einen handelt es sich um einen mit den gängigen Verfahren in der Halbleiterindustrie kompatiblen Prozess. Zum anderen ist die Ätzrate bei Trockenätzprozessen unabhängig von der Kristallorientierung im Silizium.
  • Problematisch bei der Trockenätzung von mehreren Quadratzentimeter großen Silizium-Flächen ist vor allem die Tatsache einer über die zu ätzende Fläche inhomogenen Silizium-Ätzrate. 1A zeigt ein typisches inhomogenes Ätzprofil. Ausgehend von einem SOI-Substrat wurde die Struktur der Membran, also die Maskenöffnungen 6, in die oberste Halbleiterschicht 1, die als zukünftige Membranschicht dient, übertragen. In einem weiteren Schritt soll die Halbleiterträgerschicht 3 von der Unterseite her bis auf einen äußeren Ring 8 (geschützt von der Maskierschicht 4) entfernt werden, so dass die auf dem Tragring 8 aufliegende Halbleiterschicht 1 mit ihrem freiliegenden, vom Tragring 8 aufgespannten Zentralbereich die strukturierte Membran darstellt. Im allgemeinen erfolgt jedoch bisher ein inhomogener Ätzabtrag, wie beispielsweise in 1A dargestellt. Im Fall der 1A ist im Zentrum der Scheibe die Ätzrate geringer als im Randbereich. Bei der Herstellung von großflächigen Membranmasken bedeutet diese Ätzinhomogenität vor allem für den Übergangsbereich Membran/Wafering eine lange Überätzzeit. Dies kann zu einer Durchätzung der Isolatorschicht führen und dementsprechend zu einer Zerstörung der Halbleitermembranschicht.
  • Außerdem besteht bei Silizium-Trockenätzprozessen das Problem einer starken Abhängigkeit der Silizium-Ätzrate von der angebotenen Silizium-Fläche (Belegungsgrad). Hinzu kommen das Clamping und Handling von dünnen und großflächigen Siliziumwafern während und nach dem Trockenätzprozess, das nicht mit den bisherigen Verfahren (Ätzdose etc.) durchführbar ist.
  • Ziel der vorliegenden Erfindung ist es, ein hinsichtlich der genannten Problematik verbessertes Verfahren der eingangs genannten Art anzugeben.
  • Diese Aufgabe wird bei einem gattungsgemäßen Verfahren mit den Merkmalen des kennzeichnenden Teils der Ansprüche 1, 7 oder 10 gelöst. Bevorzugte Ausführungsformen sind in den abhängigen Ansprüchen angegeben.
  • Erfindungsgemäß wird dieses Ziel dadurch erreicht,
    • – dass die Scheibe beim Trockenätzen im Bereich des späteren Tragrings mit einer Maskierschicht bedeckt ist und dass der Ungleichmäßigkeit der Ätzverhältnisse zwischen Zentrum und Randbereich dadurch entgegengewirkt wird,
    • – dass bei einem vorhandenen SOI-Substrat der Maskierbereich und/oder die zu ätzende offene Fläche der Halbleiterträgerschicht mit einem zusätzlichen, die Ätz-Ungleichmäßigkeit ausgleichenden Schichtaufbau versehen wird
    • – oder dass bei einem vorhandenen SOI-Substrat eine mechanische Ätzblende vor der Halbleiterträgerschicht so bewegt wird, dass der Randbereich dem Ätzangriff für eine kürzere Zeit ausgesetzt ist als das Zentrum
    • – oder dass bei der Herstellung des SOI-Substrats mindestens zwei übereinander angeordnete, durch eine innere Halbleiterträgerschicht getrennte Isolator-Ätzstoppschichten vorgesehen werden, wobei die innere Halbleiterträgerschicht dünner als die in einem ersten Teilätzschritt geätzte äußere Halbleiterträgerschicht ist,
    • – so dass in jedem Fall ein über die gesamte zu ätzende Fläche der Scheibe annähernd homogener Ätzabtrag erfolgt.
  • Zugrunde liegt dabei die Erkenntnis, dass die Inhomogenität bei den Silizium-Trockenätzprozessen auf der Tatsache beruht, dass aufgrund unterschiedlicher zu ätzender Materialien (Silizium; Oxid oder Resist als Maskierschicht) im Randbereich der Silizium-Scheibe andere Ätzverhältnisse als im Zentrum vorliegen (insbesondere geringerer Verbrauch an Ätzmedien oberhalb der Maskierschicht als im Zentrum. Dies bedeutet eine größere und schnellere Verarmung der reaktiven Spezies im Zentrum der Scheibe und damit eine geringere Ätzrate als im Randbereich. Die Erfindung sieht die genannten alternativen Maßnahmen vor, um die inhomogenen Nachbarschaftsverhältnisse wenigstens teilweise auszugleichen und dadurch einen annähernd homogenen Ätzabtrag zu erzielen. Außerdem werden hohe Ätzraten und Selektivitäten erreicht.
  • Eine erste erfindungsgemäße Lösung sieht im einzelnen vor, dass im Maskierbereich ein den zusätzlichen Schichtaufbau oder die Maskierschicht und den zusätzlichen Schichtaufbau bildenden Siliziumring auf die Halbleiterträgerschicht aufgebracht wird, dessen Dicke ausreichend groß ist, um trotz des fortschreitenden eigenen Ätzabtrags die Halbleiterträgerschicht im Maskierbereich zu schützen.
  • Alternativ ist es möglich, dass auf die zu ätzende offene Fläche ein den Silizium-Belegungsgrad der Halbleiterträgerschicht verringerndes Maskiermuster als zusätzlicher Schichtaufbau aufgebracht wird, so dass nach einem ersten Trocken ätzschritt dem Maskiermuster entsprechende Reste der Halbleiterträgerschicht zunächst verbleiben.
  • Dabei ist es vorteilhaft, dass in einem weiteren Ätzschritt die nach dem ersten Trockenätzprozess verbliebenen Reste durch Unterätzung zusammen mit der Isolator-Stoppschicht entfernt werden. Das Maskiermuster kann durch Abscheidung und nachfolgende Strukturierung einer Maskierschicht oder durch Befestigen einer vorstrukturierten Maskierung, insbesondere einer gitterartig strukturierten Folie, aufgebracht werden.
  • Weitere erfindungsgemäße Alternativen bestehen in der Erzeugung einer Grautonmaske, der Verwendung einer mechanischen Ätzblende oder der Verwendung eines SOI-Substrats mit mindestens zwei Isolator-Ätzstoppschichten.
  • Eine besonders vorteilhafte, bei allen Verfahrensalternativen anwendbare Ausgestaltung sieht vor, dass die auf der Vorderseite strukturierte Scheibe vor dem Trockenätzen mittels Wachs oder einer Klebefolie mit der Halbleiterschicht auf einem Handlewafer befestigt wird und dass die Scheibe nach der Membranätzung wieder vom Handlewafer getrennt wird.
  • Weitere vorteilhafte Ausgestaltungen der Erfindung sind Gegenstand zusätzlicher Ansprüche.
  • Die Erfindung wird nachstehend anhand von Ausführungsbeispielen unter Bezugnahme auf die Zeichnung näher beschrieben. Es zeigt:
  • 1A ein typisches inhomogenes Ätzprofil nach dem Stand der Technik,
  • 1B ein typisches annähernd homogenes Ätzprofil gemäß der Erfindung,
  • 2 aufeinanderfolgende Teilschritte 2A bis 2F eines erfindungsgemäßen Verfahrens unter Verwendung eines Maskiermusters,
  • 3 aufeinanderfolgende Teilschritte 3A bis 3G eines alternativen erfindungsgemäßen Verfahrens unter Verwendung von zwei Ätzstoppschichten,
  • 4 aufeinanderfolgende Teilschritte 4A bis 4D eines erfindungsgemäßen Präparationsverfahrens unter Verwendung eines Handlewafers.
  • Durch Aufbringen eines Siliziumringes 5 aus Silizium auf die Halbleiterträgerschicht und damit das Anbieten von Silizium auch im Randbereich (Maskierbereich) der zu ätzenden Scheibe kann der oben beschriebene Verarmungseffekt weitgehend kompensiert werden. Das nahezu homogene Ätzergebnis (Rest 7) ist in 1B gezeigt. Der ursprünglich ungefähr die Dicke der Halbleiterträgerschicht 3 aufweisende Siliziumring 5 wurde bis auf den gezeigten Rest mitabgetragen. Zusätzlich wird durch diesen materialausgleichenden, zur Angleichung der Ätzverhältnisse im Übergangsbereich Membran/Tragring führenden Siliziumring 5 die Membrangröße definiert. Dadurch kann der Siliziumring 5 entweder direkt den zusätzlichen Schichtaufbau und zugleich die Maskierschicht 4 bilden, oder es kann sich unter dem Siliziumring 5 noch eine traditionelle Maskierschicht 4, z. B. Polymer, Dielektrika oder Metall, befinden.
  • 2 zeigt als Ausgangspunkt 2A ein SOI-Substrat mit einer Halbleiterträgerschicht 3, einer Halbleiterschicht 1 und mit einer dazwischen vergrabenen Isolatorschicht 2. Durch geeignete Wahl eines Rückseitenpatterns (Maskiermuster 9) wird der Silizium-Belegungsgrad, also der Anteil der offenen Silizium-Fläche an der gesamten Scheibenrückseite, der Halbleiterträgerschicht 3 reduziert und dadurch die Silizium-Ätzrate gesteigert. Gleichzeitig wird das Verarmungsproblem der reak tiven Spezies im Waferzentrum verkleinert und damit die Homogenität der Ätzung erhöht.
  • Teilschritt 2B zeigt die Aufbringung eines Maskiermusters 9 mit Strukturen in der Größenordnung von 100 Mikrometern. Als Materialien für eine vorstrukturierte Maskierung bieten sich eine freie Dielektrikafolie, eine Metallfolie, eine Polymerfolie oder eine Folienkombination an. Es kann jedoch, mit analogen Materialien, ebenso eine Maskierschicht abgeschieden und zum Maskiermuster 9 strukturiert werden. Durch das Maskiermuster 9 wird effektiv die zu ätzende offene Fläche den Verhältnissen am Rand, also über der ringförmigen Maskierschicht 4, angeglichen. Außerdem wird eine Resistschicht 10 für die Maskenöffnungen 6 aufgebracht und strukturiert.
  • Im nächsten Teilschritt 2C erfolgt die (Trench)Ätzung der Maskenöffnungen 6 in der Halbleiterschicht 1 und anschließend, Teilschritt 2D, die Entfernung der Resistschicht 10 und die Abscheidung einer Schutzschicht 11.
  • Die nach der Membranätzung, Teilschritt 2E, verbleibenden Maskier- und Silizium-Reste 12 werden in darauf folgenden Ätzschritten bei Entfernung der Ätzstoppschicht 2 unterätzt und entfernt. Die vom Tragring 8 gestützte Halbleiterschicht 1 bildet nunmehr die strukturierte Membran. Nach Entfernung der Schutzschicht 11 resultiert der in 2F gezeigte Endzustand.
  • Bei genauer Kenntnis der Prozessparameter
    • – Homogenität
    • – Ätzrate
    • – Selektivität
    des Trockenätzprozesses ist es möglich, in einen Photoresist im Übergangsbereich Membran/Tragring ein Dickenprofil zu belichten, das ein inverses Ätzprofil (Grautonmaske) darstellt.
  • Dadurch kann die Ungleichmäßigkeit des Trockenätzprozesses exakt kompensiert werden.
  • Durch Rotation einer mechanischen Ätzblende mit einem bestimmten Öffnungsmuster vor der Halbleiterträgerschicht 3 kann in der Ätzanlage erreicht werden, dass die Ätzzeiten den jeweiligen Ätzraten so angepasst werden, dass über die gesamte Fläche ein homogener Ätzabtrag erfolgt. Der Randbereich der Siliziumscheibe ist dem Ätzangriff der reaktiven Spezies etwas kürzer ausgesetzt als das Zentrum. Durch Anpassung der Blendenrotationsgeschwindigkeit und dem Blendenöffnungsgrad kann ein sehr homogener Ätzabtrag erreicht werden.
  • Durch periodisches Öffnen und Schließen einer mechanischen Irisblende, die vor der Halbleiterträgerschicht 3 in der Ätzanlage angebracht ist, kann ebenfalls ein homogener Silizium-Ätzabtrag sichergestellt werden.
  • 3 zeigt einen vereinfachten Prozessflow für die Maskenherstellung ausgehend von Siliziumwafern mit zwei vergrabenen Ätzstoppschichten. Diese können in an sich bekannter Weise insbesondere durch Implantation von Sauerstoff erzeugt werden. Das SOI-Substrat mit einer unteren Ätzstoppschicht 2 und einer oberen Ätzstoppschicht 13, die durch eine innere Halbleiterträgerschicht 14 getrennt sind, dient als Maskenblank, vgl. 3A. Durch diesen Aufbau können auftretende Ätzinhomogenitäten beim Abtrag der Membranträgerschichten ausgeglichen werden, da eventuelle Inhomogenitäten nach dem ersten Trocken-Teilätzschritt, 3D, zwar in die obere, relativ dicke Ätzstoppschicht 13 übertragen wurden. Da diese Schicht 13 jedoch mit einem hochselektiven Nassätzprozess entfernt wird, stellt eine Inhomogenität in dieser Schicht kein Problem dar, vgl. 3E und 3F.
  • Die Ätzstoppschichten 2, 13 können Dielektrika-, Metallschichten oder eine Kombination aus diesen sein.
  • Um Trockenätzprozesse für die Herstellung von Membranmasken einsetzen zu können, bedarf es einer geeigneten Präparationstechnik, die ein sicheres Handling der zu ätzenden Silizium-Scheiben in der Ätzanlage während des Ätzprozesses und ein zerstörungsfreies Ablösen des gedünnten Membranwafers gewährleistet. Durch Aufkleben der Membranschicht, also der Vorderseite des SOI-Substrats, vgl. 4A, auf einen Handlewafer 15 mit Wachs 16 oder einer Klebefolie werden die fragilen Stencilstrukturen mechanisch geschützt und ein sicheres Handling ist gewährleistet.
  • Nach der Membranätzung kann der Membranwafer 1, 2, 8 von dem Handlewafer 15 durch eine rückstandsfreie Auflösung des Wachses 16 oder der Klebefolie getrennt werden. Dieses schonende Verfahren gewährleistet eine hohe Ausbeute bei diesem kritischen Prozessschritt.
  • 1
    Halbleiterschicht
    2
    Isolatorschicht
    3
    Halbleiterträgerschicht
    4
    Maskierschicht
    5
    Siliziumring
    6
    Maskenöffnungen
    7
    Rest
    8
    Tragring
    9
    Maskiermuster
    10
    Resistschicht
    11
    Schutzschicht
    12
    Maskier- und Silizium-Reste
    13
    erste Ätzstoppschicht
    14
    innere Halbleiterträgerschicht
    15
    Handlewafer
    16
    Wachs

Claims (11)

  1. Verfahren zur Herstellung einer großflächigen Membranmaske auf der Basis eines mehrschichtigen SOI-Substrats, das eine Halbleiter (1)-Isolator (2)- Halbleiterträgerschicht (3)-Scheibe ist, bei dem zunächst die Halbleiterschicht (1) durch das Ausbilden von Maskenöffnungen (6) strukturiert wird und anschließend das SOI-Substrat unterhalb der Maskenöffnungen (6) von der Halbleiterträgerschicht (3) her durch mindestens einen Trockenätzschritt abgetragen wird, so dass eine von einem Tragring (8) gehaltene, durch die strukturierte Halbleiterschicht (1) gebildete Membran entsteht, dadurch gekennzeichnet, dass die Halbleiterträgerschicht (3) vor dem Trockenätzschritt im Bereich des späteren Tragrings (8) und/oder im Bereich der zu ätzenden Fläche mit einem zusätzlichen Schichtaufbau (4, 5, 9) versehen wird, durch den das ungleichmäßige Ätzverhalten der Halbleiterträgerschicht im Zentrum und im Randbereich ausgeglichen wird.
  2. Verfahren nach Anspruch 1, dadurch gekennzeichnet, dass im Bereich des späteren Tragrings (8) als Schicht ein Siliziumring (5) auf die Halbleiterträgerschicht (3) aufgebracht wird, dessen Dicke so groß ist, dass trotz des fortschreitenden eigenen Ätzabtrags die Halbleiterträgerschicht (3) im Bereich des späteren Tragrings (8) geschützt wird.
  3. Verfahren nach Anspruch 1, dadurch gekennzeichnet, dass auf die zu ätzende offene Fläche als zusätzlicher Schichtaufbau ein den Silizium-Belegungsgrad der Halbleiterträgerschicht (3) verringerndes Maskiermuster (9) aufgebracht wird, so dass nach einem ersten Trockenätzschritt dem Maskiermuster (9) entsprechende Reste (12) der Halbleiterträgerschicht (3) zunächst verbleiben.
  4. Verfahren nach Anspruch 3, dadurch gekennzeichnet, dass in einem weiteren Ätzschritt die nach dem ersten Trockenätz prozess verbliebenen Reste (12) durch Unterätzung zusammen mit einer Isolator-Stoppschicht (2) entfernt werden.
  5. Verfahren nach Anspruch 3 oder 4, dadurch gekennzeichnet, dass das Maskiermuster (9) durch Abscheidung und nachfolgende Strukturierung einer Maskierschicht oder durch Befestigen einer vorstrukturierten Maskierung in Form einer gitterartig strukturierten Folie aufgebracht wird.
  6. Verfahren nach Anspruch 1, dadurch gekennzeichnet, dass im Übergangsbereich zwischen dem späteren Tragring (8) und der zu ätzenden Fläche eine Grautonmaske als zusätzlicher Schichtaufbau erzeugt wird.
  7. Verfahren zur Herstellung einer großflächigen Membranmaske auf der Basis eines mehrschichtigen SOI-Substrats, das eine Halbleiter (1)-Isolator (2)- Halbleiterträgerschicht (3)-Scheibe ist, bei dem zunächst die Halbleiterschicht (1) durch das Ausbilden von Maskenöffnungen (6) strukturiert wird und anschließend das SOI-Substrat unterhalb der Maskenöffnungen (6) von der Halbleiterträgerschicht (3) her durch mindestens einen Trockenätzschritt abgetragen wird, so dass eine von einem Tragring (8) gehaltene, durch die strukturierte Halbleiterschicht (1) gebildete Membran entsteht, dadurch gekennzeichnet, dass beim Trockenätzschritt eine mechanische Ätzblende vor der Halbleiterträgerschicht (3) so bewegt wird, dass der Randbereich mit dem späteren Tragring (8) dem Ätzangriff für eine kürzere Zeit ausgesetzt ist als das Zentrum der Halbleiterträgerschicht (3).
  8. Verfahren nach Anspruch 7, dadurch gekennzeichnet, dass als Ätzblende eine rotierende Ätzblende verwendet wird, deren Blendenöffnung im Zentrum größer als im Randbereich ausgebildet ist.
  9. Verfahren nach Anspruch 7, dadurch gekennzeichnet, dass als Ätzblende eine sich periodisch öffnende und schließende Irisblende verwendet wird.
  10. Verfahren zur Herstellung einer großflächigen Membranmaske auf der Basis eines mehrschichtigen SOI-Substrats, das eine Halbleiter (1)-Isolator (2)- Halbleiterträgerschicht (3)-Scheibe ist, bei dem zunächst die Halbleiterschicht (1) durch das Ausbilden von Maskenöffnungen (6) strukturiert wird und anschließend das SOI-Substrat unterhalb der Maskenöffnungen (6) von der Halbleiterträgerschicht (3) her durch mindestens einen Trockenätzschritt abgetragen wird, so dass eine von einem Tragring (8) gehaltene, durch die strukturierte Halbleiterschicht (1) gebildete Membran entsteht, dadurch gekennzeichnet, dass bei der Herstellung des SOI-Substrats mindestens zwei übereinander angeordnete, durch eine innere Halbleiterträgerschicht getrennte Isolator-Ätzstoppschichten (2, 13) vorgesehen werden, wobei die innere in einem zweiten Teilätzschritt geätzte Halbleiterträgerschicht (14) dünner als die äußere in einem ersten Teilätzschritt geätzte Halbleiterträgerschicht (3) ist.
  11. Verfahren nach einem der Ansprüche 1 bis 10, dadurch gekennzeichnet, dass die auf der strukturierten Halbleiterschicht (1) vor dem Trockenätzschritt mittels Wachs (16) oder einer Klebefolie einem Handlewafer (15) befestigt wird und dass die Scheibe nach der Membranätzung wieder vom Handlewafer (15) getrennt wird.
DE10131139A 2001-06-28 2001-06-28 Verfahren zur Herstellung großflächiger Membranmasken mittels Trockenätzen Expired - Fee Related DE10131139B4 (de)

Priority Applications (2)

Application Number Priority Date Filing Date Title
DE10131139A DE10131139B4 (de) 2001-06-28 2001-06-28 Verfahren zur Herstellung großflächiger Membranmasken mittels Trockenätzen
US10/185,631 US6864182B2 (en) 2001-06-28 2002-06-28 Method of producing large-area membrane masks by dry etching

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE10131139A DE10131139B4 (de) 2001-06-28 2001-06-28 Verfahren zur Herstellung großflächiger Membranmasken mittels Trockenätzen

Publications (2)

Publication Number Publication Date
DE10131139A1 DE10131139A1 (de) 2003-01-23
DE10131139B4 true DE10131139B4 (de) 2005-08-25

Family

ID=7689737

Family Applications (1)

Application Number Title Priority Date Filing Date
DE10131139A Expired - Fee Related DE10131139B4 (de) 2001-06-28 2001-06-28 Verfahren zur Herstellung großflächiger Membranmasken mittels Trockenätzen

Country Status (2)

Country Link
US (1) US6864182B2 (de)
DE (1) DE10131139B4 (de)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4220229B2 (ja) * 2002-12-16 2009-02-04 大日本印刷株式会社 荷電粒子線露光用マスクブランクスおよび荷電粒子線露光用マスクの製造方法
US20050014364A1 (en) * 2003-07-18 2005-01-20 Infineon Technologies North America Corp. Method of suppressing the effect of shining spots present at the edge of a wafer
DE10334243B4 (de) * 2003-07-28 2013-11-28 Robert Bosch Gmbh Mikromechanisches Verfahren zum Herstellen eines flexiblen Schichtelements
DE102005021048A1 (de) * 2005-05-06 2006-12-28 Infineon Technologies Ag Vorrichtung zum Stabilisieren eines Werkstücks bei einer Bearbeitung
US8007675B1 (en) * 2005-07-11 2011-08-30 National Semiconductor Corporation System and method for controlling an etch process for a single crystal having a buried layer
DE102006023946A1 (de) * 2006-05-17 2007-11-22 Infineon Technologies Ag Verfahren und Vorrichtung zur Reduktion einer Verformung eines Wafers
CN108611592B (zh) * 2018-04-19 2021-06-01 中芯集成电路(宁波)有限公司 一种掩膜版及其制造方法
CN108649142B (zh) * 2018-04-19 2021-04-06 中芯集成电路(宁波)有限公司 一种掩膜版及其制造方法
EP3644069A1 (de) * 2018-10-24 2020-04-29 Melexis Technologies SA Isolierter stromsensor
US11002063B2 (en) * 2018-10-26 2021-05-11 Graffiti Shield, Inc. Anti-graffiti laminate with visual indicia

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1999049365A1 (de) * 1998-03-25 1999-09-30 Institut für Mikroelektronik Stuttgart Stiftung des öffentlichen Rechts Verfahren zur herstellung grossflächiger membranmasken

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10260523A (ja) * 1997-03-18 1998-09-29 Nikon Corp シリコンステンシルマスクの製造方法
US5899728A (en) * 1997-12-22 1999-05-04 Motorola, Inc. Method of forming a lithographic mask
US6124063A (en) * 1998-07-30 2000-09-26 Motorola, Inc. Method of forming a semiconductor device utilizing lithographic mask and mask therefor
US6140020A (en) * 1998-11-30 2000-10-31 Motorola, Inc. Method for manufacturing a semiconductor wafer using a mask that has several regions with different scattering ability
US6555297B1 (en) * 2000-07-25 2003-04-29 International Business Machines Corporation Etch stop barrier for stencil mask fabrication

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1999049365A1 (de) * 1998-03-25 1999-09-30 Institut für Mikroelektronik Stuttgart Stiftung des öffentlichen Rechts Verfahren zur herstellung grossflächiger membranmasken

Also Published As

Publication number Publication date
US6864182B2 (en) 2005-03-08
DE10131139A1 (de) 2003-01-23
US20030003739A1 (en) 2003-01-02

Similar Documents

Publication Publication Date Title
DE10138882B4 (de) Großflächige Membranmaske und Verfahren zu ihrer Herstellung
DE102005030338B4 (de) Verfahren zum Herstellen eines Flüssigkristalldisplays unter Verwendung eines Femotsekundenlaser-Dünnfilm-Ätzverfahrens
DE69717356T2 (de) Verfahren zur Herstellung von Heteroübergang-Bipolartransistoren mit hoher Verstärkung
DE2922416A1 (de) Schattenwurfmaske zum strukturieren von oberflaechenbereichen und verfahren zu ihrer herstellung
DE10131139B4 (de) Verfahren zur Herstellung großflächiger Membranmasken mittels Trockenätzen
DE4338423A1 (de) Verfahren zur Herstellung von Mikrostrukturen
EP1167934A1 (de) Mikromechanisches Bauelement, insbesondere Sensorelement, mit einer stabilisierten Membran und Verfahren zur Herstellung eines derartigen Bauelementes
DE10127217B4 (de) Verfahren zur Herstellung lagegenauer großflächiger Membranmasken
DE102005021048A1 (de) Vorrichtung zum Stabilisieren eines Werkstücks bei einer Bearbeitung
DE4139668A1 (de) Mikroventil und verfahren zu dessen herstellung
EP0222738A2 (de) Verfahren zur Herstellung einer Transmissionsmaske
EP0369053A1 (de) Verfahren zur Herstellung von Masken mit Strukturen im Submikrometerbereich
DE69508178T2 (de) Verfahren zur Herstellung von Löchern in Photoresistschichten, Anwendung für die Herstellung von Elektronenquelle mit Mikrospitzenemissionskathoden und flachen Bildschirmen
WO1996038763A1 (de) Verfahren zur herstellung einer strukturierten maske
DE2432719B2 (de) Verfahren zum erzeugen von feinen strukturen aus aufdampfbaren materialien auf einer unterlage und anwendung des verfahrens
DE10260235B4 (de) Verfahren zum Strukturieren einer Resistschicht und Negativ-Resistschicht
EP0866372A1 (de) Membranmaske für Belichtungsverfahren mit kurzwelliger Strahlung
DE102004038548A1 (de) Verfahren zur Herstellung eines Maskenblank für photolithographische Anwendungen und Maskenblank
DE10392658T5 (de) Verfahren zur Herstellung eines Transfermasken-Substrats, Transfermasken-Substrat und Transfermaske
JP3120783B2 (ja) Ligaプロセス用マスクの作製方法
DE2626851C3 (de) Verfahren zur Herstellung von Masken für die Röntgenlithographie
EP0104684B1 (de) Maske für die Mustererzeugung in Lackschichten mittels Röntgenstrahllithographie und Verfahren zu ihrer Herstellung
DE102015213714A1 (de) Mikromechanisches Bauteil und Verfahren zur Herstellung eines piezoelektrischen mikromechanischen Bauteils
DE3232174A1 (de) Verfahren zum herstellen einer freitragenden abstandsmaske
DE102021116587B3 (de) Verfahren zum Herstellen einer Ätzmaske, Verfahren zum Ätzen einer Struktur in ein Substrat, Verwendung einer Tetrelschicht

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8327 Change in the person/name/address of the patent owner

Owner name: QIMONDA AG, 81739 MUENCHEN, DE

R081 Change of applicant/patentee

Owner name: POLARIS INNOVATIONS LTD., IE

Free format text: FORMER OWNER: QIMONDA AG, 81739 MUENCHEN, DE

Owner name: INFINEON TECHNOLOGIES AG, DE

Free format text: FORMER OWNER: QIMONDA AG, 81739 MUENCHEN, DE

R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: G03F0001160000

Ipc: G03F0001200000

R081 Change of applicant/patentee

Owner name: POLARIS INNOVATIONS LTD., IE

Free format text: FORMER OWNER: INFINEON TECHNOLOGIES AG, 85579 NEUBIBERG, DE

R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee