CN2496201Y - 远程控制家电系统 - Google Patents
远程控制家电系统 Download PDFInfo
- Publication number
- CN2496201Y CN2496201Y CN 01233409 CN01233409U CN2496201Y CN 2496201 Y CN2496201 Y CN 2496201Y CN 01233409 CN01233409 CN 01233409 CN 01233409 U CN01233409 U CN 01233409U CN 2496201 Y CN2496201 Y CN 2496201Y
- Authority
- CN
- China
- Prior art keywords
- circuit
- main control
- control circuit
- telephone
- signal
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Fee Related
Links
Images
Landscapes
- Selective Calling Equipment (AREA)
Abstract
本实用新型是一种远程控制家电系统,包括:一个启动电路,其输入端与电话线连接,其输出端与主控制电路的启动端连接,当检测到振铃信号后,启动主控制电路工作;一个摘挂机控制电路,跨接在电话线上,其受控端与主控制电路的摘挂机控制端连接,根据主控制电路输出的信号进行摘机或挂机操作;一个语音提示电路,其输出端与电话线连接,其受控端与主控制电路的提示控制端连接,摘机后,在主控制电路的控制下,发出语音提示信号;一个电话双音多频信号解码电路,其输入端与电话线连接,其输出端与主控制电路的检测端连接,对用户根据提示音发出的双音多频信号进行解码,将解码结果输入到主控制电路;一个家电控制回路,其受控端与主控制电路家电控制端连接;以及一个连接上述电路的主控制电路,当检测到所述解码电路输入的正确密码时,控制家电控制回路控制家用电器操作。
Description
技术领域
本实用新型涉及一种利用公用电话网进行远程控制家用电器的远程控制家电系统。
背景技术
利用公用电话网进行远程控制家用电器主要解决的问题是如何利用电话线传递控制信息以及如何对信息进行处理、加工。使用Modem(调制解调器)与计算机的结合,可以实现控制信息的传送问题而且控制信息可以非常复杂和丰富,但必须在控制方和被控方设置一台计算机及MODEM,费用较高。如果在被控制方操作简单,只需较少命令即可实现控制的情况下,直接使用电话机上的双音多频信号或其组合,就足够对远程被控制方进行有效的控制。
目前,远程控制家电系统大多采用单片机作为控制核心,这种传统设计方法的特点是硬件和软件截然不同,设计中不可相互替代,而且硬件连线复杂,可靠性较差。
发明内容
本实用新型的目的是提供一种可以简化硬件连接、可提高系统整体性能及工作可靠性的基于可编程控制器件的远程控制家电系统。
本实用新型系统基于VHDL语言、以MAX PLUSII为开发软件、以可编程逻辑器件CPLD作为控制核心,实现与电话线连接的远程家电智能控制系统,与传统设计相比较,不仅简化了接口和控制,提高了系统整体性能及工作可靠性,也为进一步系统集成创造了条件。
本实用新型的目的是这样实现的,一种远程控制家电系统,包括:一个启动电路,其输入端与电话线连接,其输出端与主控制电路的启动端连接,当检测到振铃信号后,启动主控制电路工作;一个摘挂机控制电路,跨接在电话线上,其受控端与主控制电路的摘挂机控制端连接,根据主控制电路输出的信号进行摘机或挂机操作;一个语音提示电路,其输出端与电话线连接,其受控端与主控制电路的提示控制端连接,系统摘机后,在主控制电路的控制下,发出语音提示信号;一个电话双音多频信号解码电路,其输入端与电话线连接,其输出端与主控制电路的检测端连接,对用户根据提示音发出的双音多频信号进行解码,将解码结果输入到主控制电路;一个家电控制回路,其受控端与主控制电路家电控制端连接;以及一个连接上述电路的主控制电路,当检测到所述解码电路输入的正确密码时,控制家电控制回路控制家用电器操作。
下面结合附图对本实用新型进行详细说明。
附图说明
图1时本实用新型的方框图;
图2是本实用新型的电路原理图;
图3至图10是图2中EPM7128SLC84-15可编程逻辑器件的分解图。
具体实施方式
首先参见图1,本实用新型包括:一个启动电路,其输入端与电话线连接,其输出端与主控制电路的启动端连接,当检测到振铃信号后,启动主控制电路工作;一个摘挂机控制电路,跨接在电话线上,其受控端与主控制电路的摘挂机控制端连接,根据主控制电路输出的信号进行摘机或挂机操作;一个语音提示电路,其输出端与电话线连接,其受控端与主控制电路的提示控制端连接,系统摘机后,在主控制电路的控制下,发出语音提示信号;一个电话双音多频信号解码电路,其输入端与电话线连接,其输出端与主控制电路的检测端连接,对用户根据提示音发出的双音多频信号进行解码,将解码结果输入到主控制电路;一个家电控制回路,其受控端与主控制电路家电控制端连接;以及一个连接上述电路的主控制电路,当检测到所述解码电路输入的正确密码时,控制家电控制回路控制家用电器操作。此外,本实用新型还包括为主控制电路提供时钟的时钟频率发生电路,以及电源系统。
电话远程控制系统主要完成的功能是当用户在外地或是在班上工作,想让家中的某台家用电器完成某项操作时,可以通过身边的电话或手机向家中拨打电话,系统会自动摘机,并有语音自动提示您需要完成何种操作。整个过程都是在语音提示下完成的,从而真正作到了智能化。
本系统能够做到对电话双音多频信号进行解码,并自动驱动被控电器设备进行指定操作,同时用户可对被控设备的工作状态进行查询。由于本系统是利用电话进行远程控制,所以系统必须能识别电话线上的振铃信号,从而实现自动摘机;同时,本系统一般在无人值守的情况下工作,所以必须能自动摘机、挂机,且在用户操作完毕忘记挂机时,必须能自动复位及关机。要进行工作查询就必须有语音的提示和反馈,这样的系统才基本上合乎要求。
家电控制系统工作原理:当有振铃信号到来时,启动电路接收到振铃信号后发出启动信号,系统主控电路接收从启动电路传送的启动信号,作出摘机指示,通过摘挂机电路实现摘机,同时通过语音提示电路反馈语音提示信息。由于此系统是用于控制用户家中的家用电器,所以必须要有密码校验功能。因此用户在系统启动后做的第一件事就是输入密码。当通过校验后,用户此时按键,通过解码电路(DTMF)给主控电路发送控制指令,主控电路作出判断后对家用电器进行操作,整个操作过程是在语音提示下进行的。用户也可按指定按键对家用电器的状态进行查询。当用户想要关闭此系统时,可通过按键来使本系统产生挂机操作。若用户忘记了使系统关闭而先行挂机,则本系统将会在延时30秒后自动挂机。
下面结合图2说明上述各电路的构成和工作原理。
启动电路主要包括由二极管D1-D4组成的整流桥、三极管G1、继电器K。电话远程控制系统的启动信号是利用电话振铃信号来实现的,电话振铃信号为频率25Hz,幅度40V的交变信号。在电话振铃信号到来前,接点K′是断开的,输出端en为0(即低电平);电话振铃信号到来之后,经电阻、电容衰减、隔值后经整流桥输出脉动直流,再经滤波电容整形后,加在三极管G1发射极和基极两端,使三极管G1导通,从而使继电器K两端产生压降,使接点K′闭合,en变为1(即高电平),从而发出启动信号(en端由低变高的上升沿使主控电路触发)。输出端en与作为主控制电路的EPM7128SLC84-15的en端连接。需要说明的是,在图2中EPM7128SLC84-15的脚标(字母和数字)与其它部件的相同标记呈现对应连接的关系。
摘挂机控制电路主要由三极管G2、继电器J组成。在一般情况下,程控交换机根据电话线上的阻抗的不同来判断系统是否处于摘机状态。当电话线上的阻抗小于500欧姆时,被认为是处于摘机状态。由于此系统一般在无人值守的情况下工作,因此系统必须实现自动摘机挂机功能,当启动电路中启动信号en的上升沿到来时,主控电路使其输出端之一PICK变为高电平,此时三极管G2导通,使继电器J的两端加上电压从而吸合J′,实现自动摘机。主控电路同时通过语音提示电路发出语音提示信息,经耦合器N进入电话线反馈给用户,用户可按语音提示进行操作,如进行状态查询、实际控制家电等。
系统挂机有两种方式,其一为用户在进行完命令操作之后,按电话机上指定的按键,主控系统对其进行判断后给PICK一个低电平,断开继电器J,从而实现自动挂机;另一种方式则是在用户挂机后,主控系统经过延时30秒,如果仍没有新的命令传送过来,则PICK输出低电平来实现延时关机。(耦合器N为语音电路向电话线上反馈语音信息所用)
电话双音多频信号解码电路主要由耦合变压器T、三极管G3和双音多频解码器MC145436组成。
电话机键盘上包括12个按键(*、#及十个数字键),在音频设置上,每个按键对应一个双频率的正弦波信号,如表所示
电话键盘STD DTMF频率分布
频 率/Hz | 1209 | 1336 | 1477 | 1633 |
697 | 1 | 2 | 3 | A |
770 | 4 | 5 | 6 | B |
652 | 7 | 8 | 9 | C |
941 | * | 0 | # | D |
本系统采用Motorola公司的MC145436双音多频接收芯片作为电话双音多频(DTMF)解码核心。利用它及电话耦合电路、DTMF信号放大电路(G3),可构成一个电话双音多频(DTMF)信号的解码电路。
电话线上传送的信号是双音多频(DTMF)信号和电话直流供电的混合信号,经耦合变压器T滤除其上的直流分量后,再经过100u和474电容的滤波后送入三极管放大,然后经过耦合电容送入MC145436芯片解码。解码成功时,dv信号变为有效(高电平),同时输出4位代码(D8、D4、D2、D1),dvd为dv信号经延后得到的信号(至于dvd的作用在主控电路中将会做介绍),D8、D4、D2、D1一同送入主控电路(可编程逻辑器件),其内容详见主控电路的介绍。
4位代码与电话键盘上按键的关系如表所示。
按键 | 输出代码 | 按键 | 输出代码 | 按键 | 输出代码 | 按键 | 输出代码 |
D8D4D2D1 | D8D4D2D1 | D8D4D2D1 | D8D4D2D1 | ||||
1 | 0001 | 5 | 0101 | 9 | 1001 | A | 1101 |
2 | 0010 | 6 | 0110 | 0 | 1010 | B | 1110 |
3 | 0011 | 7 | 0111 | * | 1011 | C | 1111 |
4 | 0100 | 8 | 1000 | # | 1100 | D | 0000 |
语音提示电路主要由ISD2590语音芯片、运放LM386组成。
本系统采用可实现90秒语音录放,音质很好的ISD2590语音芯片作为语音提示电路的核心。此芯片通过操纵地址线(管脚1--10)和控制线(CE、PD、P/R、EOM等)可完成不同的操作任务,实现复杂的信息处理(如信息的组合、连接、设定固定的信息段、信息管理等)。其部分管脚说明如下:
管脚1-10(A0--A10):地址输入线,当9、10中有一个为低电平时,芯片被选择为地址输入模式,由于地址输入模式控制语音段地址简单、方便,很适合于可编程逻辑器件控制,故将10管脚接地,选为地址输入模式。
片选(/CE):本端变低后(而且PD为低),允许进行录放操作,芯片在本端的下降沿锁存地址线和P/R端口状态。
录放模式(P//R):当此端为高时,为放音模式。反之,为录音模式。将次此端接高电平。
信息段尾标志(EOM):EOM标志在录音时由芯片自动插入到该段信息的结尾,放音遇到EOM时,本端输出负脉冲。
节电控制(PD):本端接高使芯片停止工作,进入不耗电的节电状态。值得一提的是,如果在/CE为低的情况下,将PD由高变低,则芯片会在当前地址处一直放音,直到/CE或PD变高为止(这一特性在系统实现摘机后立即进入语音提示阶段的功能很有用,其细节将在主控部分做出详细介绍)。
语音信号输出(SP+、SP-):语音信号从这里输出后经过耦合电容及功率放大器LM386,通过耦合器N反馈到电话线中,给用户以语音提示,从而完成了语音提示及查询功能。
时钟频率发生电路采用555芯片,构成多谐振荡器,通过输出CLK为主控电路提供1KHz的时钟频率。
系统电源为本系统提供5V直流,通过整流桥D5-D8和7805的稳压块将220V的交流电压变为系统所需的5V直流电压。
家用电器控制回路可以由一个三极管G4和一个继电器组成,在主控制电路的控制下控制家电的操作,比如接通或切断电源。
系统主控电路采用Altera公司的EPM7128SLC84-15可编程逻辑器件。下面结合图3至图10说明该功能模块的设计。
此系统的控制核心CPLD的功能模块组织结构如图3所示。
从图中可以看出,CPLD的功能模块大体分两大部分
第一部分是主控模块
此部分是各个模块的核心和枢纽,它控制着系统的自动摘机、密码校验和自动、手动摘机;利用DTMF信号控制家用电器等功能,同时此模块也起到与语音模块进行联络通讯的作用。
第二部分是语音模块
此模块主要有家电控制系统摘机、挂机、密码校验和家用电器设备状态提示、所用到的语音提示的地址选择等功能,并且在时序上控制语音芯片的放音。
下面将详细介绍CPLD两大工作模块的设计方法。
主控模块
主控模块包含有4-16译码模块(lydecoder)、密码校验模块(lysuo)和取反模块(lytt)
译码模块lydecoder(如图4所示)
P(3-0)为译码器输入,外接MC145436的双音多频译码芯片。解码输出D8,D4,D2,D1。q(15-0)为低电平有效,即q的十六个位分别对应电话的十六个按键(注意有的电话没有A、B、C、D)。例如当按“1”键时,q(1)为低电平;当将键松开时,q(1)又为高电平。本系统设计能控制四台家用电器,分别用1、2、3、4键控制。
其VHDL原程序如下:
library ieee; use ieee.std_logic_1164.all; entity lydecoder is port(p:in std_logic_vector(3 downto 1); q:out std_logic_vector(15 downto 1)); end; architecture rtl of lydecoder is begin process begin case p is <!-- SIPO <DP n="6"> --> <dp n="d6"/> when"0000"=>q<="1111111111111110"; when"0001"=>q<="1111111111111101"; when"0010"=>q<="1111111111111011"; when"0011"=>q<="1111111111110111"; when"0100"=>q<="1111111111101111"; when"0101"=>q<="1111111111011111"; when"0110"=>q<="1111111110111111"; when"0111"=>q<="1111111101111111"; when"1000"=>q<="1111111011111111"; when"1001"=>q<="1111110111111111"; when"1010"=>q<="1111101111111111"; when"1011"=>q<="1111011111111111"; when"1100"=>q<="1110111111111111"; when"1101"=>q<="1101111111111111; when"1110"=>q<="1011111111111111"; when"1111"=>q<="0111111111111111"; when other s=>null; end case; end process; end rtl;
取反模块lytt(如图5所示)
此模块功能相当于一个T′触发器。CLK下降沿触发,CLK下降沿到来时,Q取反(Q用于控制家用电器开关通断,Q=1时为通,Q=0时为断)。将4个lytt模块的CLK接在q(1)-q(4)上,这样每按一次1、2、3、4键其中之一,Q的状态都会取反一次。例如,当按一号键时,q1由高电平变为低电平,当松开按键时,q1又由低电平变为高电平。由于q1连接的是LYTT的CLK端,所以q1的下降沿使Q1取反一次。即实现了利用电话按键控制家用电器的目的。上述两模块在主控模块中的连接形式如下图所示。
其VHDL原程序如下:
library ieee; use ieee.std_logic_1164.all; <!-- SIPO <DP n="7"> --> <dp n="d7"/> entity tt is port(cp:in std_logic; Q:inout std_logic); end; architecture rtl of tt is begin process(cp) begin if(cp′event and cp=′1′)then if(en=′1′)then Q<=not Q; end if; end if; end process; end rtl;
密码校验模块lysuo(如图6所示)
d(3-0)为系统译码电路译码的键值输入,dv连接MC145436的dv,pick=1时表明系统已摘机。当系统摘机(pick=1)后,所按下的前四个按键即是密码(此操作先有语音提示,在语音模块中详述),当密码正确时,perm=1;密码错误时,close=1。值得一提的是每次重新摘机,且密码没有输入完之前close,perm均为0。此时任何工作都不能进行,即处于锁定状态,其具体程序如下:
architecture rtl of lysuo is signal m1,m2,m3,m4:std_logic_vector(3 downto 0);/*用于存储4个 密码键值*/ signal count:integer;/*用于记录输入键值个数*/ begin process(dv)/*以MC145436的dv作为敏感信号量*/ begin if(dv′event and dy=′1′)then/*当dv的上升沿到来时*/ <!-- SIPO <DP n="8"> --> <dp n="d8"/> if(pick=′1′and perm=′0′and close=′0′)then/*如果此时处于摘 机状态,且密码未输入完*/ count<=count+1;/*纪录输入的密码键值个数*/ end if; end if; end process; process begin if(count=1)then m1<=d;/*输入第一个密码键值*/ elsif(count=2)then m2<=d;/*输入第二个密码键值*/ elsif(count=3)then m3<=d;/*输入第三个密码键值*/ elsif(count=4)then m4<=d;/*输入第四个密码键值*/ end if; if(count=4)then/*当输入完第四个密码键值后*/ if(m1="1011"and m2="1011"and m3="1011"and m4="1011")then perm<=′1′;/*密码正确*/ else close<=′1′;/*密码错误*/ end if; count<=′0′; end if; if(pick=′0′)then/*在挂机状态时对perm,close进行初始化*/ close<=′0′; perm<=′0′; end if; end rtl;
自动摘机、自动关机、延时关机的功能设计
自动摘机:当有振铃信号时(en=1),振铃信号标志位enable=1。此时,系统自动摘机outer=1。
自动关机:当用户按5号键时m(5)=0,此时系统关机outer=0。
(注意:outer连接的是lytt的en.如上述,只有摘机后(outer=1)时,lytt才能动作。否则,锁存lytt的输出Q的状态。
延时关机:若用户在对电话系统操作完成后,忘记关机,则系统会延时一定时间后自动关机。
这里引入延时关机变量i,在摘机一刻起i变量就开始计数。当i=30000(CLK为1kHz,故定时为30秒)时,系统自动关机,即outer=0。但为了在用户操作过程中防止随时关机发生,在用户按键时(即dv=1时)将i清零,重新计数,从而防止了随时关机的误动作。
在主控模块中,与此有关的VHDL源程序如下(注意:这只是主控模块的一部分,还有与语音模块有关的部分):
signal enable:std_logic:=′0′;/*中间标志位*/ signal m:std_logic_vector(15 downto 0):="0000000000000000";/*用于存放 lydecoder的译码值*/ signal outer:std_logic:=′0′;/*即将输出的摘机信号*/ signal close,perm:std_logic;/*密码正确perm=1;密码错误close=1*/ begin a:lysuo port map(d,dv,outer,close,perm);/*a至a6为器件管 脚在模块内部的连接*/ a0:lydecoder port map(perm,d,m);/*只有perm=1时4-16译码器才 工作*/ al:lytt port map(m(1),outer,q(0)); a2:lytt port map(m(2),outer,q(1)); a3:lytt port map(m(3),outer,q(2)); a4:lytt port map(m(4),outer,q(3)); pick<=outer; npick<=not outer;/*npick为pick的取反,在后面系统模块中用到*/ process(clk) variable i:integer;/*延时关机变量*/ begin <!-- SIPO <DP n="10"> --> <dp n="d10"/> if(clk′event and clk=′1′)then if(outer=′1′)then/*outer=1表明系统处于摘机状态*/ if(dv=′1′)then/*若此时有键按下*/ i:=0;/*将延时关机变量清零*/ else i:=i+1;/*延时关机变量计数*/ end if; else/*若系统是关机状态*/ i:=0;/*将延时关机变量清零*/ end if; if(en=′1′)then/*有振铃信号发生*/ enable<=′1′; end if; if(enable=′1′and m(5)=′0′and i<30000)then/*当有振铃信号发生 且用户没有按5号键且 outer<=′1′; 没到延时关机时(定时30 秒i=30000),系统 else 摘机*/ outer<=′0′;/*否则挂机,清标志位*/ enable<=′0′; end if; if(close=′1′and eom=′0′)then/*当输入密码错误,并且其相应段语 音提示说完后,将系统 outer<=′0′; 挂机*/ end if; end if; end process; end rtl;
语音模块lyyuyin(如图7所示)
此模块在用户控制家用电器时,给予语音提示。例如:用户按2号键时,将家用电器打开,此时应有语音提示:"二号设备正在工作";用户再按2号键时将家用电器关闭,此时应有语音提示:"二号设备停止工作。"
设控制4台家用电器,则本系统将上述的语音提示各分为一段,即每个设备有开、关两个状态,从而每个设备有两条语音提示段,则4台设备就共有八段语音信息,分别以不同的语音地址存在ISD2590中。当用户按1-4号键时(此时dv=1),本模块能够根据按键的不同(所选家电的不同)、所选家电的状态的不同,确定唯一的语音地址,并输出到ISD2 590,然后在nce输出一个负脉冲至ISD2590的/CE端,使ISD2 590在相应的地址放音。
语音模块的上述功能由三个子模块实现,以下将分别进行介绍。
电器状态查询模块lyselect(如图8所示)
a[3-0]为MC145436译码后的键值输入端,state[3-0]为四台家用电器的工作状态输入端(高电平为工作状态),此子模块相当于一个四位选择器。例如,当a[3-0]为”0001”时q端输出的是一号家用电器state(0)的工作状态。当a[3-0]的值>4时,q为0。其具体程序如下:
architecture rtl of lyselect is begin q<=state(0)when a="0001"else/*当选择的是一号设备时,输出一号 设备的工作状态*/ state(1)when a="0010"else/*当选择的是二号设备时,输出二号设 备的工作状态*/ state(2)when a="0011"else/*当选择的是三号设备时,输出三号设 备的工作状态*/ state(3)when a="0100"else/*当选择的是四号设备时,输出四号设 备的工作状态*/ ′0′; end rtl;
语音地址选择模块lyselq(如图9所示)
sel[3-0]为MC145436译码后的键值输入。q为相应键值代表设备的工作状态输入。(此端接到lyselect的q端)en为使能端,此端连接MC145436的dv端。addr[7-0]为相应设备和状态的提示语音段的地址输出端。
实际上lyselq也是一个译码器,当按1-4号键时,dv=1即en=1,选中此模块。sel[3-0]输入相应的键值,且从lyselect输出相应家电设备的工作状态(0或1),由两者唯一确定输出语音地址至addr[7-0],其程序如下:
architecture rtl of lyselq is signal a:std-logic-vector(4 downto 0); begin a<=q&sel;/*将系统状态与键值并置来唯一确定语音地址*/ addr<="01100100"when a="10001"and en=′1′else "01110011"when a="00001"and en=′1′else "10000010"when a="10010"and en=′1′else "10010110"when a="00010"and en=′1′else "10100101"when a="10011"and en=′1′else "10110100"when a="00011"and en=′1′else "11000011"when a="10100"and en=′1′else "11010010"when a="00100"and en=′1′else "00000000";/*在其它情况下,语音地址默认为0*/ end rtl;
语音使能子模块lyen(如图10所示)
以上两模块的配合可以唯一确定语音芯片地址,但还不能使其工作,此子模块用于给语音芯片CE端送入负脉冲使其工作。en为使能端,接外围电路的dvd端,高电平有效。不难看出,dvd端如果为高电平的话是由dv为高电平后经过延时得到的。dv是lyselq的使能端,dvd为lyen的使能端,即只有在把相应的语音地址送出至addr后,才能开始放音。nce为ISD2590的片选信号,接ISD2590的/CE。
这里需要指出两点:
1. nce发出负脉冲时,必须sel的输入值是1-4中之一。
2.当nce变为低电平一段时间后应跳回到高电平,否则nce一直保持低电平,则语音芯片在放音过程中,将忽略EOM标志位而继续播放后边的语音地址段的内容。由于此子模块的使能信号由dvd给出,故nce的低电平宽度由dvd为高电平的时间确定,所以nce的脉冲宽度是由用户按键时间长短决定的。lyen程序如下:
architecture rtl of lyen is begin nce<=not en when sel="0001"else/*当一号键按下时,nce输出的是en 取反*/ not en when sel="0010"else not en when sel="0011"else not en when sel="0100"else ′1′;/*当按下的键不是1---4号键之一是nce为高电平*/ end rtl;
注意:当1-4号键之一按下时,nce不能是简单的赋予0值,因为这样做会使ISD2590收到的语音段地址和电压负脉冲几乎同时到达。有可能产生先播放原有的语音地址信息而真正的语音段地址才传送过来。
以上三个子模块构成了语音模块(如图7所示),在这里再把语音模块的工作过程做整体叙述如下:
假设用户接到的是二号键,则在按下键一开始时dv=1,而dvd此时仍为0。此时dv=1,且MC145436将键值”0010”输入sel[3-0],与此同时,二号设备开始工作。在子模块lyselect和lyselq的共同作用下,内容为“二号设备正在工作”的语音信息段的地址送入ISD2590的addr中,此过程的进行速度与按下键的速度几乎是同时的。
如前述,在刚按键时dv=1,dvd=0,此时lyen的输出nce仍为1,而此时addr已收到相对应的地址码,过了一小段时间(由R、C决定)大约0。5秒左右,即按下键0。5秒左右,dvd变为高电平,此时nce的输出为低电平;当用户松开按键时,dy=0、dvd=0、nce又变为高电平。
另外,在系统刚一摘机时,需要语音提示:“欢迎您使用服务系统,请输入密码......”。在输入密码正确时,又需要语音提示:“请您选择您需要执行控制的家电电器序号......”;当输入密码错误时,则需要语音提示:“对不起,您输入的密码不正确......”;对于这三个语音信息段,由于与前述语音提示作用不同,故其寻址方式也不相同。现分述如下:
1.摘机语音信息段寻址(其地址为“00000000”)
在前面介绍ISD2590时已经指出,当/CE为0,PD从1变成0开始ISD2590持续放音,除非/CE变为1或PD变为1。
主控模块的npick连接PD端,npick为pick的反相,即系统摘机时npick=0,挂机时npick=1。即平时挂机时(npick=1,PD=1)ISD2590处于节电状态,CE也为0,语音芯片地址为“00000000”(在lyselq中设置的);当系统摘机时,npick=0,(PD=0),即ISD2590开始从0地址放音。注意此时CE仍为低,在此段信息放音结束前应将/CE的控制权交给lyen的nce(nce在按1-4键以外的情况下均为高),否则ISD会连续放音。为此,将pick为高电平后的信号dly送入主控模块,当系统刚摘机时pick=1,dly=0,/CE=0,系统放音。过了一段时间,dly=1,ISD2590也开始放音,将/CE的控制权交给lyen的nce。在主控模块中的该部分程序如下:
nce<=nce2 when dly=′1′and pick=′1′else/*当系统摘机且延时一 小段时间后,将/ce的控制′0′; 权交给lyen的nce2;(在这里nce连接外部的ISD 2590的/CE端*/
2.密码正确、错误的语音提示寻址
在输入密码后无论正、误,perm和close总有一个为1(由lysuo模块决定)。换句话说,只要两者有一个为1,则系统一定会摘机并进行密码操作。所以其在主控模块中的该部分程序如下:
process(clk) variable j:integer; begin if(close=’1’or perm=’1’)then/*密码输入完成*/ j:=j+1; if(close=′1′)then/*若密码输入错误*/ addr<="11101101";/*将密码错误的语音提示地址赋予ISD*/ elsif(perm=′1′)then/*若密码输入正确*/ addr<="11011101";/*将密码正确的语音提示地址赋予ISD*/ end if; if(j=200)then/*延时一定的时间*/ nce<=′0′;/*启动放音*/ end if; if(j=300)then/*延时一定的时间*/ <!-- SIPO <DP n="15"> --> <dp n="d15"/> nce<=′1′;/*将/ce置高电平*/ j:=0; end if; end if; end process;<br/>
系统功能逻辑仿真
本系统采用的CPLD芯片为Altera公司的EPM7128LC84_15,用MAX PLUSII软件工具开发。设计输入完成后,进行整体的编译和逻辑仿真,然后进行转换、布局、延时仿真生成配置文件,最后下载至CPLD器件,实现其硬件功能。各信号的逻辑功能和时序配合完全达到设计要求。
本系统是用CPLD实现的远程家电控制和拨号报警,全部通过编译仿真和系统调试。由于系统的很多功能由一块CPLD实现,外围器件很少,所以系统体积小、可靠性高,且器件的可编程性使得系统功能易于完善。随着可编程器件的应用范围不断扩大,越来越多的产品在开发过程中都使用VHDL语言,它使我们得以脱离底层电路,站在最高的层次上考虑各种逻辑和时序关系,从而快速完成设计。
特别说明:在本系统中,dvd与dly(分别为dv与pick的延时)完全可以不要完全可以做到CPLD中,但由于系统资源有限,尤其是系统的D触发器资源,在实际操作中D触发器资源几乎用尽,所以将dv与pick的延时置于CPLD之外,通过电阻、电容实现延时,此举实在是不得已而为之。
Claims (2)
1、一种远程控制家电系统,其特征在于包括:
一个启动电路,其输入端与电话线连接,其输出端与主控制电路的启动端连接,当检测到振铃信号后,启动主控制电路工作;
一个摘挂机控制电路,跨接在电话线上,其受控端与主控制电路的摘挂机控制端连接,根据主控制电路输出的信号进行摘机或挂机操作;
一个语音提示电路,其输出端与电话线连接,其受控端与主控制电路的提示控制端连接,系统摘机后,在主控制电路的控制下,发出语音提示信号;
一个电话双音多频信号解码电路,其输入端与电话线连接,其输出端与主控制电路的检测端连接,对用户根据提示音发出的双音多频信号进行解码,将解码结果输入到主控制电路;
一个家电控制回路,其受控端与主控制电路家电控制端连接;以及
一个连接上述电路的主控制电路,当检测到所述解码电路输入的正确密码时,控制家电控制回路控制家用电器操作。
2、根据权利要求1所述的远程控制家电系统,其特征在于主控制电路由一个可编程逻辑器件组成。
Priority Applications (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
CN 01233409 CN2496201Y (zh) | 2001-08-16 | 2001-08-16 | 远程控制家电系统 |
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
CN 01233409 CN2496201Y (zh) | 2001-08-16 | 2001-08-16 | 远程控制家电系统 |
Publications (1)
Publication Number | Publication Date |
---|---|
CN2496201Y true CN2496201Y (zh) | 2002-06-19 |
Family
ID=33647251
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN 01233409 Expired - Fee Related CN2496201Y (zh) | 2001-08-16 | 2001-08-16 | 远程控制家电系统 |
Country Status (1)
Country | Link |
---|---|
CN (1) | CN2496201Y (zh) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN113014951A (zh) * | 2007-02-02 | 2021-06-22 | 赛乐得科技(北京)有限公司 | 具有不同用户终端的多媒体通信中跨层优化的方法和装置 |
-
2001
- 2001-08-16 CN CN 01233409 patent/CN2496201Y/zh not_active Expired - Fee Related
Cited By (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN113014951A (zh) * | 2007-02-02 | 2021-06-22 | 赛乐得科技(北京)有限公司 | 具有不同用户终端的多媒体通信中跨层优化的方法和装置 |
CN113014951B (zh) * | 2007-02-02 | 2023-04-18 | 赛乐得科技(北京)有限公司 | 一种用于管理信息通信的方法和无线设备 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CA2147120C (en) | Modem for a tight coupling between a computer and a cellular telephone | |
CN1099204C (zh) | 通信系统 | |
CN1316863A (zh) | 语音识别操作便携电话机的方法和系统 | |
CN104935361A (zh) | 用于蓝牙耳机与移动终端通信的方法以及装置 | |
CN1126355C (zh) | 无线局部回路系统的固定用户单元 | |
CN100583933C (zh) | 一种电话远程控制和报警装置 | |
CN1893483A (zh) | 利用智能网提供虚拟总机服务的方法 | |
CN2496201Y (zh) | 远程控制家电系统 | |
CN1905601A (zh) | 一种远程控制移动设备的方法及系统 | |
CN111538300A (zh) | 基于电话网络的智能家居远程控制系统及其控制方法 | |
CN1642048A (zh) | 通过无线网络实现远程无线监控的方法及其设备 | |
CN1388680A (zh) | 数字家庭网络电话远程控制系统和方法 | |
CN102857652A (zh) | 基于移动网络的开关控制系统和方法 | |
CN201528982U (zh) | 微电脑多功能遥控自动电饭锅 | |
CN1341999A (zh) | 在固定网上实现移动通信的方法及其个人便携话机 | |
CN209250731U (zh) | 具有交互功能和无线通话功能的双通道宽带智能cpe控制装置 | |
CN201481127U (zh) | 微电脑多功能遥控自动电饭锅的控制电路 | |
CN202750150U (zh) | 可将电话机作为小区对讲分机的网关装置 | |
CN206379988U (zh) | 一种基于pstn的家用电器远程控制 | |
CN202120057U (zh) | 一种利用声音进行控制的智能家居系统 | |
CN205942292U (zh) | 一种智能家居远程控制系统 | |
CN103929556B (zh) | 一种具有远程启动网络电脑功能的智能电话机 | |
CN2282736Y (zh) | 电话机控制的电源插座 | |
CN204013583U (zh) | 智慧建筑内的智能家居系统 | |
CN2682758Y (zh) | 固定电话网无线接入装置 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C14 | Grant of patent or utility model | ||
GR01 | Patent grant | ||
C19 | Lapse of patent right due to non-payment of the annual fee | ||
CF01 | Termination of patent right due to non-payment of annual fee |