CN1930320A - Liquid precursors for the CVD deposition of amorphous carbon films - Google Patents

Liquid precursors for the CVD deposition of amorphous carbon films Download PDF

Info

Publication number
CN1930320A
CN1930320A CN 200580006997 CN200580006997A CN1930320A CN 1930320 A CN1930320 A CN 1930320A CN 200580006997 CN200580006997 CN 200580006997 CN 200580006997 A CN200580006997 A CN 200580006997A CN 1930320 A CN1930320 A CN 1930320A
Authority
CN
China
Prior art keywords
amorphous carbon
layer
carbon layer
gas
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN 200580006997
Other languages
Chinese (zh)
Inventor
马丁·杰伊·瑟默恩斯
温迪·H·叶
苏达哈·S·R·拉蒂
迪奈什·帕德海
安迪(信朝)·路安
萨姆-叶·贝蒂·唐
普里亚·库尔卡尼
维斯瓦思瓦伦·西瓦诺马克斯南
金博宏
海澈姆·穆萨德
玉香·梅·王
迈克尔·丘·宽
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1930320A publication Critical patent/CN1930320A/en
Pending legal-status Critical Current

Links

Images

Abstract

Methods are provided for depositing amorphous carbon materials. In one aspect, the invention provides a method for processing a substrate including positioning the substrate in a processing chamber, introducing a processing gas into the processing chamber, wherein the processing gas comprises a carrier gas, hydrogen, and one or more precursor compounds, generating a plasma of the processing gas by applying power from a dual-frequency RF source, and depositing an amorphous carbon layer on the substrate.

Description

The liquid precursors that is used for the chemical vapour deposition of amorphous carbon film
Technical field
The present invention relates to the manufacturing of unicircuit, and relate to method that is used for deposition material on substrate and the structure that forms by this material.
Background technology
The manufacturing of modem semi-conductor devices requires to form metal and dielectric layer by the chemical reaction of gas on substrate, and such process quilt is called chemical vapour deposition, CVD.Hot CVD technology is fed to substrate surface with reactant gases, and the chemical reaction that carries out the energy initiation at substrate surface is to produce desired layer.
CVD technology can be used for by comprising one deck or multilayer material layer deposition be patterned in inlay or the dual damascene method forms vertical and horizontal interconnect.In inlaying process, be deposited and pattern etch is also referred to as the perpendicular interconnection of via hole with formation and is also referred to as the horizontal interconnect of line such as one or more dielectric substances of low K dielectrics material (that is its specific inductivity<4.0).Then, such as the bag copper bearing material conductor material and comprise that other material of barrier material is embedded in the pattern that etches, wherein said barrier material is used for preventing that the peripherad low K dielectrics of copper-bearing materials from spreading.Remove any (such as being in area) unnecessary copper-bearing materials and unnecessary barrier material that is in the etching pattern outside then.
But, when low-k materials is used to inlay formation technology, be difficult to prepare the feature that has seldom or do not have surface imperfection or feature distortion.The low K dielectrics material is porous and be scratched easily and damage in removing the process of conductor material normally, has therefore increased the possibility that forms defective on substrate surface.In addition, low-k materials is normally brittle, and may be out of shape under the glossing of routine.A kind of scheme that is used to limit or reduces surface imperfection and distortion is, before the characterizing definition in patterning and etching low-k materials, and deposited hard mask on the low-k materials that exposes.Hard anti-damage of mask and distortion.In material deposition and planarization or material removal process (such as chemical Mechanical Polishing Technique or lithographic technique) process subsequently, hard mask can also be protected the low-k materials of below, reduces the distortion of feature of the formation of defective thus.Then, before substrate processing subsequently, after planarization, remove hard mask.
In addition, in above-mentioned inlay, use conventional photoetching technique to form pattern, wherein, the resist layer of energy-sensitive is formed on the material layer lamination top on the substrate, the image of pattern is introduced in the energy-sensitive anticorrosive additive material, and utilizes the energy-sensitive resist layer as mask, is introduced in pattern in the energy-sensitive anticorrosive additive material and is transferred in one deck or multilayer in the material laminate that is formed on the substrate.
Can utilize chemical etchant will be introduced in design transfer one deck or multilayer in the material laminate in the energy-sensitive resist.Chemical etchant is designed to be compared to the energy-sensitive resist for the material layer in the lamination and has bigger etching selection.In other words, chemical etchant is with than one deck or multilayer in the faster speed etching material laminate of etching energy-sensitive resist.Etch rate faster for one deck in the lamination or multilayer material layer has prevented that usually the energy-sensitive anticorrosive additive material was consumed before finishing design transfer.
Along with reducing of pattern dimension, the thickness of energy-sensitive resist must correspondingly reduce, with the resolving power of control pattern.In the pattern transfer steps of using chemical etchant, so thinner anticorrosive additive material (less than about 6000 dusts) may be insufficient for the material layer of sheltering the below.Above-mentioned hard mask can be used between the material layer of energy-sensitive anticorrosive additive material and below so that with design transfer in the material layer of below.But, be used to form in the application of semiconductor structure at some, be difficult to hard mask material is removed from substrate surface, and residual hard mask material may influence semiconductor processes unfriendly.In addition, Chang Gui hard mask material can not provide enough etching selection to keep the desired size of formed feature between material and the hard mask being etched.
(when for example, less than about 250 nanometers (nm)) optical patterning instrument is used to produce the resist pattern, also further brought the problem of resist patterning when having deep ultraviolet (DUV) imaging wavelength.The DUV imaging wavelength has improved the resist pattern resolution, because diffraction effect has been reduced under this shorter wavelength.But, the resist pattern of the reflectivity properties possibility deterioration gained that the material of many belows (such as polysilicon, metal and metal silicide) increases under such DUV wavelength.
A kind of put forward to make from the technology of the reflection minimized of the material layer of below use antireflecting coating (ARC).ARC is being formed on before the resist patterning above the layer of reflective material.In resist patterning process, ARC has suppressed the reflection of the material layer of below, and the accurate patterns replicability is provided in the energy-sensitive resist layer.Many ARC materials have been proposed with the energy-sensitive resist and have been used in combination, but also do not obtain gratifying result.In addition, the depositing operation that is used for hard mask and antireflecting coating that some are current uses has the also technology and the presoma of not satisfied step coverage.In addition, as hard mask material, the ARC material is difficult to remove, and may stay the resistates of unicircuit manufacturing step that can interfere with subsequent.
Therefore, exist in the art for the needs that can be used for such material layer that unicircuit makes, this material layer has good etching selection and/or antireflective property, can further be removed and has seldom or minimum resistates.
Summary of the invention
Many aspects of the present invention usually provide a kind of method that is used to deposit amorphous carbon material.In one aspect, the invention provides a kind of method of handling substrate, this method comprises: place described substrate in treatment chamber; To handle gas and introduce described treatment chamber, wherein, described processing gas comprises hydrogen and one or more hydrocarbon compound or derivatives thereofs; By applying the plasma body that power produces described processing gas from double frequency RF source; And on described substrate, deposit amorphous carbon layer.
In another aspect of the present invention, provide a kind of method that is used to handle substrate, this method comprises: form dielectric material layer on the surface of described substrate; On described dielectric material layer, deposit one or more layers amorphous carbon layer by following technology, described technology comprises introduces described treatment chamber with the processing gas that comprises hydrogen and one or more hydrocarbon compound or derivatives thereofs, by applying the plasma body that power produces described processing gas from double frequency RF source; Described one or more layers amorphous carbon layer of etching is to form the amorphous carbon layer of patterning; Corresponding to one or more layers amorphous carbon layer of described patterning, etch features definition in described dielectric material layer.
In another aspect of the present invention, a kind of method that is used to handle substrate is provided, this method comprises: one or more layers dielectric layer of deposition on the surface of described substrate, one of at least comprising silicon, oxygen and carbon and having about 3 or littler specific inductivity in wherein said one or more layers dielectric layer; On described one or more layers dielectric material layer, deposit one or more layers amorphous carbon layer by following technology, described technology comprises introduces described treatment chamber with the processing gas that comprises hydrogen and one or more hydrocarbon compound or derivatives thereofs, by applying the plasma body that power produces described processing gas from double frequency RF source; Define pattern at least one zone of described one or more layers amorphous carbon layer; Pattern by in described at least one zone that is formed on described one or more layers amorphous carbon layer forms characterizing definition in described one or more layers dielectric layer; And in described characterizing definition the deposition one or more conductor materials.
Description of drawings
As mode that can understood in detail above-mentioned feature of the present invention, can the present invention of brief description in the above more specifically be described reference example, some embodiment wherein are illustrated in the accompanying drawing.But, should be noted that accompanying drawing only illustrates exemplary embodiments of the present invention, therefore should not think to limit its scope, because the present invention can allow other equivalent embodiment.
Figure 1A-1E shows the sectional view of an embodiment of agraphitic carbon depositing operation;
Fig. 2 A-2E shows the sectional view of an embodiment of deposition procedures of the present invention;
Fig. 3 A-3G shows the sectional view of an embodiment of dual damascene deposition procedures;
Fig. 4 is the sectional view of an embodiment of agraphitic carbon depositing operation;
Fig. 5 is the sectional view of an alternative embodiment of agraphitic carbon depositing operation; With
Fig. 6 is the synoptic diagram that can be used to implement equipment of the present invention.
In order further to understand all respects of the present invention, should be with reference to detailed description subsequently.
Embodiment
Unless further definition is arranged in addition, employed in this article term and phrase should have common and habitual implication understood by one of ordinary skill in the art.Many aspects of the present invention usually are provided for depositing, handling and remove the method for amorphous carbon material.Comprise that with handling hydrogen compares as the sedimentary agraphitic carbon of carrier gas as diluent gas and argon by using double frequency RF power source in the gas with agraphitic carbon depositing operation by routine, agraphitic carbon sedimentation rate and can increase with respect to etching selection such as the dielectric substance of oxide compound at agraphitic carbon.It is in the U.S. Patent No. 6573030 of " Method For Depositing an Amorphous CarbonLayer " description to be arranged that agraphitic carbon is deposited on the title of authorizing on June 3rd, 2003, this United States Patent (USP) is comprised in herein by reference, as long as it does not conflict mutually with the application's claim and specification sheets.
The example of suitable system comprises can use DxZ TMThe CENTURA  system of treatment chamber, PRECISION 5000  systems, PRODUCER TMSystem and PRODUCERSE TMTreatment chamber, these can be purchased from the Applied Materials of California Santa Clara.Described technology can be such as the Producer that can be purchased from the Applied Materials of California Santa Clara TMOn the 200mm substrate, implement in the sediment chamber of treatment chamber.At the Producer that uses the 300mm that can be purchased from the Applied Materials of California SantaClara TMDepositing operation below describing under the situation of two deposition station treatment chambers, and what correspondingly should explain is that for example, flow rate is total flow rate and should be divided into two to be described in the technology flow rate at each deposition station place in the chamber.In addition,, can carry out following technology, be about to flow rate from total two deposition station Producer with suitable technology conversion for single sediment chamber (for example DxZ treatment chamber that can be purchased) from the Applied Materials of the Santa Clara in California TMThe treatment chamber flow rate adjustment is single deposition station flow rate.
Example apparatus
Fig. 6 is the schematically showing an of embodiment that can be used for carrying out the sedimentary lining treatment system of amorphous carbon layer.This equipment comprises its hardware component such as power source and vacuum pump of treatment chamber 625, gas panel 630, control unit 610 and tool.The details that is used for an embodiment of system of the present invention has description in the common U.S. Patent No. of transferring the possession of 6364954 " High Temperature ChemicalVapor Deposition Chamber ", and this United States Patent (USP) was authorized to and is comprised in herein by reference on April 2nd, 2002.
Treatment chamber 625 generally comprises support carriers 650, and it is used to support the substrate such as semiconducter substrate 690.This bearing 650 utilizes the displacement mechanism (not shown) to move in vertical direction 625 inside in the chamber.According to technology, substrate 690 can be heated to desired temperature before handling.Substrate supports bearing 650 is by embedded plus heater element 670 heating.For example, can be by applying electric current from AC source 606 to plus heater element 670, resistive heating bearing 650.Turn back, substrate 690 is by bearing 650 heating.Temperature sensor 672 such as thermopair also is embedded in the substrate supports bearing 650, with the temperature of monitoring bearing 650.Measured temperature is used to feedback loop, is used for the power source 606 of heating unit 670 with control.Underlayer temperature can be kept or is controlled under the temperature that is selected for the special process application.Bearing 650 uses plasma body alternatively or heats by radiation heating.
Vacuum pump 602 is used for emptying process chamber 625, and is used for keeping in chamber 625 suitable gas stream and pressure.Handle gas and be incorporated in the chamber 625 by spray header 620, spray header 620 is positioned at substrate supports bearing 650 tops.Spray header 620 is connected to gas panel 630, and gas panel 630 controls and supply are used for all gases of the different step of technique process.
Gas panel 630 can also be used to control and supply the liquid precursors of various vaporizations.Though do not illustrate, can for example vaporize from the liquid precursors in liquid precursors source, and in the presence of carrier gas, be transported to treatment chamber by the spouting of liquid vaporizer.Carrier gas generally is such as the rare gas element of nitrogen with such as the rare gas of argon or helium.Perhaps, liquid precursors can be vaporized from ampoule by heat and/or vacuum enhancing gasification process.
Spray header 620 and substrate supports bearing 650 can also form a pair of isolated electrode.When producing electric field between these electrodes, the processing gas that is introduced in the chamber 625 is provoked into plasma body.Typically, by substrate supports bearing 650 is connected to single-frequency or dual band radio frequency (RF) power source (not shown) via the matching network (not shown), produce described electric field.Perhaps, RF power source and matching network can be coupled to spray header 620, perhaps be coupled to spray header 620 and substrate supports bearing 650 both.
Plasma enhanced chemical vapor deposition (PECVD) technology applies electric field, produces the plasma body of reactive materials by near the conversion zone substrate surface, has promoted exciting and/or decomposing of reactant gases.The reactivity of the material in the plasma body has reduced the required energy of chemical reaction takes place, and effectively reduces to be used for the required temperature of such pecvd process.
In the present embodiment, the deposition of amorphous carbon layer is finished by the plasma body enhancing heat deposition of hydrocarbon compound.Hydrocarbon compound is introduced in the treatment chamber 625 under the control of gas panel 630.Hydrocarbon compound is introduced in treatment chamber as gas with the flow through regulating.
Carry out by the mass flow controller (not shown) with such as the controller unit 610 of computer by the gas of gas panel 630 and the suitable control and the adjusting of liquid flow.Spray header 620 allows the processing gas from gas panel 630 to be distributed equably and is incorporated in the treatment chamber 625.As example, controller unit 610 comprises central processing unit (CPU) 612, auxiliary circuit 614 and the storer 616 that comprises relevant control software.This controller unit 610 can be used for controlling automatically the required a plurality of steps of substrate processing, such as substrate transport, gas flow control, liquid flow rate control, temperature control, chamber emptying etc.Two-way communication between the various parts of controller unit 610 and equipment is handled by the many signal wires that collectively are called signal bus 618, and wherein some are shown among Fig. 6.
It is made of aluminum to be used for the bearing 650 through heating of the present invention, and comprises the heating unit 670 of a distance, substrate support surface below that is embedded into bearing 650.Heating unit 670 can be by being wrapped in Incoloy TMNichrome wire in the sleeve pipe is made.By suitably regulating the electric current that is fed to heating unit 670, in the film deposition process, substrate 690 can be maintained under the relative constant temp with bearing 650.This realizes that by feedback control loop wherein, the temperature of bearing 650 is monitored constantly by the thermopair 672 that embeds bearing 650.This information is sent to controller unit 610 via signal bus 618, and controller unit 610 responds by sending necessary signal to the heater power source.In current source 606, make adjusting subsequently,, for example be suitable for the temperature of specific process application bearing 650 is kept and be controlled under the desired temperature.When the processing gaseous mixture left spray header 620, the plasma body that hydrocarbon compound takes place on the surface 691 of the substrate 690 through heating strengthened thermolysis, causes agraphitic carbon to be deposited upon on the substrate 690.
Depositing operation
Amorphous carbon layer can deposit by comprising the technology that gaseous mixture with one or more hydrocarbon compound or derivatives thereofs is incorporated into treatment chamber.In addition, can use oxygenatedchemicals.Hydrocarbon compound can comprise nitrogen alternatively, perhaps deposits with the nitrogenous gas such as ammonia.And hydrocarbon compound can have the substituting group such as fluorine or oxygen.The hydrocarbon compound or derivatives thereof has general formula C AH BO CF D, wherein the scope of A is 1~24, the scope of B is 0~50, the scope of C is 0~10, the scope of D is 0~50, and B and D and be at least 2.
The example of suitable hydrocarbon compound is saturated or undersaturated aliphatics or clicyclic hydrocarbon and aromatic hydrocarbon.More specifically, aliphatic hydrocarbon for example comprises: alkane, such as methane, ethane, propane, butane, pentane, hexane, heptane, octane, nonane, decane etc.; Alkene is such as ethene, propylene, butylene, amylene etc.; Diolefine is such as divinyl, isoprene, pentadiene, hexadiene etc.; Alkynes is such as acetylene, vinylacetylene etc.Alicyclic hydrocarbon for example comprises cyclopropane, tetramethylene, pentamethylene, cyclopentadiene, toluene etc.Aromatic hydrocarbon for example comprises benzene, vinylbenzene, toluene, dimethylbenzene, pyridine, ethylbenzene, methyl phenyl ketone, methyl benzoate, phenylacetate, phenol, cresols, furans etc.In addition, can select α-terpinene, isopropyl benzene, 1,1,3,3-tetramethyl butyl benzene, tertbutyl ether, tertiary butyl ethene, methyl methacrylate and tertiary butyl furfuryl group ether.
The example of the suitable derivative of hydrocarbon compound is fluoric ether, halogenated alkane and halogenated aromatic compound.Fluoric ether for example comprises a fluoromethane, methylene fluoride, trifluoromethane, tetrafluoromethane, one fluoroethane, Tetrafluoroethane, pentafluoride ethane, hexafluoroethane, one fluoro-propane, trifluoro propane, pentafluoropropane, perfluoropropane, one fluorine butane, the trifluoro butane, the tetrafluoro butane, Octafluorobutane, the difluoro butane, one amyl fluoride, five amyl fluorides, the tetrafluoro hexane, the tetrafluoro heptane, the hexafluoro heptane, two fluoro-octanes, five fluoro-octanes, difluoro tetrafluoro octane, one fluorine nonane, the hexafluoro nonane, the difluoro decane, five fluorine decane etc.Haloolefin comprises a vinyl fluoride, difluoroethylene, trifluoro-ethylene, tetrafluoroethylene, a vinylchlorid, Ethylene Dichloride, trieline, zellon etc.Halogenated aromatic compound comprises phenyl-monofluoride, two fluorobenzene, tetra fluoro benzene, phenyl-hexafluoride etc.
The contriver believes that the hydrocarbon compound with at least 5 carbon atoms is a liquid, and compares with existing agraphitic carbon depositing operation under room temperature (about 20 ℃), the film spreadability (such as step coverage) of improvement and the conformality that improves are provided.The contriver also believes, liquid precursors has bigger molecule and species, described molecule and species form metastable middle species, and these centre species are adsorbed on the wafer surface and have certain movability on topological landform, have the step coverage of improvement thus.
Perhaps, can use the partly or completely adulterated derivative of hydrocarbon compound.This derivative comprises nitrogenous, fluorine, oxygen, hydroxyl and the br-derivatives of hydrocarbon compound, and fluoro derivatives.The suitable compound based on fluorine comprises fluorine gas (F 2), such as nitrogen trifluoride (NF 3) the partly or completely fluoro derivatives and the combination thereof of fluorine derivative, hydrocarbon compound of mineral compound.Fluorinated hydrocarbon compound has general formula C XH YF Z, wherein, X is greater than 5, and such as being 5~20, the scope of Y is 0~18, and the scope of Z is 0~42, and Y+Z is greater than or equal to 2 and be less than or equal to 62.Example comprises perfluoroparaffin, such as C 3F 8, or C 4F 8And C 6F 6, it can be used for depositing fluorinated amorphous carbon layer, and the described amorphous carbon layer of fluoridizing can be described as unformed fluorocarbon layer.The combination of the doped derivatives of hydrocarbon compound and hydrocarbon compound can be used to deposit amorphous carbon layer or unformed fluorocarbon layer.
One or more fluorochemicalss are introduced in the agraphitic carbon deposition process, perhaps be introduced into as intermediate steps, with increase the carbon concentration of sedimentary amorphous carbon material.Fluorine gas can before the depositing operation of agraphitic carbon, during or be added therebetween.Also can use other halide compound, such as based on the compound of chlorine with based on the compound of bromine.Compound based on fluorine can be introduced into during depositing operation or as intermediate steps.Can be during the whole depositing operation, during the part of depositing operation or on depositing operation period ground or introduce with hydrocarbon compound circularly based on the compound of fluorine.
Compound based on fluorine can be introduced in 100% hydrocarbon introducing operation.Perhaps, though added to hydrocarbon compound based on the compound of fluorine constantly in deposition cycle, being based on the compound concentrations of fluorine can be periodically or increase circularly or reduce, such as 2 between the depositional stage of amorphous carbon layer or 3 circulations.
Based on the compound of fluorine can be during the part of sedimentary technology (such as deposition cycle about 40%~60%), perhaps for example the middle portion of deposition cycle (such as time length of deposition cycle about 40%~60%) be introduced into.In addition, when the part for technology applies compound based on fluorine, can be introduced periodically or circularly based on the compound of fluorine, such as two or three circulations between the depositional stage of amorphous carbon layer.
In another embodiment, can stop hydrocarbon stream, and the stream based on the compound of fluorine can be incorporated in the treatment chamber as sedimentary amorphous carbon material intermediate process steps (introduce hydrocarbon compound again and further before the deposition amorphous carbon material).In addition, by (for example changing based on the concentration of the compound of fluorine and hydrocarbon compound, have high hydrocarbon concentration and the initial processing step that hangs down based on the compound concentration of fluorine, has low hydrocarbon density and height step based on the compound concentration of fluorine, be to have high hydrocarbon concentration and the final step or the further circulation step that hang down based on the compound concentration of fluorine then), can obtain similar effects.
In addition, can be used for adding fluorine gas before the sedimentary processing gas of agraphitic carbon in introducing.In such embodiments, the fluorine gas introducing that can be used for clean processing chamber and handle gas for agraphitic carbon provides rich fluorine environment.The fluorine gas pre-treatment can together be used with the fluorine that agraphitic carbon is handled in the gas, perhaps handles the surrogate of the fluorine in the gas as agraphitic carbon.
The contriver believes, based on the introducing of the compound of fluorine can increase the atomic percent of the carbon in the sedimentary amorphous carbon layer because from the sedimentary material of depositing operation and institute, remove, promptly remove based on the fluorine in the compound of fluorine and H-H reaction and with hydrogen.Observe, the carbon concentration of the increase in the sedimentary amorphous carbon layer cause being used for conventional semi-conductor and make the specific absorption (k, optical extinction coefficient) of the 157nm~900nm wavelength of photoetching process and increase.The specific absorption that increases causes the ARC effect that increases.In addition, the existence of fluorine allows in about 550 ℃ or the effective agraphitic carbon deposition under the low temperature more, and this temperature is lower than some conventional agraphitic carbon depositing operation, and is in the most heat budget that is used for the material that semi-conductor makes.
Perhaps, described one or more hydrocarbon compounds can with have hydrocarbon compound (such as having general formula C less than 5 carbon atoms XH YHydrocarbon compound, wherein the scope of X is 2~4, the scope of Y is 2~10) mix or together introduce with it.For example, propylene (C 3H 6), propine (C 3H 4), propane (C 3H 8), butane (C 4H 10), butylene (C 4H 8), divinyl (C 4H 6) or acetylene (C 2H 2) and their combination can use with hydrocarbon compound as herein described.
Preferably, methane, ethane, ethene, propylene (C 3H 6), propine (C 3H 4), propane (C 3H 8), butane (C 4H 10), butylene (C 4H 8), divinyl (C 4H 6), acetylene (C 2H 2), pentane, amylene, pentadiene, pentamethylene, cyclopentadiene, benzene, toluene, α-terpinene, phenol, isopropyl benzene and combination thereof can be used to deposit amorphous carbon layer.
The mixture of reactant gas and rare gas element can be added to be handled in the gas, with the deposition amorphous carbon layer.Rare gas element can comprise argon (Ar) and helium (He).Rare gas element can be used to control the density and the sedimentation rate of amorphous carbon layer.
Perhaps, various processing gases can be added in the gaseous mixture, to improve the character of amorphous carbon material.Hydrogen (H 2) be added to and handle in the gas, to improve the character of amorphous carbon material.And hydrocarbon compound can contain nitrogen or deposit with the nitrogenous gas such as ammonia.Gas can be reactant gas, such as hydrogen (H 2), ammonia (NH 3), hydrogen (H 2) and nitrogen (N 2) mixture, based on the compound of fluorine or their combination.H 2And/or NH 3Interpolation can be used to control the hydrogen ratio of amorphous carbon layer, thereby the layer character of control such as reflectivity.
In order to deposit unformed fluorocarbon layer, preferably so that (based on the compound of fluorine: flow rate hydrocarbon compound)~about 2: 1 (for example about 1: 20~about 1: 5) was than the compound of introducing based on fluorine to about 1: 20 of hydrocarbon compound.Can be based on the compound of fluorine with the flow rate of about 50sccm~about 3000sccm (for example about 100sccm~about 2000sccm) with hydrocarbon compound or do not introduce treatment chamber with hydrocarbon compound.Handle gas and can comprise also that flow rate is carrier gas or the rare gas element of about 50sccm~about 10000sccm (for example about 500sccm~about 5000sccm), such as nitrogen, helium or argon.
Amorphous carbon layer comprises carbon and hydrogen atom, and described carbon and hydrogen atom have adjustable carbon: hydrogen ratio, this carbon: the scope of hydrogen ratio is from about 10% the hydrogen hydrogen to about 60%.The hydrogen ratio of control amorphous carbon layer is an ideal for regulating its optical property, etching selection and chemically-resistant mechanical polishing.Density of hydrogen higher in reaction gas mixtures is considered to ideal, has low ionization threshold because hydrogen is compared with helium or argon, and hydrogen can be diffused in the surface of deposited film quickly, because it has less molecular weight.Hydrogen ion (H +) depth of penetration be methyl ion (CH X +) 10 times.And, because hydrogen has prevented to cause plasma body localization and its homogeneity that helps the lateral dispersion plasma body and improved resulting film of the arc-over on the substrate, so hydrogen is ideal.
In hard mask is used, along with reducing of hydrogen richness, the etch resistance of amorphous carbon layer and therefore selectivity raising.Hard mask provide greater than about 1: 10 (such as greater than 1: 10 and be less than or equal to 1: 20) agraphitic carbon to the selectivity of dielectric substance or remove speed ratio.The removal speed of the amorphous carbon layer that reduces defines the feature that is etched in the conductor material, allows effective etching dielectric substance and can the loss amorphous carbon layer.Compare with helium deposition amorphous carbon material, the technology by the application sedimentary amorphous carbon layer be observed raising with about etching selection of 5%~about 20%.
In antireflecting coating is used, for the content of amorphous carbon layer and the control that is used to deposit the technology of amorphous carbon layer can obtain control for antireflecting coating character.For example, along with hydrogen richness reduces, the optical property of sedimentary layer, such as specific refractory power (n) and uptake factor (k) increase.
The photoabsorption coefficient of amorphous carbon layer (k) can change being lower than under the wavelength of about 250nm (for example about 193nm~about 250nm) between about 0.1 to about 1.0, makes this amorphous carbon layer be suitable for use as antireflecting coating (ARC) under the DUV wavelength.In addition, for the content that is used for the amorphous carbon layer that antireflecting coating uses and the control that is used to deposit the technology of amorphous carbon layer can obtain control for gained antireflecting coating character.For example, along with hydrogen richness reduces, the optical property of sedimentary layer, such as specific refractory power (n) and uptake factor (k) increase.
The uptake factor of amorphous carbon layer can be used as the function of depositing temperature and changes.Specifically, along with increase in temperature, the uptake factor of sedimentary layer equally also increase.For example, when propylene when being used to deposit the hydrocarbon compound of amorphous carbon layer, by depositing temperature is increased to about 480 ℃ from about 150 ℃, the k value of sedimentary amorphous carbon layer can be increased to about 0.7 from about 0.2.Typically, the thickness of amorphous carbon layer is about 200 dusts~about 1100 dusts.Reduce the possibility that depositing temperature has reduced to form carbon-carbon double bond.The possibility that reduces to form carbon-carbon double bond can increase the transparency of gained film and reduce specific inductivity.
The uptake factor of amorphous carbon layer can also be as the function of employed additive in gaseous mixture.Specifically, H in gaseous mixture 2, NH 3, N 2Or the existence of its composition can increase about 10% to about 100% with the k value.Amorphous carbon layer further describes among the U.S. Patent application No.09/590322 of " Method ForDepositing An Amorphous Carbon Layer " at title, this U.S. Patent application was submitted and is comprised in by reference herein on June 8th, 2000, as long as it does not conflict mutually with the application's claim and specification sheets.
In addition, uptake factor can be used as the sediment chamber pressure function and change.Lower pressure has increased the ionic mean free path in the chamber in the sediment chamber.Along with advance surface near substrate of ion, it has the activity of increase, because itself and atomic interaction along substrate surface.Pressure can change from about 1Torr~about 10Torr in the chamber.Pressure in the chamber that increases between the film depositional stage is considered to and will reduces the density of resulting film.
In alternative embodiment, amorphous carbon layer can have the uptake factor (k) that changes on the thickness of layer.In other words, can form the uptake factor gradient in the amorphous carbon layer.Formed gradient is that gaseous mixture was formed and the function of temperature variation during layer formed.
On any interface of two material layers, because the difference of its specific refractory power (n) and uptake factor (k) can reflect.When agraphitic carbon ARC has gradient, can mate the specific refractory power (n) and the uptake factor (k) of two material layers, so the reflection of agraphitic carbon ARC is minimum and enter transmission maximum in the agraphitic carbon ARC.So the specific refractory power of agraphitic carbon ARC (n) and uptake factor (k) can be regulated by gradient, be transmitted to wherein light to absorb all.
Amorphous carbon layer can deposit with two-layer or more multi-layered layer with different optical character.For example, amorphous carbon bi-layer can comprise first amorphous carbon layer according to above-mentioned processing parameter, and is carried out photoabsorption by main design.Therefore, first amorphous carbon layer has the uptake factor (k) of under less than about 250nm about specific refractory power of 1.5~about 1.9 and about 0.5~about 1.0.For example, have about specific refractory power of 1.5~about 1.9 and about uptake factor of 0.1~about 0.5 for second amorphous carbon layer of antireflecting coating can be formed on according to above-mentioned processing parameter on first amorphous carbon layer.Second amorphous carbon layer is carried out phase shift and eliminate by producing reflection by main design, these reflections can offset with the reflection that produces at the interface of the last cover material bed of material (for example resist of energy-sensitive).Specific refractory power of first and second amorphous carbon layers (n) and uptake factor (k) are adjustable because its can be used as temperature during layer forms and gaseous mixture component function and change.
By making amorphous carbon layer be subjected to hydrogen-containing gas, containing the effect of the plasma body of nitrogen plasma and/or oxygen-containing gas, can realize removing amorphous carbon material alternatively from dielectric substance.The plasma body of hydrogen-containing gas and/or oxygen-containing gas can be removed amorphous carbon material, and minimum or to the surface roughness affect minimum of the center material that is positioned at its below to the influence of the dielectric substance that is positioned at its below.
The agraphitic carbon depositing operation can be thermal chemical vapor deposition process or plasma enhanced chemical vapor deposition technology.Amorphous carbon layer can deposit by following technology by handling gas: underlayer temperature is maintained at about 100 ℃~about 700 ℃, such as being lower than 450 ℃; Constant pressure is maintained at about 1Torr~about 20Torr by force; Introduce appropriate hydrocarbon gas and any inertia or reactant gas for the 200mm substrate respectively with the flow rate of about 50sccm~about 2000sccm; Alternatively, by applying about 0.03W/cm 2~about 20W/cm 2Perhaps apply about 10 watts (W)~about 6000W, for example about 0.3W/cm for the 200mm substrate 2~about 3W/cm 2Or the RF power of about 100W~about 1000W produces plasma body; Gas distributor is apart from about 200 mils of substrate surface~about 1000 mils.Above-mentioned processing parameter provide about 100 dusts of scope/minute~about 5000 dusts/minute the amorphous carbon layer sedimentation rate.
Preferably, dual-frequency system can be used to deposit amorphous carbon material.Double frequency is considered to provide the independent control for flow and ion energy.The energy on ionic bombardment film surface is considered to influence film density.Hydrionic higher surface bombardment speed has produced the higher speed from film surface removal hydrogen.High frequency plasma control plasma density.The ionic kinetics energy of low frequency controlling plasma bump wafer surface.It is about 10MHz~about 30MHz that double frequency blended RF power source provides scope, and for example high frequency power of about 13.56MHz, and scope is about 10KHz~about 1MHz, for example the low frequency power of about 350KHz.The example that hybrid frequency RF power applies comprises that range of frequency is that 10MHz~about 30MHz and power range are about 0.27W/cm for about 200W~about 1600W, power density 2~about 1.7W/cm 2RF power and range of frequency be that about 10KHz~about 1MHz and power range are that about 1W~about 1000W, power density are about 0.27W/cm 2~about 1.4W/cm 2At least one the 2nd RF power.The 2nd RF power is preferably less than about 0.6: 1.0 (0.6: 1) the ratio of total mixed frequency power.The RF power that is applied and the use of one or more frequencies can change according to the size of substrate and used equipment.
High-frequency RF power and low frequency RF power can be coupled to gas distributor (spray header) or substrate supports, and perhaps a RF power can be coupled to spray header, and another is coupled to support carriers.The details of blended RF power source 119 is in the United States Patent (USP) 6041734 of " Use Of AsymmetricWaveform To Control Ion Bombardment During Substrate Processing " description to be arranged at the common title of transferring the possession of, and this United States Patent (USP) was authorized and is comprised in herein by reference on March 28th, 2000.
Follow-up Cement Composite Treated by Plasma generally comprises with about 100sccm~about 8000sccm, preferably the flow rate of about 500sccm~about 2500sccm provides hydrogen-containing gas (to comprise hydrogen, ammonia, water vapour (H to treatment chamber 2O) or its combination), and in treatment chamber, produce plasma body.Can use about 0.15W/cm 2~5W/cm 2Power density produce plasma body, this power density is for the RF power level of 200mm substrate for about 50W~about 1500W.This RF power can provide with the high frequency such as 13MHz~14MHz.This RF power can provide continuously, perhaps provides with the short lasting cycle, and wherein, power is connected cycle less than about 200Hz with described level, and the connection cycle is about 10%~about 30% of total work period.
Can by constant pressure is maintained at about 1Torr~about 10Torr (preferably about 3Torr~about 8Torr) by force, during Cement Composite Treated by Plasma, substrate is maintained at about 100 ℃~about 300 ℃ (preferred about 200 ℃~about 300 ℃) down about 15 seconds~about 120 seconds (perhaps removing the necessary time of amorphous carbon material) carry out Cement Composite Treated by Plasma, wherein in plasma treatment procedure, gas distributor is apart from about 100 mils of substrate surface~about 2000 mils, preferred about 200 mils~about 1000 mil placement.But, should be noted that, each parameter can be modified with various chambers with carry out plasma process for different substrate dimension (such as the substrate of 200mm~300mm).Perhaps, the plasma-treating technology parameter can be identical with the material deposition process parameters or basic identical.
Above-mentioned processing parameter provide about 100 dusts/minute~about 1000 dusts/minute typical amorphous carbon layer sedimentation rate, and can be such as the Producer that can be purchased from Applied Materials TMSubstrate for 300mm in the sediment chamber of treatment chamber is implemented.
The reactor that is applicable to the hydrogen-containing gas plasma body removal of carrying out amorphous carbon material deposition described herein and amorphous carbon material can be the Producer that can be purchased from the Applied Materials of California Santa Clara TMTreatment chamber or DxZ TMCVD (Chemical Vapor Deposition) chamber.
The application of hard mask/antireflecting coating (ARC)
Figure 1A-1E illustrates and is comprising the schematic cross sectional views of agraphitic carbon as an embodiment of the substrate 100 of the different steps of the unicircuit manufacturing process of hard mask.In general, substrate 100 is meant any workpiece of handling thereon, and substrat structure 150 is used to unite and refers to that substrate 100 is together with other material layer that is formed on the substrate 100.According to the concrete stage of handling, substrate 100 can be corresponding to silicon substrate or has been formed on other material layer on the substrate.For example, Figure 1A illustrates and has the sectional view that forms the substrat structure 150 of material layer 102 thereon routinely.Material layer 102 can be oxide compound (for example, SiO 2).In general, substrate 100 can comprise one deck silicon, silicide, metal or other material.
Figure 1B has described to be deposited on the amorphous carbon layer 104 on the substrat structure 150 of Figure 1A.Amorphous carbon layer 104 is formed on the substrat structure 150 according to above-mentioned processing parameter.The thickness of amorphous carbon layer changed according to the concrete stage of handling.Typically, the thickness range of amorphous carbon layer is about 50 dusts~about 1000 dusts.
Depend on the etch chemistries agent of employed energy-sensitive anticorrosive additive material in manufacturing process, optionally tectum 106 can be formed on the amorphous carbon layer 104.When shifting pattern therein, optionally tectum 106 serves as the hard mask of amorphous carbon layer 104.Optionally tectum 106 can comprise the material of the oxide compound, the nitride such as silicon nitride or titanium nitride, silicon oxynitride, silicon carbide, unformed silicon, undoped silicon stone glass (USG), doped silicon oxide or other material that comprise such as silicon oxide.Optionally tectum 106 can be deposited to the thickness of about 100 dusts~about 1000 dusts, but the thickness of layer may change according to arts demand.Tectum has been considered to protect amorphous carbon layer to avoid the influence of photoresist material, and has covered any layer defects, such as the pin hole that forms in amorphous carbon material.
Energy-sensitive anticorrosive additive material layer 108 is formed on the optional tectum 106.Energy-sensitive anticorrosive additive material layer 108 can be spin-coated on the substrate to, to the thickness of about 2000 dusts~6000 dusts.Most of energy-sensitive anticorrosive additive materials are radiosensitive for the ultraviolet (UV) that has less than about 450nm wavelength.The DUV anticorrosive additive material is radiosensitive for the UV with 245nm or 193nm wavelength.
By such energy-sensitive anticorrosive additive material 108 is exposed to the UV radiation via mask 110, the image of pattern is introduced in the energy-sensitive anticorrosive additive material 108.The pattern image that is incorporated in the energy-sensitive anticorrosive additive material 108 develops in appropriate developer, to pass such layer define pattern, shown in Fig. 1 C.
After this, with reference to figure 1D, be defined in pattern in the energy-sensitive anticorrosive additive material 108 be transferred pass optional tectum 106 and amorphous carbon layer 104 both.Utilize energy-sensitive anticorrosive additive material 108 as mask, design transfer is passed optional tectum 106.By using the optional tectum 106 of suitable chemical etchant etching, design transfer is passed optional tectum 106.Then, utilize optional tectum 106 as mask, (for example use suitable chemical etchant, ozone, oxygen or ammonia plasmas) design transfer is passed amorphous carbon layer 104, utilize amorphous carbon layer 104 as hard mask then, design transfer is passed material layer 102, shown in Fig. 1 E.At material layer 102, after promptly silicon-dioxide was patterned, as described in this article, amorphous carbon layer 104 can be peeled off from substrate 100 alternatively.
In the particular instance of manufacturing process, the pattern that defines in the hard mask of agraphitic carbon can be contained in the structure (such as pattern structure) of unicircuit.Pattern structure is generally used for forming metal interconnected on the unicircuit.
Conductive features forms
At Fig. 2 A-2E is the sectional view of the formed substrate of each step of the present invention, shows to utilize agraphitic carbon described herein as the formed conductor of removal technology of etching stop layer and/or antireflecting coating (ARC) and amorphous carbon material or the example of dielectric attribute.
Shown in Fig. 2 A, optionally blocking layer 210 is deposited on the substrate surface, to eliminate the inter-level diffusion between substrate 200 and the sedimentary subsequently material.Substrate surface 205 can comprise dielectric medium or conductor material, though and do not illustrate, substrate surface 205 can comprise the metallicity that is formed in the dielectric substance.Blocking layer 210 can be deposited to the thickness of about 100 dusts~about 1000 dusts.
Blocking layer 210 can comprise the barrier material of any routine, comprises for example silicon nitride, silicon oxynitride or its combination.The blocking layer also can comprise advanced low-k materials, is about 5 or littler silicon carbide or contain fire sand such as its specific inductivity.The example of low-k materials is the BLOk that can be purchased from the Applied Materials of California Santa Clara TMDielectric substance.
Dielectric material layer 220 is deposited on the blocking layer 210.Dielectric material layer can comprise for example be used for shallow trench isolation from (STI) depositing operation based on oxide materials.The example of dielectric substance comprises silicon oxide, doped silicon oxide (such as PSG, PBSG) and carbon doped silicon oxide.The Black Diamond of the example of low-k materials for being purchased from the Applied Materials of California Santa Clara TMDielectric substance.
Then, amorphous carbon layer 230 is deposited on the dielectric material layer 220.Typically, amorphous carbon layer has the thickness of about 50 dusts~about 1000 dusts.Amorphous carbon layer 230 is hard masks, this hard mask can serve as the layer that stops of chemical Mechanical Polishing Technique, prevents simultaneously that with the selective removal that allows material following material (such as dielectric material layer 220) is damaged or is subjected to the influence of finishing method in etching process.
Amorphous carbon layer 230 can also serve as etching stop layer and allow the selective removal of the dielectric substance of below, and/or serves as antireflecting coating.The photoabsorption coefficient of amorphous carbon layer, k can change being lower than under the wavelength of about 250nm (for example about 193nm~about 250nm) between about 0.1 to about 1.0, makes this amorphous carbon layer be suitable for use as antireflecting coating (ARC) under the DUV wavelength.Typically, amorphous carbon layer 230 has the thickness of about 200 dusts~about 10000 dusts.
Alternatively, antireflecting coating 240 can be deposited on the amorphous carbon layer 230.Antireflecting coating can comprise the material that is selected from by in oxide compound, nitride, silicon oxynitride, silicon carbide, unformed silicon and the group formed thereof.When shifting pattern therein, antireflecting coating 240 can be served as the hard mask of amorphous carbon layer 230.
Perhaps, antireflecting coating 240 can comprise another amorphous carbon layer.If antireflecting coating 240 is amorphous carbon layers, then amorphous carbon bi-layer can comprise first amorphous carbon layer 230 according to above-mentioned processing parameter, and is carried out photoabsorption by main design.Therefore, first amorphous carbon layer 230 has the uptake factor (k) of under the wavelength less than about 250nm about specific refractory power of 1.2~about 1.9 and about 0.3~about 1.0.The thickness of first amorphous carbon layer 230 can change according to the concrete stage of handling.Typically, the thickness of first amorphous carbon layer 230 is about 300 dusts~about 10000 dusts.
Second amorphous carbon layer, promptly antireflecting coating 240 is formed on first amorphous carbon layer 230 according to above-mentioned processing parameter, has about specific refractory power of 1.2~about 1.9 and about uptake factor of 0.01~about 0.5.Second amorphous carbon layer 240 is eliminated by producing to eliminate carrying out phase shift with the reflection of the reflection that produces at the interface of the last cover material bed of material (such as energy-sensitive anticorrosive additive material, for example resist) by main design.The thickness of second amorphous carbon layer also can change according to the concrete stage of handling, and for example is about 200 dusts~about 700 dusts.Specific refractory power of first and second amorphous carbon layers (n) and uptake factor (k) are adjustable because its can be used as temperature during layer forms and gaseous mixture component function and change.
The energy-sensitive resist is deposited on the surface of amorphous carbon material and is patterned such as anticorrosive additive material 250.Anticorrosive additive material 250 can be spin-coated on the substrate to, to the thickness of about 200 dusts~6000 dusts.Photoresist is radiosensitive for the ultraviolet (UV) that has less than about 450nm wavelength.The DUV anticorrosive additive material is radiosensitive for the UV with 245nm or 193nm wavelength.By carrying out the UV radiant exposure via photolithographic reticle (reticle), the image of pattern is introduced in anticorrosive additive material layer 250.The pattern image that is incorporated in the anticorrosive additive material layer 250 develops in appropriate developer, with define pattern, shown in Fig. 2 A.
Be defined in pattern in the anticorrosive additive material 250 and be transferred and pass amorphous carbon layer 230 and any middle layer such as antireflecting coating 240, shown in Fig. 2 B.By using suitable chemical etchant to carry out etching, design transfer is passed amorphous carbon layer 230 and any middle layer.For example, ozone, oxygen or ammonia plasmas can be used to etch amorphous carbon materials.The a plurality of etch step that comprise variable etching gas composition can be used to etching and pass amorphous carbon layer 230 and any middle layer.Alternatively, before further handling, can remove any residual anticorrosive additive material after etching technics.
Then, carry out etching, the design transfer that is formed in the amorphous carbon layer 230 can be arrived dielectric material layer 220 and any middle layer, to form feature 260, shown in Fig. 2 D by using suitable chemical etchant.Any known conductive material etchant can be used to etching conductor material 220.
Then, amorphous carbon layer 230 can be exposed to the hydrogen-containing gas plasma body, so that amorphous carbon material is removed from substrate surface.The hydrogen-containing gas plasma body is removed the example of technology and carried out by following technology: the flow rate with about 1000sccm is introduced hydrogen; Constant pressure is maintained at about 5Torr by force; Underlayer temperature is maintained at about 250 ℃; By providing RF power level to produce plasma body for the about 100W of 200mm substrate~about 300W; And plasma body was kept about 60 seconds, perhaps removed the required time of amorphous carbon material.During Cement Composite Treated by Plasma, gas distributor is arranged to apart from about 500 mils of substrate surface, shown in Fig. 2 D.By etching agent or by amorphous carbon removal process, any residual intermediate materials is removed such as the ARC material.The present invention has considered that the independent removal technology for the ARC layer may be necessary for removing such layer resistates before removing at agraphitic carbon.
Then, by forming the conductor feature in the characterizing definition 270 of bulk deposition between feature 260.Conductor material can comprise the metal such as aluminium, tungsten or copper, perhaps such as other conductor material of polysilicon, and can comprise metal silicide such as tungsten silicide.Conductor material can deposit by for example chemical vapour deposition (comprising technique for atomic layer deposition), physical vapor deposition (comprising the high-density physical gas phase deposition technology), electrochemical deposition (comprise and electroplating and electroless deposition technique) or the combination of multiple deposition technique.Conductor material also can deposit to the thickness of about 2000 dusts~about 4000 dusts, and this thickness can change according to the size of the structure that will make.
The dielectric substance that comprises the low K dielectrics material can be deposited in the characterizing definition 270, shown in Fig. 2 E.The example of the space fill process that carries out with the low K dielectrics material has description in the U.S. Patent No. 6054379 of authorizing on April 25th, 2000, this United States Patent (USP) is comprised in herein by reference, only otherwise conflict mutually with the application's record content and claim.Fill such as silicon oxide with based on the space of the dielectric substance of oxide materials and can be used for shallow trench isolation from (STI) depositing operation.Dielectric substance also can deposit to the thickness of about 2000 dusts~about 4000 dusts, and this thickness can change according to the size of the structure that will make.
Specific refractory power of first and second amorphous carbon layers (n) and uptake factor (k) (being also referred to as optical extinction coefficient) are adjustable because its can be used as temperature during layer forms and gaseous mixture component function and change.When using the combination of two-layer antireflecting coating based on agraphitic carbon, can correspondingly regulate hydrogen richness, the film that has different hydro concentration with preparation.For example, higher level of hydrogen ARC layer can be by having minimum or not having the agraphitic carbon of fluorine concentration to handle gas aggradation, and another layer has the layer of higher absorption coefficient and can handle at agraphitic carbon and have higher fluorine concentration in the gas.In addition, the ARC layer can deposit in the mode that has the hydrogen richness gradient in sedimentary multilayer, make two ARC layers can by change in time agraphitic carbon handle in the gas fluorine concentration and by successive sedimentation in situ.In such gradient protocol, the amorphous carbon layer with gradual change hydrogen concentration of a bed thickness can be used to replace multilayer ARC lamination.
The deposition of dual-damascene structure
The example of pattern structure has been shown in Fig. 3 A-3G as sectional view, and this pattern structure is utilized low K dielectrics silicon, oxygen and carbon material, amorphous carbon material and described in this article amorphous carbon material to remove technology and is formed.
As shown in Figure 3A, silicon carbide barrier layer 310 is deposited on the substrate surface, with eliminate substrate and subsequently the inter-level diffusion between the sedimentary material.Substrate surface can comprise the metallicity 307 that is formed in the dielectric substance 305.Silicon carbide barrier layer 310 can mix aerobic, boron, phosphorus or its combination.
First dielectric layer 312 that comprises silicon, oxygen and carbon described herein is deposited on the silicon carbide barrier layer 310 on the substrate surface, and described substrate surface comprises the metallicity 307 that is formed in the dielectric substance 305.By oxidized organo silane or organo-siloxane (such as tetramethylsilane), first dielectric layer 312 of inter-level dielectric material is deposited on first silicon carbide barrier layer 310, to the thickness (size that depends on the structure that will make) of about 5000 dusts~15000 dusts.
Can be as the Black Diamond that can be purchased of the example of the advanced low-k materials of inter-level dielectric material from the Applied Materials of California Santa Clara TMDielectric substance.Perhaps, first dielectric layer can also comprise other low K dielectrics material (such as the low k polymer materials that comprises paralyne or such as undoped silicon glass (USG) or mix the low-k spin-on glass of fluorine silex glass (FSG)).Then, the deposition plasma process afterwards that follows siloxicon layer described herein closely can be handled first dielectric layer 312.The example of low k dielectric constant layer and agraphitic carbon layer laminate has further description in the U.S. Patent No. 6541397 of authorizing on April 1st, 2004, this United States Patent (USP) is comprised in herein by reference, as long as it does not conflict mutually with the application's claim and specification sheets.
Then, the low-k etch of carbofrax material or oxidized organo silane layer stops layer (perhaps second blocking layer) 314 and is deposited on first dielectric layer 312, to the thickness of about 200 dusts~about 1000 dusts.Perhaps, etching stop layer 314 can be nitrogenous carbofrax material.Then, low-k etch stops layer 314 by pattern etch, exposes first dielectric layer 312 with definition contact/via openings 316 and in the zone that will form contact/via hole, as shown in Figure 3A.Preferably, use conventional photolithography and the etching technics pattern etch low-k etch that utilizes fluorine, carbon and oxonium ion to stop layer 314.Though do not illustrate, before other material of deposition, can on etching stop layer 314, deposit about 100 dusts~about 500 dusts thick no fire sand or silicon oxide tectum.
Stop layer 314 at low-k etch and be etched with after forming contact/mistake sectional hole patterns and removing the photoresist material, second dielectric layer 318 of siloxicon described herein is deposited to the thickness of about 5000 dusts~about 15000 dusts, as shown in Figure 3A.As this paper for the siloxicon layer described, also can carry out Cement Composite Treated by Plasma for second dielectric layer 318.
In alternative embodiment, at other material of deposition (such as the photoresist material) before, can on second dielectric layer 318, deposit about 100 dusts~about 500 dusts thick no fire sand or silicon oxide tectum.In another alternative embodiment, at other material of deposition (such as the photoresist material) before, can be on second dielectric layer 318 depositing silicon carbide tectum (not shown).
Then, amorphous carbon layer 322 is deposited on second dielectric layer 318 (perhaps tectum), and the preferred photoetching process patterning that uses routine, with definition interconnection line 320, shown in Fig. 3 B.Amorphous carbon layer 322 deposits as described herein.Typically, the thickness range of amorphous carbon layer is about 50 dusts~about 1000 dusts.
Amorphous carbon layer 322 is hard masks, this hard mask serves as the layer that stops of chemical Mechanical Polishing Technique, to allow preventing that low K dielectrics material (such as second dielectric layer 318) is damaged or is subjected to the influence of finishing method in etching process when removing conductor material.Except serving as hard mask, amorphous carbon layer 322 can also serve as antireflecting coating.Other multilayer amorphous carbon layer can be used to amorphous carbon layer 322.For example, described in this article amorphous carbon bi-layer ARC layer can be used as amorphous carbon layer 322.
Then, feature is etched through second dielectric layer 318, low-k etch stops layer 314, first dielectric layer 312 and silicon carbide barrier layer 310, shown in Fig. 3 C.Amorphous carbon layer has selectivity or the removal speed ratio for the dielectric substance that is deposited on the below greater than about 1: 10 (all according to appointment greater than 1: 10~about 1: 20), and can have the removal speed ratio greater than 1: 30.
Use such as the conductor material of aluminium, copper, tungsten or its combination and fill interconnection line 320, to form metallization structure.Current trend is to use copper to form littler feature, because copper has low resistivity (1.7mW-cm, aluminium is 3.1mW-cm by contrast).Preferably, shown in Fig. 3 D, at first be conformally deposited in the metallization pattern such as the suitable blocking layer 324 of tantalum or tantalum nitride, with prevent copper migration to around silicon and/or dielectric substance in.After this, use chemical vapour deposition, physical vapor deposition, plating or its combined deposition copper 326, with interstitital texture, shown in Fig. 3 E.
In case structure is filled copper or other metal, just uses the chemically machinery polished planarized surface, to remove any unnecessary copper 326 and blocking layer 324 materials.But amorphous carbon layer 322 is anti-polishings, and after glossing by residual down, shown in Fig. 3 F.Amorphous carbon layer has greater than the conductor material (copper or barrier material) of 1: 1 (all 5: 1 according to appointment~about 30: 1) for the selectivity of agraphitic carbon or remove speed ratio, and can have the removal speed ratio greater than 30: 1.
Then, amorphous carbon layer 322 is exposed to the hydrogen-containing gas plasma body, to remove amorphous carbon material from substrate surface.The example that contains hydrogen plasma removal technology can be carried out by following technology: the flow rate with about 1000sccm is introduced hydrogen; Constant pressure is maintained at about 5Torr by force; Underlayer temperature is maintained at about 250 ℃; By providing RF power level to produce plasma body for the about 100W of 200mm substrate~about 300W; And plasma body was kept about 60 seconds, perhaps removed the required time of amorphous carbon material.During Cement Composite Treated by Plasma, gas distributor is arranged to apart from about 500 mils of substrate surface.
Hard mask or antireflecting coating (ARC) are used
Fig. 4 is the sectional view at an embodiment of the agraphitic carbon depositing operation of the different steps of the unicircuit manufacturing process that comprises amorphous carbon layer.Substrat structure 401 is meant that substrate is together with other material layer that is formed on the substrate.According to the concrete stage of handling, substrate can be corresponding to silicon substrate or has been formed on other material layer on the substrate.Fig. 4 illustrates has the substrat structure 401 that forms material layer 402 thereon routinely.Material layer 402 can be oxide compound (for example, SiO 2).In general, material layer 402 can comprise one deck silicon, silicide, metal or other material.Amorphous carbon layer 403 is formed on the material layer 402 according to above-mentioned processing parameter.The thickness of amorphous carbon layer changed according to the concrete stage of handling.Typically, the thickness range of amorphous carbon layer is about 50 dusts~about 1000 dusts.
Fig. 4 also illustrates optional tectum 404.Depend on the etch chemistries agent of employed energy-sensitive anticorrosive additive material in manufacturing process, optionally tectum 404 can be formed on the amorphous carbon layer 403.By shifting pattern therein, optionally tectum 404 can serve as the mask of amorphous carbon layer 403.Optionally tectum 404 can comprise oxide compound, the nitride such as silicon nitride or titanium nitride, silicon oxynitride, silicon carbide, unformed silicon, undoped silicon stone glass (USG), doped silicon oxide or other material such as silicon oxide.Optionally tectum 404 can be deposited to the thickness of about 100 dusts~about 1000 dusts.But the thickness of layer may change according to arts demand.Tectum 404 has been considered to protect amorphous carbon layer to avoid the influence of photoresist material, and has covered any layer defects, such as the pin hole that forms in amorphous carbon material.
Fig. 4 also illustrates the photoresist material 406 of spin-coating material layer 405 and patterning.Spin-coating material layer 405 can be any siliceous dielectric substance, such as silicon, silicon fluoride, carbon doped silicon, fluorine-doped silica, nitrating silicon or carbon doped silicon oxide.Spin-coating material layer 405 can utilize the liquid-based presoma that is coated on the rotation substrate surface to deposit.The BARC that can be purchased from the Applied Materials of markon's good fortune Buddhist nun Santa Clara TMAlso can be used as the depositing operation that is used for spin-coating material layer 405.
Energy-sensitive anticorrosive additive material layer 406 is formed on the spin-coating material layer 405.Energy-sensitive anticorrosive additive material layer 406 can be spin-coated on the substrate to, in the thickness range of about 2000 dusts~about 6000 dusts.Most of energy-sensitive anticorrosive additive materials are radiosensitive for the ultraviolet (UV) that has less than about 450nm wavelength.The DUV anticorrosive additive material is radiosensitive for the UV with 245nm or 193nm.
By such energy-sensitive resist 108 is exposed to the UV radiation via the mask (not shown), the image of pattern is introduced in the energy-sensitive anticorrosive additive material layer 406.Development is incorporated into the pattern image in the energy-sensitive anticorrosive additive material layer 406 in suitable photographic developer, to pass this layer define pattern.
Hard mask in addition or antireflecting coating (ARC) are used
Fig. 5 is comprising the sectional view of amorphous carbon layer as the alternative embodiment of the agraphitic carbon depositing operation of the different steps of the unicircuit manufacturing process of hard mask.In general, substrat structure 501 is meant any workpiece of handling thereon.According to the concrete stage of handling, substrat structure 501 can be silicon substrate or be formed on other material layer on the substrate.For example, Fig. 5 illustrates and has the sectional view that forms the substrat structure 501 of material layer thereon routinely.The substrat structure material layer can comprise oxide compound (for example, SiO 2).In general, substrat structure 501 can comprise one deck silicon, silicide, metal or other material.
Fig. 5 has also described to be deposited on the amorphous carbon layer 502 on the substrat structure 501.Amorphous carbon layer 502 is formed on the substrat structure 501 according to above-mentioned processing parameter.The thickness of amorphous carbon layer changed according to the concrete stage of handling.Typically, the thickness range of amorphous carbon layer is about 50 dusts~about 1000 dusts.
Experimental result
In order to illustrate how hydrogen gas rate influences the density of gained film, amorphous carbon film is by hydrocarbon compound and hydrogen deposition.Except film deposits with 1000sccm hydrogen, 2000sccm hydrogen or 3000sccm hydrogen respectively, all other treatment condition are constant.The film density of 1000sccm hydrogen is 1.44g/cc, and the film density of 2000sccm hydrogen is 1.47g/cc, and the film density of 3000sccm hydrogen is 1.54g/cc.These results show that hydrogen gas rate is high more, the density of sedimentary film big more.Film density is a kind of assessment that forms for carbon-carbon double bond.Therefore, the film depositing operation with higher hydrogen rate of air flow more may form the film with bigger carbon-carbon double bond possibility.
Carried out the comparison of the gained film of three kinds of individual presomas under differing temps, wherein A-TRP is α-terpinene.
Table 1 film analysis is summed up
Analyze Propylene Propylene A-TRP Toluene
Depositing temperature (℃) 450 550 450 450
Density (XRR) g/cm 3 1.3 1.56 1.2 1.25
RBS,C%/H% 63/37 86/14 62.8/36.2 --
FTIR(C-H/C-C) 1 0.23 0.91 0.87
Etching selection for oxide compound 8∶1 15∶1 8∶1 7.5∶1
K under 633nm 0.22 0.45 0.10 0.08
Compare with under lower temperature, using the formed film of propylene, use the formed film of propylene to have about 2 times etching selection and exceed about 20% density down at 550 ℃.Under higher temperature, C-C concentration is also higher.
By using each presoma respectively, propylene, A-TRP and toluene presoma have been compared under 5 differing tempss of 350 ℃ to 550 ℃, forming film.The specific refractory power result of film increases along with the rising of temperature.Specific refractory power is different as the response curve of the function of temperature for each presoma.Also measured the specific inductivity of A-TRP and toluene film.Along with film in higher temperature deposit, specific inductivity increases.Film for gained has also carried out fourier-transform infrared (FTIR) analysis.Has carbon-to-carbon double bond by propylene being higher than the film that forms under 400 ℃ the temperature.
In one group of independent experiment,, uptake factor is mapped as the function of wave number for the film that uses toluene 350 ℃, 400 ℃, 425 ℃, 450 ℃ and 500 ℃ deposit.Peak on the curve shows and has formed carbon-carbon double bond and shown the film that forms as presoma for by toluene that the formation of two keys only occurs over just greater than under 400 ℃ the temperature.
Low frequency RF power is verified the method as the density of design amorphous carbon film.Observed 0,50,100 and the RF power of 200W.When detecting under 350 ℃ and 450 ℃ as film precursor for use toluene, film density increases to 1.28g/cc from about 1.17.Low frequency RF power can increase the density of unformed patterned film.Under 450 ℃, along with low frequency RF increases to 200W from 0, optical extinction coefficient enlarges markedly.Under 350 ℃, along with low frequency RF increases to 800W from 0, optical extinction coefficient does not increase and surpasses 0.05.
To using toluene to measure, and, specific absorption is mapped as the function of wave number for 0,200,400,600 and the low frequency RF of 800W as the sedimentary film of presoma.The low frequency RF film of 0W is at-CH 3Key ,-CH 2Key and-place, peak of CH key has maximum wave number.The low frequency RF film of 800W is at-CH 2The place, peak of key has minimum wave number.When relatively low frequency RF is tested, CH 2/ CH 3Ratio and CH/CH 3Than being consistent.Wave number figure shows that also the power along with low frequency RF increases, and benzene is to CH 3Ratio increase.This shows the increase along with low frequency RF power, will have more benzene ring structure.
In order to observe the formation that how to influence the toluene film in the variation of the simultaneous temperature that low frequency RF is remained on 800W, used the FTIR spectral measurement at 350,375,400 and 425 ℃ of films that form down.Figure as the light absorption ratio of the function of wave number shows, increases the possibility that film formed temperature has reduced carbon-carbon double bond, and has produced the film of the specific absorbance with increase.
Also observed sedimentation rate as the function of low frequency RF.Along with low frequency RF power increases, sedimentation rate is linear to be increased.Adding hydrogen comes the diluted precursor body to reduce sedimentation rate.
Also compared different presomas.Toluene and α-terpinene provide the best film character in suitable temperature range (comprising optical property and specific inductivity).Use propylene and acetylene that the film with acceptable optical property and specific inductivity result also can be provided as presoma.Measured as SEM, also provide best step coverage based on the film of toluene.To have lower measurement of extinction coefficient value based on following sedimentary film of the similar temperature of the film of toluene based on α-terpinene.
Also detected the low-pressure membrane deposition.When detecting a plurality of substrate, lower pressure is ideal for the film that homogeneous is provided.But, do not observe detectable improvement for film character.
Though the embodiments of the invention that relate to recited above can design other and more embodiment of the present invention, and not depart from base region of the present invention, base region of the present invention has claims to determine.

Claims (24)

1. method of handling substrate in treatment chamber comprises:
In treatment chamber, place described substrate;
To handle gas and introduce described treatment chamber, wherein, described processing gas comprises that hydrogen and one or more have general formula C AH BO CF DPrecursor compound, wherein the scope of A is 1~24, the scope of B is 0~50, the scope of C is 0~10, the scope of D is 0~50, and B and D and be at least 2;
By applying the plasma body that power produces described processing gas from double frequency RF source; And
On described substrate, deposit amorphous carbon layer.
2. the method for claim 1 also comprises the described amorphous carbon layer of etching, to form the amorphous carbon layer of patterning.
3. the method for claim 1, wherein, described one or more precursor compounds are selected from by methane, ethane, ethene, propylene, propine, propane, butane, butylene, divinyl, acetylene, pentane, amylene, pentadiene, pentamethylene, cyclopentadiene, benzene, toluene, α-terpinene, phenol, isopropyl benzene or its group of forming.
4. the method for claim 1 also comprises using containing hydrogen plasma, contain nitrogen plasma, containing oxygen plasma or its combination is removed described amorphous carbon layer from described substrate.
5. the step of the described plasma body of the method for claim 1, wherein described generation comprises RF power that applies first frequency and the 2nd RF power that applies less than the second frequency of described first frequency.
6. method as claimed in claim 5, wherein, the step of the described plasma body of described generation comprises a RF power of the first frequency that applies about 10MHz~about 30MHz and applies the 2nd RF power of the second frequency between about 10kHz~about 1MHz.
7. method as claimed in claim 6, wherein, the 2nd RF power to the ratio of a RF power less than about 0.6: 1.
8. method as claimed in claim 5, wherein, a described RF power is from about 200W~about 1600W, described the 2nd RF power is from about 200W~about 1000W.
9. the method for claim 1, wherein described one or more hydrocarbon compounds are liquid under about 20 ℃ temperature.
10. method as claimed in claim 9 also is included in and is incorporated into described one or more hydrocarbon compounds of vaporizing before the described treatment chamber.
11. each in the method for claim 1, wherein described one or more hydrocarbon presomas also comprises nitrogen, bromine, fluorine, oxygen, hydroxyl or its combination.
12. the method for claim 1, wherein described one or more compounds based on fluorine are selected from by fluorine gas (F 2), nitrogen trifluoride (NF 3), CHF 3, CH 2F 2, and the group formed of combination.
13. the method for claim 1, wherein described antireflecting coating is the material that is selected from by silicon nitride, silicon carbide, carbon doped silicon oxide, agraphitic carbon and the group formed thereof.
14. the method for claim 1, wherein agraphitic carbon to the etching selection of described dielectric substance greater than about 1: 7.
15. the method for claim 1, wherein described processing gas also comprises carrier gas.
16. a method of handling substrate in treatment chamber comprises:
In treatment chamber, place described substrate;
To handle gas and introduce described treatment chamber, wherein, described processing gas comprises that carrier gas, hydrogen and one or more have general formula C AH BO CF DPrecursor compound, wherein the scope of A is 1~24, the scope of B is 0~50, the scope of C is 0~10, the scope of D is 0~50, and B and D and be at least 2;
By applying the plasma body that power produces described processing gas from double frequency RF source;
On described substrate, deposit amorphous carbon layer;
The described amorphous carbon layer of etching is to form the amorphous carbon layer of patterning;
Remove described one deck or multilayer amorphous carbon layer; With
Deposited conductor material on the surface of described substrate.
17. method as claimed in claim 16 also comprises:
Deposition one deck or multi-layer anti-reflection coating on described one deck or multilayer amorphous carbon layer;
Anticorrosive additive material on the described antireflecting coating of patterning; And
The described antireflecting coating of etching before described one or more layers amorphous carbon layer of etching or simultaneously.
18. method as claimed in claim 16 also is included in the described conductor material of deposition dielectric layer deposition before.
19. method as claimed in claim 16 also is included in the described conductor material of deposition deposited barrier layer before.
20. a method of handling substrate in treatment chamber comprises:
In treatment chamber, place described substrate;
To handle gas and introduce described treatment chamber, wherein, described processing gas comprises that carrier gas, hydrogen and one or more have general formula C AH BO CF DPrecursor compound, wherein the scope of A is 1~24, the scope of B is 0~50, the scope of C is 0~10, the scope of D is 0~50, and B and D and be at least 2;
By applying the plasma body that power produces described processing gas from double frequency RF source;
On described substrate, deposit amorphous carbon layer;
Define pattern at least one zone of described one or more layers amorphous carbon layer; With
Pattern by in described at least one zone that is formed on described one or more layers amorphous carbon layer forms characterizing definition in one or more layers dielectric layer.
21. method as claimed in claim 20, also be included in and deposit before one or more conductor materials in the described characterizing definition, remove described one or more layers amorphous carbon layer by described one or more amorphous carbon layers being exposed to plasma body, wherein said plasma body is the gas that is selected from by hydrogen-containing gas, nitrogenous gas, oxygen-containing gas and the group formed thereof.
22. method as claimed in claim 20 also comprises:
Polish described one or more layers conductor material and stop at described one or more layers amorphous carbon layer; And
Remove described one or more layers amorphous carbon layer by the plasma body that described one or more amorphous carbon layers is exposed to hydrogen-containing gas.
23. method as claimed in claim 20 also comprises:
On described one or more layers amorphous carbon layer, deposit antireflecting coating;
Anticorrosive additive material on the described antireflecting coating of patterning; And
Before described one or more layers amorphous carbon layer of etching or simultaneously, the described antireflecting coating of etching.
24. method as claimed in claim 20 also comprises deposition the 3rd amorphous carbon layer on described second amorphous carbon layer by the following method, described method comprises:
To handle gas and introduce described treatment chamber, wherein said processing gas comprises one or more aliphatic hydrocarbon compounds; With
Produce the plasma body of described processing gas.
CN 200580006997 2004-03-05 2005-02-24 Liquid precursors for the CVD deposition of amorphous carbon films Pending CN1930320A (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US55038604P 2004-03-05 2004-03-05
US60/550,386 2004-03-05
US10/799,146 2004-03-12
US60/565,639 2004-04-26
US60/649,344 2005-02-02

Publications (1)

Publication Number Publication Date
CN1930320A true CN1930320A (en) 2007-03-14

Family

ID=37859541

Family Applications (1)

Application Number Title Priority Date Filing Date
CN 200580006997 Pending CN1930320A (en) 2004-03-05 2005-02-24 Liquid precursors for the CVD deposition of amorphous carbon films

Country Status (1)

Country Link
CN (1) CN1930320A (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102468217A (en) * 2010-11-03 2012-05-23 中芯国际集成电路制造(上海)有限公司 Method for forming contact hole
CN102971837A (en) * 2010-03-30 2013-03-13 应用材料公司 Nitrogen doped amorphous carbon hardmask
CN104040695A (en) * 2011-12-13 2014-09-10 朗姆研究公司 Electroless copper deposition
CN106024596A (en) * 2015-02-03 2016-10-12 朗姆研究公司 Systems and methods for decreasing carbon-hydrogen content of amorphous carbon hardmask film
CN107408494A (en) * 2015-03-23 2017-11-28 应用材料公司 Defect planarizes

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102971837A (en) * 2010-03-30 2013-03-13 应用材料公司 Nitrogen doped amorphous carbon hardmask
CN102468217A (en) * 2010-11-03 2012-05-23 中芯国际集成电路制造(上海)有限公司 Method for forming contact hole
CN102468217B (en) * 2010-11-03 2014-06-04 中芯国际集成电路制造(上海)有限公司 Method for forming contact hole
CN104040695A (en) * 2011-12-13 2014-09-10 朗姆研究公司 Electroless copper deposition
CN104040695B (en) * 2011-12-13 2016-12-21 朗姆研究公司 Electroless copper
CN106024596A (en) * 2015-02-03 2016-10-12 朗姆研究公司 Systems and methods for decreasing carbon-hydrogen content of amorphous carbon hardmask film
CN106024596B (en) * 2015-02-03 2020-10-16 朗姆研究公司 Method for reducing carbon-hydrogen content of amorphous carbon hard mask film
CN107408494A (en) * 2015-03-23 2017-11-28 应用材料公司 Defect planarizes
CN107408494B (en) * 2015-03-23 2021-09-14 应用材料公司 Defect planarization

Similar Documents

Publication Publication Date Title
US7407893B2 (en) Liquid precursors for the CVD deposition of amorphous carbon films
KR100661201B1 (en) Processes for depositing and etching dielectric layers
US6974766B1 (en) In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
KR100978704B1 (en) Method for depositing an amorphous carbon film with improved density and step coverage
US7638440B2 (en) Method of depositing an amorphous carbon film for etch hardmask application
KR100801369B1 (en) Method of depositing dielectric films
KR101183641B1 (en) Methods to obtain low k dielectric barrier with superior etch resistivity
US7200460B2 (en) Method of depositing low dielectric constant silicon carbide layers
US6777171B2 (en) Fluorine-containing layers for damascene structures
CN1930670A (en) Method of depositing an amorphous carbon film for metal etch hardmask application
KR20100135243A (en) Method for depositing an amorphous carbon film with improved density and step coverage
CN1930320A (en) Liquid precursors for the CVD deposition of amorphous carbon films
KR101106425B1 (en) Nitrogen-free dielectric anti-reflective coating and hardmask
CN1739191A (en) Nitrogen-free dielectric anti-reflective coating and hardmask

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication