CN1717778A - Method and apparatus for multilayer photoresist dry development - Google Patents

Method and apparatus for multilayer photoresist dry development Download PDF

Info

Publication number
CN1717778A
CN1717778A CN 200480001378 CN200480001378A CN1717778A CN 1717778 A CN1717778 A CN 1717778A CN 200480001378 CN200480001378 CN 200480001378 CN 200480001378 A CN200480001378 A CN 200480001378A CN 1717778 A CN1717778 A CN 1717778A
Authority
CN
China
Prior art keywords
gas
plasma
substrate
etching
passivation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN 200480001378
Other languages
Chinese (zh)
Other versions
CN100423192C (en
Inventor
V·巴拉苏伯拉马尼姆
稻泽刚一郎
R·怀斯
A·P·马霍罗瓦拉
S·潘达
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
International Business Machines Corp
Original Assignee
Tokyo Electron Ltd
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd, International Business Machines Corp filed Critical Tokyo Electron Ltd
Publication of CN1717778A publication Critical patent/CN1717778A/en
Application granted granted Critical
Publication of CN100423192C publication Critical patent/CN100423192C/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Landscapes

  • Drying Of Semiconductors (AREA)

Abstract

A method for etching an organic anti-reflective coating (ARC) layer on a substrate in a plasma processing system comprising: introducing a process gas comprising ammonia (NH3), and a passivation gas; forming a plasma from the process gas; and exposing the substrate to the plasma. The process gas can, for example, constitute NH3 and a hydrocarbon gas such as at least one of C2H4, CH4, C2H2, C2H6, C3H4, C3H6, C3H8, C4H6, C4H8, C4H10, C5H8, C5H10, C6H6, C6H10, and C6H12. Additionally, the process chemistry can further comprise the addition of helium. The present invention further presents a method for forming a bilayer mask for etching a thin film on a substrate, wherein the method comprises: forming the thin film on the substrate; forming an ARC layer on the thin film; forming a photoresist pattern on the ARC layer; and transferring the photoresist pattern to the ARC layer with an etch process using a process gas comprising ammonia (NH3), and a passivation gas.

Description

The method and apparatus that is used for the dry process development of multilayer photoresist
The cross reference of related application
The U.S. Provisional Application series number 60/458 that the application relates to and requires to apply on March 31st, 2003,430, the U.S. Provisional Application series number 60/484 of applying on May 5th, 2003,225 reach the priority in U.S.'s non-provisional application series number 10/640,577 of application on August 14th, 2003; Its full content is incorporated herein by reference.The application relates to the common pending application 60/435,286 that is called " method and apparatus that is used for double-deck photoresist dry process development " in the name of application on November 23rd, 2002; Its full content is incorporated herein by reference.
Invention field
The present invention relates to be used for the method and apparatus of plasma process substrate, and relate in particular to the method that is used for the dry process development of multilayer photoresist.
Background of invention
In semiconductor fabrication processes, (dry type) plasma-etching method can be used for along removing or etching material at the fine rule of composition on the silicon base or in path or contact.Plasma-etching method is usually included in to be used the protective layer such as the photoresist layer that cover composition and locatees the semiconductor-based end in the Processing Room.Substrate is once locating in the chamber, and the admixture of gas of ionogenic disassociation is introduced in the chamber with the flow velocity of pre-regulation, regulates vacuum pump simultaneously to obtain environmental process pressure.Afterwards, when a part of gaseous matter that exists is carried out ionization by radio frequency (RF) power perception or capacitive or the electronics that uses for example transmission of the microwave power of electron cyclotron resonance (ECR) to heat, just generated plasma.And, the electronics of heating some environmental gas substance classes that are used to dissociate, and generate the reactant species of the surface etching chemical that is suitable for exposing to the open air.In case the generation plasma, selected substrate surface is by plasma etching.Regulate this process to obtain appropriate condition, comprise appropriate anticipation reaction substrate concentration and number of ions, so as in the selection area of substrate the various features of etching (as groove, path, contact etc.).Requirement is carried out etched this base material and is comprised silicon dioxide (SiO 2), low-the K dielectric material, poly-silicon and silicon nitride.
Summary of the invention
The present invention relates to be used for the method and apparatus of plasma process substrate and relate to the method and apparatus that is used for the dry process development of multilayer photoresist.The present invention also relates to multilayer mask itself.
An aspect of of the present present invention has been described the method and apparatus that is used at plasma processing system etching anti reflection paint (ARC) layer in substrate.Introducing comprises that one or more contain ammonia (NH jointly 3) gas and the process gas of passivation gas.In plasma processing system, generate plasma by process gas.Substrate is exposed under the plasma.
In addition in another aspect of this invention, described a kind of generate double-deck mask with etch thin film in substrate method and apparatus.Film former in substrate.On film, generate anti reflection paint (ARC) layer.On the ARC layer, generate the photoresist pattern.Comprise that by use one or more contain ammonia (NH jointly 3) gas and the process gas of passivation gas carry out etching ARC layer, with the photoresist design transfer to the ARC layer.
In addition, the smooth method of sidewall in the suprabasil multilayer mask is comprised: introducing comprises that one or more contain ammonia (NH jointly 3) gas and the process gas of passivation gas; In plasma processing system, generate plasma by this process gas; With substrate is exposed under the plasma, wherein passivation gas can promote to form passivating film on the sidewall of multilayer mask, so that the matsurface of sidewall is smooth.
Brief description of drawings
In the accompanying drawing:
Figure 1A, 1B and 1C are the schematic diagrames that is used for the general procedure of pattern etching film;
Fig. 2 is the rough schematic view according to the plasma processing system of one embodiment of the invention;
Fig. 3 is the schematic diagram according to the plasma processing system of another embodiment of the invention;
Fig. 4 is the schematic diagram according to the plasma processing system of another embodiment of the invention;
Fig. 5 is the schematic diagram according to the plasma processing system of another embodiment of the invention;
Fig. 6 is the schematic diagram according to the plasma processing system of another embodiment of the invention;
Fig. 7 represent according to one embodiment of the invention in plasma processing system in substrate a kind of method of etching anti reflection paint (ARC) layer;
Fig. 8 represents a kind of method that is used to be created on the double-deck mask that etch thin film is used in the substrate according to another embodiment of the invention; With
Fig. 9 A and 9B are the schematic diagrames of multilayer mask.
The detailed description of several embodiments
In the materials processing maneuver, pattern etching comprises that applied in very thin layers with light-sensitive material such as photoresist is in the upper surface of substrate, subsequently it is carried out composition,, be used for this design transfer being arrived suprabasil bottom film at etching process so that a mask is provided.The composition of light-sensitive material generally includes by the grating (and relevant optical) of irradiation bomb by light-sensitive material and uses the photograph that exposes to the sun as the microlithography system, use the zone (as under the situation of positive photoresist) of the irradiation of light-sensitive material subsequently, or without the zone (as under the situation of negative photoresist) of irradiation.The multilayer mask can be used for etch features on film.For example, as shown in Figure 1A-C, double-deck mask 6, comprise the photosensitive layer 3 and organic anti-reflection paint (ARC) layer 7 that have the pattern 2 that uses traditional photoetching technique formation, can be used to be etched in film 4 in the substrate 5 as mask, wherein before main etching step, use an independent etching step, will transfer on the ARC layer 7 at the mask pattern on the photosensitive layer 32 to film 4.
In one embodiment, will comprise ammonia (NH 3) and the process gas of passivation gas be used for double-deck photoresist dry process development method.For example, passivation gas can comprise appropriate hydrocarbon gas, as C 2H 4, CH 4, C 2H 2, C 2H 6, C 3H 4, C 3H 6, C 3H 8, C 4H 6, C 4H 8, C 4H 10, C 5H 8, C 5H 10, C 6H 6, C 6H 10, C 6H 12Or the like at least a.
Although above-mentioned embodiment has been described the etching of the film 4 in substrate 5, etching can be that substrate 5 is own, is with or without film 4.
According to an embodiment, plasma processing system 1 has been described among Fig. 2, it comprises plasma process chamber 10, the diagnostic system 12 that connects with plasma process chamber 10 and the controller 14 that connects with diagnostic system 12 and plasma process chamber 10.Set this controller 14 and be used for carrying out the process that comprises at least a above-mentioned chemical substance (chemistries), with the organic ARC layer of etching.In addition, setting controller 14 is used to receive at least one endpoint signal that comes self-diagnosable system 12 and this at least one endpoint signal of reprocessing, so that accurately measure the terminal point for this method.In the embodiment of describing, the plasma processing system 1 described in Fig. 2 uses a kind of plasma to be used for materials processing.Plasma processing system 1 can comprise an etching chamber.
According to the embodiment described in Fig. 3, plasma processing system 1a can comprise substrate holder 20 and the vacuum pump system 30 that plasma process chamber 10, substrate to be processed 25 are fixed thereon.For example, substrate 25 can be the semiconductor-based end, wafer or LCD.For example, can set the generation of plasma process chamber 10 in order to the plasma of promotion in the machining area 15 on the surface of contiguous substrate 25.Ionogenic gas or admixture of gas are introduced by gas injection system (not illustrating), and adjustment process pressure.For example, can use a control mechanical structure (not illustrating) to regulate vacuum pump system 30.Plasma can be used to generate the peculiar material of materials processing to predetermined, and/or auxiliary exposed surface removal material from substrate 25.Can set substrate, the substrate of 300mm or bigger substrate that plasma processing system 1a is used to process 200mm.
For example, can substrate 25 be fixed on the substrate holder 20 by an electrostatic clamp system.And, for example substrate holder 20 may further include a cooling system that contains recirculation cooling agent stream, its from substrate holder 20 receive heats and with heat transferred to heat exchanger system (not illustrating), maybe when heating, from heat exchanger system transmission heat.And, for example, can be by the backside gas system with gas transfer to the back side of substrate 25, with the heat conduction of the gas gap that improves 20 of substrate 25 and substrate holder.When temperature control, can use this system in high temperature or low temperature requirement substrate.For example, the backside gas system can comprise two sections gas distributed system, and wherein helium gas gap pressure can change between the center and peripheral of substrate 25 independently.In another embodiment, heating/cooling element, as stratie or thermo-electric heaters/coolers, and the locular wall of plasma process chamber 10 and any other element in plasma processing system 1a, can be included in the substrate holder 20.
In being illustrated in the embodiment of Fig. 3, substrate holder 20 can comprise an electrode, can connect with the plasma in process in the state space 15 by this electrode RF power.For example, substrate holder 20 can by with RF power from RF generator 40 by means of an impedance matching network 50 is delivered to substrate holder 20 electrical bias in RF voltage.The RF bias voltage can be used to add hot electron to generate and to keep plasma.In this configuration, this system can be used as an active-ion-etch (RIE) reactor, and wherein chamber and upper gas injection electrode are as earthed surface.The general frequency that is used for the RF bias voltage can change in the 100MHz scope at 0.1MHz.The RF system that is used for plasma process is known to the person skilled in the art.
In addition, RF power can be applied to substrate holder electrode with multi-frequency.And impedance matching network 50 is used in plasma process chamber 10, improves the RF power transfer to plasma by reducing reflection power.Match network topologies (as L-type, π type, T-type etc.) and autocontrol method are known to the person skilled in the art.
For example, vacuum pump system 30 can comprise a pump speed can be up to 5000 liters/second (with higher) molecular vacuum turbine pump (TMP) and be used for the gate valve of conditioning chamber pressure.At traditional etched plasma arc processing apparatus of dry plasma that is used for, use 1000 to 3000 liters/second TMP usually.TMP is useful for the low pressure processing that generally is lower than 50 millitorrs.For high pressure processing (promptly being higher than 100 millitorrs), can use mechanical booster pump and dry type roughing pump.And, the device (not illustrating) that is used for monitoring room pressure can be attached to plasma process chamber 10.For example, device for pressure measurement can be a 628B Baratron type absolute capacitance U type pipe manometer, and it can be by MKS Instrument, Inc. is commercially available to be obtained (Andover, MA).
Controller 14 comprise microprocessor, memory and one can generate be enough to the input contact and be energized into plasma processing system 1a and monitoring from the digital I/O port of the control voltage of the output of plasma processing system 1a.And, controller 14 can be coupled to RF generator 40, impedance matching network 50, gas injection system (not illustrating), vacuum pump system 30 and backside gas transmission system (not illustrating), substrate/substrate holder temperature measurement system (not illustrating) and/or electrostatic clamp system (not illustrating), and exchange message with it.For example, can use the program that is stored in the memory, input is energized on the element of above-mentioned plasma processing system 1a, to finish the method for the organic ARC layer of this etching according to processing method.An example of controller 14 is DELL PRECISIONWORKSTATION 610 TM, can be by Dell company, Austin, Texas obtains.
Diagnostic system 12 can comprise an optical diagnostic subsystem (not illustrating).Optical diagnostic subsystem can comprise that a detector is as (silicon) photodiode or a photomultiplier (PMT) that is used to measure by the light intensity of plasma emission.Diagnostic system 12 may further include a filter such as narrow-band interference filter.In another embodiment, diagnostic system 12 can comprise at least one line style CCD (charge coupled device), CID (charge injection device) array and light dispersal device such as grating or prism.In addition, diagnostic system 12 can comprise a monochromator (as grating/detector system) that is used for measuring light under setted wavelength, or is used for the spectrometer (as having rotating grating) of measure spectrum, as at U.S. Patent number 5,888, and the device described in 337.
This diagnostic system 12 can comprise optical emitting spectrum (OES) transducer of a high resolution, as from Peak Sensor Systems or Verity Instruments, Inc..This OES transducer has very wide spectrum, and it comprises ultraviolet (UV), visible (VIS) and near-infrared (NIR) spectrum.Resolution roughly is 1.4 dusts, and promptly this transducer can be collected 5550 wavelength from 240 to 1000nm.For example, this OES transducer can be equipped with highly sensitive miniature fiber optic UV-VIS-NIR spectrometer, and it then merges with the line style ccd array of 2048 pixels and becomes one.
Spectrometer receives by the next light of optical fiber transmission list and bunchy, uses fixing grating to disperse to pass the ccd array of line style from fibre-optic light output at this.Be similar to above-mentioned configuration, the light that passes optical vacuum window is focused fibre-optic input by means of protruding sphere lens.Each specifically is adjusted to three spectrometers that are used for given spectral region (UV, VIS and NIR) and is formed transducer, is used for Processing Room.Each spectrometer comprises an independently AID transducer.At last, depend on the application of transducer, can write down a full emission spectrum in per 0.1 to 1.0 second.
In the embodiment depicted in fig. 4, for example plasma processing system 1b can be similar to the embodiment of Fig. 2 or Fig. 3, except those referring to Fig. 2 and the described assembly of Fig. 3, also further comprise fixing, or mechanically or electric rotating magnetic field system 60, so that may increase plasma density and/or improve the plasma process consistency.And, also controller 14 and field system 60 can be connect, to adjust rotary speed and field intensity.The design of rotating magnetic field and enforcement are known to the person skilled in the art.
In the embodiment depicted in fig. 5, for example plasma processing system 1c can be similar to the embodiment of Fig. 2 or Fig. 3, and may further include top electrode 70, RF power can be attached on the electrode 70 from RF generator 72 by impedance matching network 74.Can be from 0.1MHz to 200MHz with the RF power application in the general frequency of top electrode.In addition, can be from 0.1MHz to 100MHz with power application in the general frequency of bottom electrode.And, controller 14 and RF generator 72 and impedance matching network 74 are connect, so that the application of control RF power on top electrode 70.The design of top electrode and enforcement are known to the person skilled in the art.
In the embodiment depicted in fig. 6, for example plasma processing system 1d can be similar to the embodiment of Fig. 2 and Fig. 3, and may further include an induction coil 80, by impedance matching network 84 RF power is coupled on this induction coil 80 via RF generator 82.RF power is coupled to plasma process zone 15 by dielectric window (not illustrating) from induction coil 80 inductions.Can be from 10MHz to 100MHz with the RF power application in the general frequency of induction coil 80.Similarly, can be from 0.1MHz to 100MHz with power application in the general frequency of chuck electrode.In addition, can use the Faraday guard shield (not illustrating) of trough of belt to reduce the capacitive coupling between induction coil 80 and plasma.And, controller 14 and RF generator 82 and impedance matching network 84 are connect, with the application of power controlling on induction coil 80.In another embodiment, induction coil 80 can be " spiral " coil or " pancake " coil, gets in touch with above-mentioned plasma process zone 15, as in transformer coupled plasma (TCP) reactor.The design in inductively coupled plasma (ICP) source or transformer coupled plasma (TCP) source and enforcement are known to the person skilled in the art.
In addition, plasma can use electron cyclotron resonance (ECR) to generate.But in another embodiment, plasma is to be generated by the emission of Helicon ripple.Yet in another embodiment, plasma is to be generated by the surface wave of propagating.Above-mentioned each plasma source all is known to the person skilled in the art.
In following discussion, a kind of method of using plasma arc processing apparatus to carry out the organic ARC layer of etching has been proposed.For example, plasma arc processing apparatus can comprise as in multiple element and the combination thereof of Fig. 2 described in Fig. 6.
In one embodiment, the method for the organic ARC layer of etching comprises NH 3And appropriate hydrocarbon gas, as C 2H 4, CH 4, C 2H 2, C 2H 6, C 3H 4, C 3H 6, C 3H 8, C 4H 6, C 4H 8, C 4H 10, C 5H 8, C 5H 10, C 6H 6, C 6H 10, C 6H 12Deng at least a.For example, process parameters range can comprise the chamber pressure of 20 to 1000 millitorrs, 50 to the NH of 1000sccm scope 3The process gas flow velocity, 5 to the hydrocarbon process gas flow velocitys of 100sccm scope, 500 to top electrode (as the element among Fig. 5 70) the RF bias voltage of 2000W scope with at 10 bottom electrode (as the element among Fig. 5 20) RF bias voltages to the 500W scope.Equally, upper electrode bias frequency can be from 0.1MHz to 200MHz, as 60MHz.In addition, lower electrode bias frequency can be from 0.1MHz to 100MHz, as 2MHz.
In one embodiment, a kind of method of using as carrying out the organic ARC layer of etching at the plasma arc processing apparatus described in Fig. 5 has been proposed.But the method for this discussion is not restricted to this exemplary explanation on scope.Table I represented use following model's process in organic ARC layer through etching the critical dimension of feature: chamber pressure 100 millitorrs; Top electrode RF power=1200W; Bottom electrode RF power 100W; Process gas flow velocity NH 3/ C 2H 4=450/50sccm; Electrode spacing between the upper surface of the lower surface (see figure 5) of electrode 70 and the substrate 25 on the substrate holder 20 is 55mm; Bottom electrode temperature (as the substrate holder among Fig. 5 20)=20 ℃; Upper electrode temperature (as the electrode among Fig. 5 70)=60 ℃; 50 ℃ of chamber wall temperature; Backside helium Center of Pressure/edge=10/35 holder; Etching period with 180 seconds.
NH 3/C 2H 4 The center The edge
Top PR residue 478nm 493nm
Bottom CD/ bias voltage-MC 154/6nm 147/-3nm
Bottom CD/ bias voltage-CA 138/-5nm 134/-9nm
Table I (photoresist-PR; Critical dimension-CD)
Table I has been reported some results (for hard contact (MC) and contact (CA)), thickness as the residue photoresist after the etching of ARC layer, the critical dimension that is used for the top and the bottom of ARC feature, and critical dimension bias voltage, wherein bias voltage is represented the variation from the top to the bottom among the CD (be that back bias voltage represents that CD reduces, positive bias represents that CD increases).In addition, the data of center and peripheral have been reported.Data have shown that this method keeping CD and may be used to reduce success aspect the CD.
In another embodiment, the chemical substance of this method (chemistry) may further include helium (He).Helium is referred to the roughness that can reduce feature side-wall in this method.
Usually, can use experimental design (DOE) technology to determine etching period; But, also can use the terminal point inspection really to measure etching period.A kind of possible end-point detection method is the monitoring part emission spectrum from the plasma zone, its since the etching of ARC layer show near finishing and contact to make when changing aspect the plasma chemistry basically with the primer film.For example, indicate the part spectrum of these variations to comprise the wavelength of 387.2nm (carbon-nitrogen (CN)), and it can be measured with optics emission spectrometer (OES).The emission level corresponding to those frequencies pass specific threshold value (as reduce to basically zero or increase to more than the specific level) after, terminal point can be regarded as and finish.Also can use other that wavelength of endpoint information is provided.And etching period can extend to and comprise that is crossed an etching period, wherein crosses the etching period section and constitutes the portion of time of etch process initial sum between the time relevant with end point determination (promptly 1 to 100%).
The flow chart of a kind of method of etching anti reflection paint (ARC) layer is carried out in Fig. 7 representative in substrate in plasma processing system according to one embodiment of the invention.Program 400 is initial with 410, in 410 process gas is incorporated in the plasma processing system, and wherein process gas comprises and contains ammonia (NH 3) gas and passivation gas.For example, passivation gas can comprise appropriate hydrocarbon gas, as C 2H 4, CH 4, C 2H 2, C 2H 6, C 3H 4, C 3H 6, C 3H 8, C 4H 6, C 4H 8, C 4H 10, C 5H 8, C 5H 10, C 6H 6, C 6H 10And C 6H 12In at least a.In addition, process gas may further include helium (He).
In 420, in plasma processing system, use as in any system described in Fig. 2 to Fig. 6 or their combination, generate plasma by process gas.
In 430, comprise that the substrate of ARC layer is exposed under the plasma that generates in 420.After first period, program 400 stops.For example, the substrate that has an ARC layer exposes first period under plasma to the open air, indicates to the required time of ARC layer with required time of etching ARC layer or with the photoresist design transfer usually.Usually, the photoresist design transfer was scheduled to by the first required period of the thickness of ARC layer.In addition, first period also can be further by second period or etching period section increase excessively.As mentioned above, cross the part that etching period can comprise first period, as 1 to 100%, this crosses the etched prolongation that the etching period section can comprise that overstep of end point detects.
Fig. 8 representative is according to another embodiment of the invention, is used to form double-deck mask and is used for a kind of method at plasma processing system etch thin film in substrate.This method is with flow chart 500 signal, with 510 initial, forms film in substrate.Film can comprise an oxide skin(coating), as silicon dioxide (SiO 2), it can generate by the various methods of chemical vapour deposition (CVD) that comprise.
In 520, anti reflection paint (ARC) layer forms in substrate, is covered on the film.For example the ARC layer can be to use organic ARC layer that conventional art such as spin coating system form.
In 530, the photoresist pattern forms in substrate, is covered on the ARC layer.Photoresist film can use traditional technology such as photoresist spin coating system to generate.Its pattern can be by using conventional art such as stepping micro-lithography system and developer to generate in light actuating resisting corrosion film.
In 540, the photoresist design transfer is arrived the ARC layer, so that generate double-deck mask.The method etching technique of being used in is finished this design transfer, and wherein engraving method carries out in plasma processing system, and this system of processing is used and comprised and contain ammonia (NH 3) gas and a kind of process gas of passivation gas.For example, passivation gas can comprise appropriate hydrocarbon gas, as C 2H 4, CH 4, C 2H 2, C 2H 6, C 3H 4, C 3H 6, C 3H 8, C 4H 6, C 4H 8, C 4H 10, C 5H 8, C 5H 10, C 6H 6, C 6H 10And C 6H 12In at least a.
In addition, aforesaid process gas may further include helium (He).In plasma processing system, generate plasma as Fig. 2 to any system described in Fig. 6 by the process gas use, and will comprise that the substrate of ARC layer is exposed under the plasma of generation.The substrate that will have the ARC layer is exposed to first period of plasma, usually by the required time of etching ARC layer, or with the photoresist design transfer to the required time of ARC layer and decide.Usually, the photoresist design transfer was scheduled to by the required time of the thickness of ARC layer.But general first period can or cross the etching period section by second period and further prolong.As mentioned above, cross the portion of time that etching period can comprise first period, as 1 to 100%, this crosses the etched prolongation that the etching period section can comprise that overstep of end point detects.
End view and the vertical view of the multilayer mask that Fig. 9 A and 9B have represented respectively through etching.Feature 600 comprises the sidewall 610 by photosensitive layer 640 and ARC layer 650, in etching process, has generated matsurface 620 on photosensitive layer and ARC layer.Passivation gas has promoted the generation of passivating film 630, to eliminate the matsurface 620 through the etched multi-layer mask; See Fig. 9 B.
Describe in detail although have only some embodiment of the present invention to do as above, the person skilled in the art can recognize at an easy rate that also many in embodiments improvement all are fine, and does not substantially deviate from the instruction and the advantage of novelty of the present invention.Correspondingly, mean that all these improvement include within the scope of the invention.

Claims (35)

1, a kind of method that is used for the suprabasil anti reflection paint of etching (ARC) layer in plasma processing system comprises:
Introducing comprises that one or more contain ammonia (NH jointly 3) gas and the process gas of passivation gas;
In described plasma process system, generate plasma by described process gas; With
Described substrate is exposed in the described plasma.
2, the method for claim 1, wherein said passivation gas comprises appropriate hydrocarbon gas.
3, the method for claim 1, wherein said passivation gas comprises C 2H 4, CH 4, C 2H 2, C 2H 6, C 3H 4, C 3H 6, C 3H 8, C 4H 6, C 4H 8, C 4H 10, C 5H 8, C 5H 10, C 6H 6, C 6H 10And C 6H 12In at least a.
4, as claim 1,2 or 3 described methods, wherein said process gas also comprises helium.
5, the method for claim 1, the wherein said process that described substrate is exposed under the described plasma is finished in very first time section.
6, method as claimed in claim 5, wherein said very first time section is determined by end point determination.
7, method as claimed in claim 6, wherein said end point determination comprises optical emission spectroscopy.
8, method as claimed in claim 5, wherein said very first time section be corresponding to the time of the described ARC layer of etching, and prolonged by second time period.
9, method as claimed in claim 8, wherein said second time period is the part of described very first time section.
10, a kind of method that generates double-deck mask with etch thin film in substrate comprises:
In described substrate, generate described film;
On described film, generate anti reflection paint (ARC) layer;
On described ARC layer, generate the photoresist pattern; With
Use comprises that one or more contain ammonia (NH jointly 3) gas and the process gas of passivation gas, by the described ARC layer of etching with described photoresist design transfer to described ARC layer.
11, method as claimed in claim 10, wherein said passivation gas comprises appropriate hydrocarbon gas.
12, method as claimed in claim 10, wherein said passivation gas comprises C 2H 4, CH 4, C 2H 2, C 2H 6, C 3H 4, C 3H 6, C 3H 8, C 4H 6, C 4H 8, C 4H 10, C 5H 8, C 5H 10, C 6H 6, C 6H 10And C 6H 12In at least a.
13, as claim 10,11 or 12 described methods, wherein said process gas also comprises helium.
14, method as claimed in claim 10, very first time section has been carried out in the described etching of wherein said substrate.
15, method as claimed in claim 14, wherein said very first time section is determined by end point determination.
16, method as claimed in claim 15, wherein said end point determination comprises optical emission spectroscopy.
17, method as claimed in claim 14, wherein said very first time section be corresponding to the time of the described ARC layer of etching, and prolonged by second time period.
18, method as claimed in claim 17, wherein said second time period is the part of described very first time section.
19, a kind of plasma processing system that is used for etching anti reflection paint (ARC) layer in substrate comprises:
Be used to promote to generate the plasma process chamber of plasma by process gas; With
Be attached to described plasma process chamber and be set and use described process gas to carry out the controller of process, wherein said process gas comprises that one or more contain ammonia (NH jointly 3) gas and passivation gas.
20, system as claimed in claim 19, wherein said system further comprise the diagnostic system that connects with described plasma process chamber and connect with described controller.
21, system as claimed in claim 20 wherein sets described diagnostic system and relates to signal by the light of described plasma emission with reception.
22, system as claimed in claim 19, wherein said passivation gas comprises appropriate hydrocarbon gas.
23, system as claimed in claim 19, wherein said passivation gas comprises C 2H 4, CH 4, C 2H 2, C 2H 6, C 3H 4, C 3H 6, C 3H 8, C 4H 6, C 4H 8, C 4H 10, C 5H 8, C 5H 10, C 6H 6, C 6H 10And C 6H 12In at least a.
24, as claim 19,22 or 23 described systems, wherein said process gas also comprises helium.
25, system as claimed in claim 20, wherein said controller makes described substrate be exposed to described section of the following very first time of plasma.
26, system as claimed in claim 25, wherein said very first time section are determined by end point determination, and end point determination is determined by described diagnostic system.
27, system as claimed in claim 26, wherein said diagnostic system comprises an optical emitting spectrometer device.
28, system as claimed in claim 25, wherein said very first time section be corresponding to the time of the described ARC layer of etching, and prolonged by second time period.
29, system as claimed in claim 28, wherein said second time period is the part of described very first time section.
30, a kind of smooth method of sidewall that makes suprabasil multilayer mask in plasma processing system comprises:
Introducing comprises that one or more contain ammonia (NH jointly 3) gas and the process gas of passivation gas;
In described plasma processing system, generate plasma by described process gas; With
Described substrate is exposed under the described plasma, and wherein said passivation gas promotes to generate passivating film on the described sidewall of described multilayer mask, to eliminate the matsurface of described sidewall.
31, method as claimed in claim 30, wherein said passivation gas comprises appropriate hydrocarbon gas.
32, method as claimed in claim 30, wherein said passivation gas comprises C 2H 4, CH 4, C 2H 2, C 2H 6, C 3H 4, C 3H 6, C 3H 8, C 4H 6, C 4H 8, C 4H 10, C 5H 8, C 5H 10, C 6H 6, C 6H 10And C 6H 12In at least a.
33, as claim 30,31 or 32 described methods, wherein said process gas also comprises helium.
34, double-deck mask comprises:
The anti-reflective coating bed of material;
The photosensitive layer that on the anti-reflective coating bed of material, generates, photosensitive layer and antireflecting coating have been determined the feature by them; With
The passivation layer that on the sidewall of feature, forms.
35, the mask of claim 34, wherein passivation layer has formed the smooth side wall of feature.
CNB2004800013788A 2003-03-31 2004-01-21 Method and apparatus for multilayer photoresist dry development Expired - Fee Related CN100423192C (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US45843003P 2003-03-31 2003-03-31
US60/458,430 2003-03-31
US60/484,225 2003-05-05
US10/640,577 2003-08-14

Publications (2)

Publication Number Publication Date
CN1717778A true CN1717778A (en) 2006-01-04
CN100423192C CN100423192C (en) 2008-10-01

Family

ID=35822587

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2004800013788A Expired - Fee Related CN100423192C (en) 2003-03-31 2004-01-21 Method and apparatus for multilayer photoresist dry development

Country Status (1)

Country Link
CN (1) CN100423192C (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101584027B (en) * 2007-01-10 2011-07-13 朗姆研究公司 Line end shortening reduction during etch
US8668805B2 (en) 2006-09-14 2014-03-11 Lam Research Corporation Line end shortening reduction during etch
CN106904567A (en) * 2015-12-23 2017-06-30 中芯国际集成电路制造(上海)有限公司 A kind of semiconductor devices and preparation method thereof, electronic installation

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5486883B2 (en) * 2009-09-08 2014-05-07 東京エレクトロン株式会社 Processing method of workpiece
US9336998B2 (en) * 2014-05-09 2016-05-10 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for dynamic control of ion beam energy and angle

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI246633B (en) * 1997-12-12 2006-01-01 Applied Materials Inc Method of pattern etching a low k dielectric layen
US6599437B2 (en) * 2001-03-20 2003-07-29 Applied Materials Inc. Method of etching organic antireflection coating (ARC) layers
US6630407B2 (en) * 2001-03-30 2003-10-07 Lam Research Corporation Plasma etching of organic antireflective coating

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8668805B2 (en) 2006-09-14 2014-03-11 Lam Research Corporation Line end shortening reduction during etch
CN101584027B (en) * 2007-01-10 2011-07-13 朗姆研究公司 Line end shortening reduction during etch
CN106904567A (en) * 2015-12-23 2017-06-30 中芯国际集成电路制造(上海)有限公司 A kind of semiconductor devices and preparation method thereof, electronic installation
CN106904567B (en) * 2015-12-23 2018-09-21 中芯国际集成电路制造(上海)有限公司 A kind of semiconductor devices and preparation method thereof, electronic device

Also Published As

Publication number Publication date
CN100423192C (en) 2008-10-01

Similar Documents

Publication Publication Date Title
CN100557776C (en) Be used for utilizing based on SF 6The method and system of chemical substance etching doped silicon
CN100511621C (en) System and method for etching a mask
US7846645B2 (en) Method and system for reducing line edge roughness during pattern etching
WO2008073379A1 (en) Method and apparatus for ashing a substrate using carbon dioxide
JP2001526463A (en) System and method for etching an organic anti-reflective coating from a substrate
CN113614880A (en) Multi-space patterning scheme
US7465673B2 (en) Method and apparatus for bilayer photoresist dry development
KR100989107B1 (en) Method and apparatus for multilayer photoresist dry development
US7291446B2 (en) Method and system for treating a hard mask to improve etch characteristics
TWI286840B (en) Method and system for etching a gate stack
US7344991B2 (en) Method and apparatus for multilayer photoresist dry development
CN100423192C (en) Method and apparatus for multilayer photoresist dry development
CN1871554A (en) Method and apparatus for removing photoresist from a substrate
US8048325B2 (en) Method and apparatus for multilayer photoresist dry development
CN100521088C (en) Method and apparatus for bilayer photoresist dry development
US7767926B2 (en) Method and system for dry development of a multi-layer mask using sidewall passivation and mask passivation
US20050136666A1 (en) Method and apparatus for etching an organic layer
EP4325550A1 (en) Substrate processing method
CN101958245A (en) Etching method

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20081001

Termination date: 20140121