CN118691483A - Pattern modeling system and pattern modeling method - Google Patents

Pattern modeling system and pattern modeling method Download PDF

Info

Publication number
CN118691483A
CN118691483A CN202410317990.5A CN202410317990A CN118691483A CN 118691483 A CN118691483 A CN 118691483A CN 202410317990 A CN202410317990 A CN 202410317990A CN 118691483 A CN118691483 A CN 118691483A
Authority
CN
China
Prior art keywords
image data
pattern
image
dnn
region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202410317990.5A
Other languages
Chinese (zh)
Inventor
许建
金银珠
梁宰圆
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from KR1020230039293A external-priority patent/KR20240143526A/en
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of CN118691483A publication Critical patent/CN118691483A/en
Pending legal-status Critical Current

Links

Landscapes

  • Image Analysis (AREA)

Abstract

A pattern modeling system and a pattern modeling method are provided. The pattern modeling method comprises the following steps: generating first image data based on a sample pattern learned by a Deep Neural Network (DNN), generating second image data by measuring the first image data, determining a region of the second image data to which a weight filter is to be applied, training the DNN by applying the weight filter to the determined region of the second image data, and predicting at least one pattern image based on a result of training the DNN.

Description

Pattern modeling system and pattern modeling method
The present application is based on and claims priority of korean patent application No. 10-2023-0039293 filed in the korean intellectual property office on 24 th month 2023, the disclosure of which is incorporated herein by reference in its entirety.
Technical Field
The present disclosure relates to pattern modeling systems and pattern modeling methods, and in particular, to systems and methods capable of performing training of a pattern modeling system by applying a weighting filter.
Background
Photomasks are used to print Integrated Circuit (IC) patterns on wafers in photolithographic processes in the manufacture of semiconductor devices. The photolithography process may generally use a method of transferring a mask pattern formed on a photomask to a wafer through an optical lens. The photomask may include transparent regions and opaque regions. The transparent region may be formed by etching a metal layer on the photomask, and light may pass through the transparent region. On the other hand, opaque regions may not allow light to pass through. The mask pattern may be formed of transparent regions and opaque regions. Light emitted by the light source may be emitted onto the wafer through the mask pattern of the photomask, and thus, the IC layout may be printed on the wafer.
As the integration of semiconductor devices increases, the distance between mask patterns of a photomask may become shorter, and the width of a transparent region may become very narrow. Because of this proximity, interference and diffraction of light may occur, and thus, a distorted pattern different from the desired pattern may be printed on the wafer.
The information disclosed in this background section is already known to or is derived by the inventors before or during the course of carrying out an embodiment of the application or is technical information obtained during the course of carrying out an embodiment. It may therefore contain information that does not constitute prior art known to the public.
Disclosure of Invention
A pattern modeling system capable of improving prediction of measurement data is provided.
Additional aspects will be set forth in part in the description which follows, and in part will be obvious from the description, or may be learned by practice of the presented embodiments.
According to an aspect of an example embodiment, a pattern modeling method of predicting image data may include: generating first image data based on a sample pattern learned by a Deep Neural Network (DNN); generating second image data by measuring the first image data; determining a region of the second image data to which the weighting filter is to be applied; training the DNN by applying a weight filter to the determined region of the second image data; and predicting at least one pattern image based on the result of training the DNN.
According to an aspect of an example embodiment, a pattern modeling system for predicting image data may include: a memory storing instructions; and at least one processor configured to execute the instructions to: generating first image data based on a sample pattern learned by DNN, the DNN including a plurality of layers, generating second image data by measuring the first image data, determining an area of the second image data to which a weight filter is to be applied, training the DNN by applying the weight filter to the determined area of the second image data, and predicting at least one pattern image based on a result of training the DNN.
According to an aspect of the example embodiments, a non-transitory computer-readable storage medium may store instructions that, when executed by at least one processor, cause the at least one processor to: generating first image data based on a sample pattern learned by a DNN, the DNN comprising a plurality of layers; generating second image data by measuring the first image data; determining a region of the second image data to which the weighting filter is to be applied; training the DNN by applying a weight filter to the determined region of the second image data; and predicting at least one pattern image based on a result of training the DNN, the weight filter being applied to an area corresponding to the characteristic portion of the second image data.
Drawings
Additional aspects will be set forth in part in the description which follows and, in part, will be obvious from the description, or may be learned by practice of the presented embodiments, with reference to the drawings, wherein:
FIG. 1 is a block diagram of a pattern modeling system according to an embodiment;
FIG. 2 is a block diagram of a pattern modeling system according to an embodiment;
FIG. 3 is a flow chart illustrating a modeling method performed by a pattern modeling system according to an embodiment;
Fig. 4 is a diagram illustrating a method of generating first image data according to an embodiment;
Fig. 5 is a diagram illustrating a method of determining a Weight Filter Area (WFA) in second image data according to an embodiment;
FIG. 6A is a diagram illustrating a method of determining WFA, according to an embodiment;
FIG. 6B is a diagram of an image to which WFA is applied, according to an embodiment;
Fig. 6C illustrates an example of generating first image data and second image data from a sample pattern according to an embodiment;
FIG. 7 shows simulation results of applying a weighting filter according to an embodiment;
FIG. 8 illustrates an example of a loss function module according to an embodiment;
FIG. 9 shows an example of a Deep Neural Network (DNN) according to an embodiment;
FIG. 10 is a diagram illustrating an example of an application of a pattern modeling system according to an embodiment;
Fig. 11 is a flowchart illustrating a method of manufacturing a semiconductor device using a pattern modeling system according to an embodiment; and
Fig. 12 is a flowchart illustrating a method of manufacturing an Integrated Circuit (IC) according to an embodiment.
Detailed Description
Hereinafter, disclosed example embodiments will be described in detail with reference to the accompanying drawings. The same reference numerals are used for the same components in the drawings, and redundant descriptions thereof will be omitted. The embodiments described herein are example embodiments, so that the disclosure is not limited thereto, and may be implemented in various other forms.
As used herein, when a statement such as "at least one of … …" follows a column of elements, the statement modifies the entire column of elements without modifying individual elements in the column. For example, the expression "at least one of a, b and c" is understood to include: only a, only b, only c, both a and b, both a and c, both b and c, or all of a, b and c.
FIG. 1 is a block diagram of a pattern modeling system 100 according to an embodiment. As shown in fig. 1, the pattern modeling system 100 may include a memory 110 and a processor 120. However, the configuration shown in FIG. 1 is an example for implementing an embodiment, and other hardware and software configurations may be additionally included in the pattern modeling system 100 as would be understood by one of ordinary skill in the art in light of the disclosure herein. According to an example, the pattern modeling system 100 may be implemented in the form of an electronic device.
The pattern modeling system 100 according to the disclosure may be applied in a simulation operation of a semiconductor patterning process. According to an example, the semiconductor patterning process may be a photolithography process. The pattern modeling system 100 according to the disclosure may learn simulation results of sample patterns used in semiconductor patterning processes to generate image data or the like having highly accurate predictions about critical dimensions (critical dimension, CD). According to the disclosure, the pattern modeling system 100 may include a learning model and may be trained by applying an image to which a weighting filter is applied to a specific region. Thus, the pattern modeling system 100 may generate image data with a high accuracy prediction with respect to a particular region.
The memory 110 may store commands or data related to at least one other component of the pattern modeling system 100. Further, the memory 110 may be accessed by the processor 120, and the reading/writing/modifying/deleting/updating of data may be performed by the processor 120.
In the disclosure, the term memory may include a memory 110, a Read Only Memory (ROM) in the processor 120 or a Random Access Memory (RAM) in the processor 120, or a memory card (e.g., a micro Secure Digital (SD) card or memory stick) installed in the pattern modeling system 100. In addition, the memory 110 may store programs and data for configuring various screens to be displayed on a display area of a display.
According to an example, the memory 110 may include: a non-volatile memory capable of maintaining stored information even if power supply is interrupted, and a volatile memory that requires a continuous power supply to maintain stored information. For example, the nonvolatile memory may be implemented as at least one of one-time programmable ROM (OTPROM), programmable ROM (PROM), erasable Programmable ROM (EPROM), electrically Erasable Programmable ROM (EEPROM), mask ROM, or flash ROM, and the volatile memory may be implemented as at least one of Dynamic RAM (DRAM), static RAM (SRAM), or Synchronous Dynamic RAM (SDRAM).
According to an example, the memory 110 may store a pre-trained neural network model. The pre-trained neural network model may be a model that is sent to the pattern modeling system 100 after training in an external server. Alternatively, the pre-trained neural network model may be a model trained within the pattern modeling system 100. The neural network model may include multiple layers and may include weight data learned by a server. According to an example, the neural network model may be a Generated Antagonism Network (GAN) model. In the disclosure, the expressions of the neural network model and the expressions of the learning model are used interchangeably.
The processor 120 may be electrically connected to the memory 110 to control all operations and functions of the pattern modeling system 100. The processor 120 may use the output data and the labels to obtain the loss function, the output data being obtained by inputting training data into a pre-trained neural network model stored in memory, the labels corresponding to the training data. The labels may represent actual values that will be output when training data is input to the neural network model, and the loss function may represent a function that quantifies differences between the output data and the labels.
The processor 120 may obtain the magnitude of the weight change for each of the plurality of layers included in the neural network model based on the loss function. The weight change for each of the plurality of layers may represent a value that needs to be changed in order to minimize the value of the loss function. The magnitude of the weight change may be expressed as a weight loss, the magnitude of the inverse derivative, or the magnitude of the differential value (e.g., the L2 norm value of the derivative).
The processor 120 according to the disclosure may calculate a loss function based on an image to which a weight filter is applied, train a neural network model stored in the memory 110 based on the loss function, update the magnitude of the weight change, and obtain a prediction of the specific region.
The functions related to Artificial Intelligence (AI) according to the disclosure may be performed by the processor 120 and the memory 110. Processor 120 may include one processor or multiple processors. The processor or processors may include general-purpose processors (such as Central Processing Units (CPUs), application Processors (APs), digital Signal Processors (DSPs), etc.), graphics-specific processors (such as Graphics Processors (GPUs), vision Processors (VPUs), etc.), or AI-specific processors (such as Neural Processors (NPUs)).
The processor 120 or processors 120 may process the input data according to predefined operating rules or AI models stored in the memory 110. Alternatively, when the processor or processors include an AI-specific processor, the AI-specific processor can be designed with hardware architecture specific to processing a particular AI model.
Predefined operating rules or AI models may be generated through training. When generating an AI model through training, this may indicate that the underlying AI model is trained based on a learning algorithm using multiple training data sets, such that a predefined operating rule or AI model is generated that is set to perform the desired characteristic (or purpose). Such training may be performed by the device on which the AI according to the disclosure is implemented, or by a separate server and/or system.
Examples of learning algorithms may include, but are not limited to, supervised learning, unsupervised learning, semi-supervised learning, or reinforcement learning.
The AI model may include a plurality of neural network models, and the neural network model may include a plurality of layers. Each of the plurality of neural network layers may have a plurality of weight values, and the neural network operation may be performed by an operation between an operation result of a previous layer and the plurality of weight values. The plurality of weight values for the plurality of neural network layers may be optimized by training results of the AI model. For example, the plurality of weight values may be updated to reduce or minimize weight loss values (e.g., magnitudes of weight changes) or cost values obtained in the AI model during the training process.
FIG. 2 is a block diagram of a pattern modeling system according to an embodiment.
Referring to fig. 2, the pattern modeling system 200 may include a Deep Neural Network (DNN) 210, a loss function module 220, and a data preprocessor 230. According to an example, DNN 210 may include a learning model. According to one example, DNN 210 may include a GAN model. DNN 210 may include a generator that generates a pseudo-image from input data and a classifier that identifies the pseudo-image. For example, the generator may output a pseudo image and the classifier may output a probability of being a true image (or a probability of being a pseudo image). The classifier may be trained to identify the pseudo-image based on the real image and the pseudo-image, and the generator may be trained such that the classifier identifies the pseudo-image generated by the generator as the real image. Thus, the trained generator may generate a pseudo image that is very similar to the real image.
For example, the input data received by the generator may be an image extracted from layout data obtained by designing a pattern to be formed by a semiconductor process design. The generator may generate a pattern expected to be formed on the semiconductor substrate by performing the semiconductor process based on the layout data as the output image by using the input data. The classifier may compare the output image with the pattern image. The pattern image may be an image of an actual pattern formed by performing a semiconductor process based on layout data to which an Optical Proximity Correction (OPC) model is applied, and may be a Scanning Electron Microscope (SEM) image or a Transmission Electron Microscope (TEM) image.
DNN 210 may include multiple layers, and weight data between the multiple layers may be updated by loss function module 220. The loss function module 220 may be configured to implement processing components for various operations corresponding to the loss function as described in detail throughout the specification (e.g., as described in detail below with reference to fig. 9). In the disclosure, DNN and deep learning networks may be used interchangeably. According to an example, the data learned by DNN 210 may be represented as training data.
According to an example, the loss function module 220 may calculate a difference between the image data learned by the DNN 210 and the image data processed by the data pre-processor 230 and transmit the difference to the DNN 210. According to an example, the image data to be calculated in the loss function module 220 may be image data to which a weight filter is to be applied by the data pre-processor 230. This is described in detail below with reference to fig. 8.
According to an example, the data preprocessor 230 may generate and preprocess data regarding a sample pattern that is a learning target of the pattern modeling system 200. According to an example, the data preprocessor 230 may generate image data corresponding to a sample pattern and determine an area of the generated image data to which a weight filter is to be applied. The data preprocessor 230 may determine an area where the weight filter is to be applied and apply the weight filter to the generated image data. This will be described in detail with reference to fig. 4A to 7.
According to an example, the image data that is the learning target of the pattern modeling system 200 may include at least one of a design layout, a resist image (RESIST IMAGE), a aerial image (AERIAL IMAGE), a slope map, a density map, or a light map. The design layout may represent a bitmap image or any other suitable format of image configured to achieve a target pattern on the wafer. The resist image may be an image of photoresist derived from the design layout by simulation. The aerial image may be an image derived from the design layout that represents the intensity distribution of the exposure light reaching the photoresist. The slope map may be an image in which the value of each pixel included in the slope map is the gradient of each pixel of the aerial image. The density map may be an image in which the bit value of a particular pixel is determined by the pattern density in the vicinity of the particular pixel. The photon map may be an image obtained by simulating the number of photons reaching each pixel during exposure.
In some cases, the design layout, resist image, aerial image, slope map, density map, or light map in the training operation of DNN 210 may be referred to as a training dataset. The training dataset may be related to the design layout that has been transferred onto the wafer and may include SEM images.
According to an example, a semiconductor process may be performed based on layout data. Various patterns may be formed on a semiconductor substrate by an exposure process that transfers layout data to generate a mask and an etching or deposition process that uses the mask generated in the exposure process. In order to minimize the difference between layout data and patterns formed on a semiconductor substrate, proximity correction may be applied. According to the disclosure, the pattern modeling system 200 may learn image data capable of minimizing a difference and generate prediction data to reduce errors when performing proximity correction. When a sample pattern is received, the pattern modeling system 200 according to the disclosure may predict a nano-geometric research (NGR) measurement image corresponding to the corresponding pattern. According to the disclosure, in order to generate a model for better predicting the CD of a specific main pattern while using the deep learning model training method, the pattern modeling system 200 may perform training by applying the weight filter described in the disclosure so that the deep learning model may increase the predictive power of the CD of the measurement data. The measurement data may be data measured by a sample pattern included in the pattern modeling system 200. The measurement data may reflect results that the pattern modeling system 200 has learned. A deep learning model that maintains accurate CD values for an anchor (anchor) pattern or a specific location may be generated according to the disclosed pattern modeling system 200.
FIG. 3 is a flow chart illustrating a modeling method performed by a pattern modeling system according to an embodiment.
In operation S310, first image data may be generated based on imaging information about a sample pattern. The sample pattern may be a pattern for generating an image as a learning target of the pattern modeling system. The sample pattern may be a pattern of a photomask that is a prediction target of the pattern modeling system. The shape of the sample pattern is not limited to a specific shape, and may be provided in various shapes. The first image data may represent image data that may be formed by a sample pattern. According to an example, the first image data may be image data obtained by simulating a sample pattern.
In operation S320, second image data may be generated by measuring the first image data. According to an example, the first image data may be image data obtained by simulating a lithography process using a sample pattern. The second image data may be image data obtained as a result of performing simulation measurement by applying various conditions to the first image data. According to an example, the second image data may be image data obtained as a result of measuring the first image data by differently applying process conditions, focus positions, doses, and the like to the first image data.
According to an example, in operation S310 or operation S320, the first image data and the second image data may be image data obtained by converting a target layout or measurement outline image into a dither image.
In operation S330, an area of the second image data to which the weight filter is to be applied may be determined. In the disclosure, a region to which a weight filter is to be applied may be described interchangeably with terms such as a weight filter region (WFA). The region to which the weighting filter is to be applied may represent a region with higher weight to be learned by the disclosed pattern modeling system. According to an example, the region to which the weight filter is to be applied may be different according to the characteristics of the second image data. According to an example, the region to which the weight filter is to be applied may be an anchor pattern. Alternatively, the region to which the weight filter is to be applied may be any one of the main patterns included in the modeling system. Alternatively, the region to which the weight filter is to be applied may be a region in which a large distribution is displayed. The region to which the weighting filter is to be applied is not standardized and may be a portion of the image data that is desired to be emphasized and learned.
In operation S340, DNN training may be performed by applying a weight filter to the second image data and the reference image data. According to an example, when a region to which a weight filter is to be applied is determined, a weight filter image in which weights are applied to the corresponding region may be generated. According to an example, the reference image data may be first image data or pre-stored sample image data. Alternatively, the reference image data may be contrast target data output through training. According to an example, the loss function may be determined by applying a weight filter to each of the reference image data and the second image data and comparing differences therebetween, and DNN training may be performed. Thus, training may be performed by applying a weight filter to the region.
In operation S350, a pattern image of the pattern of the semiconductor device may be predicted based on the DNN training result. According to an example, a pattern image obtained as a DNN training result with respect to a sample pattern may be an image with higher accuracy than before training with respect to a region to which a weight filter is to be applied.
Referring to fig. 3, regarding image data obtained through simulation of a sample pattern, an area to which a weight filter is to be applied may be determined, and loss function calculation and DNN training may be performed based on the image data to which the weight filter is applied. Thus, prediction data with high accuracy regarding the sample pattern can be output, and prediction of the pattern image can be sufficiently ensured.
Fig. 4 is a diagram illustrating a method of generating first image data according to an embodiment.
Referring to fig. 4, (a) shows an example of the sample pattern SP, and (b) and (c) show first image data D1 and D1' generated from the sample pattern SP.
According to an example, the sample pattern SP may be provided by a designed mask layout. The mask layout may include a sample pattern SP required to print an Integrated Circuit (IC) on a wafer. The sample pattern SP may define a planar shape of a cell pattern to be formed in a cell array region of the wafer.
According to an example, the image data generated by the sample pattern SP may be an image obtained by SEM. According to an example, SEM images may be generated from NGR devices or SEM devices manufactured by NGR corporation. The SEM image may be an image of a photoresist pattern generated by post-development inspection (ADI) or an image of an actual circuit pattern generated by post-cleaning inspection (ACI).
In the sample pattern SP in (a), it can be confirmed that the CD is measured as 86.24. According to an example, in (b), an ACI (ADI) contour image for training may be generated from the sample pattern SP. According to an example, the ACI (ADI) contour image for training in (b) may be transferred as a layout file in an NGR (SEM) device. The layout file may be a Graphic Design System (GDS) file. For deep learning training, a dithering (dithering) process to convert the layout file into image data may be required. Thereby, the first image data D1' in (c) can be generated. That is, the first image data D1' in (c) may be image data obtained by dithering the GDS file.
According to an example, when dithering is performed from the GDS file to the image data, coordinate information as a location of the measurement CD disappears. The GDS file displays information of all polygons as coordinates, but image data is represented in pixels, so the coordinate information disappears.
Therefore, the measurement coordinate information may not be included in the generated first image data D1 and D1'. According to an example, when coordinate information that has disappeared is an anchor pattern responsible for a process reference, accurately predicting the CD of the corresponding location is an important performance index of a model predictive force, and training by emphasizing the CD of the corresponding location may be required.
Fig. 5 is a diagram illustrating a method of determining WFA in second image data according to an embodiment.
According to an example, the second image data D2 of fig. 5 may be an image data result obtained by applying a measured value to the first image data. According to the result of the second image data D2, an area to which the weighting filter is to be applied can be selected. In the example of fig. 5, WFA is shown. Referring to the example of fig. 5, WFA may represent a CD area that may be measured in the second image data D2.
Fig. 6A is a diagram illustrating a method of determining WFA according to an embodiment. Fig. 6B is a diagram of an image to which WFA is applied according to an embodiment.
Referring to fig. 6A, second image data to which WFA is applied is shown on the left side, and image data wfi_1 obtained by applying WFA to the second image data is shown on the right side. In fig. 6B, the second image data to which WFA is applied is shown on the left side, and image data wfi_2 obtained by applying WFA to the second image data is shown on the right side.
Referring to fig. 6A, an example in which an area corresponding to a CD is determined as WFA when measuring the CD in the second image data is shown.
Referring to fig. 6B, an example in which when a distribution is measured in the second image data, a region in which a plurality of distributions are distributed is determined as WFA is shown.
Referring to fig. 6A and 6B, when WFA is determined in the second image data, weight filter images wfi_1 and wfi_2 to which the determined WFA is applied may be generated. The examples of setting WFA shown in fig. 6A and 6B may correspond to some embodiments. According to an example, when WFA is set, the sample pattern SP may be set to have different positions and backgrounds. According to further examples, WFA may be set to be the same as the location of the measurement point. According to further examples, WFA may be set to correspond to the shape of a specific pattern of the second image data. According to further examples, the weights to be applied to the set WFA may be adjusted.
Fig. 6C illustrates an example of generating first image data and second image data from a sample pattern according to an embodiment.
Referring to fig. 6C, the sample pattern SP may be provided in a design form, and a plurality of first image data D1 may be generated by the sample pattern SP. When the plurality of first image data D1 are generated, the second image data D2 may be generated by measuring the plurality of first image data D1.
Fig. 7 shows simulation results of applying a weight filter according to an embodiment.
Referring to fig. 7, by generating an image in which 100% of the weight is reflected in the center of the image and only 5% of the weight is reflected in the background, image data in which the weight is applied to a desired portion can be generated.
According to an example, in order to reflect the weight on a specific position of the image data, the coordinates of the GDS may be first changed to a pixel position. To automate this, the measurement image and the dither image may be indexed. Thereafter, the dithered image having the same index and measurement coordinates may be changed to a pixel location.
According to the disclosure, a weight layer image corresponding to a weight filter may be generated. The weight layer image may be generated in the form of gray levels 0 to 255. This is to multiply the measured dither image with each pixel. The gray level may store values of 0 to 255 (256) in each pixel as integers, and values of 0 to 255 (256) may be easily normalized to 0 to 1.
That is, according to the disclosure, the weight layer image may be used to roll-sum and perform normalization on the measured dither image (normalize). According to an example, when the weight value is intuitively set by the user, the weight value may be set to a normalized value between 0 and 1. Finally, when a weight filter is applied to only the measurement pixels of the weight layer to multiply the dither image of the measurement profile with the weight layer image, only the image of the measurement portion may be retained as a training result. Thus, when generating the weight layer image, it may be necessary to reflect the background value.
Referring to fig. 7, a weight filter image may be generated by setting the weight to 100% and the background value to 5% in WFA, and a specific value may be adjusted.
Depth Convolution GAN (DCGAN) training may then be performed using the corresponding image data. According to the disclosure, optical Proximity Correction (OPC) and Process Proximity Correction (PPC) may be performed using the trained data. That is, the OPC prediction model and the PPC prediction model may be generated using deep learning of CDs effectively matching the anchor pattern and the important pattern.
Fig. 8 shows an example of a loss function module according to an embodiment. Referring to the loss function module 221 of fig. 8, the difference value may be transferred to the DNN 211. The loss function equation implemented by the loss function module 221 of fig. 8 may be represented by equation (1).
Loss(NetGtarget,NetGsim)×Weight (1)
According to an example, netG target may be the second image data obtained as a result of the measurement, and NetG sim may be the reference image data. Weight may represent the Weight layer image to which WFA is applied. According to an example, a weight filter may be applied to each of the second image data and the reference image data. According to an example, a difference value (or difference image) diff_b obtained by convolving the second image data with the weight filter image and convolving the reference image data with the weight filter image may be transmitted to the DNN 211. According to an example, the weight filter image applied to the second image data may be the same as the weight filter image applied to the reference image data.
According to the disclosure, a weight filter image of a specific portion of the extracted region may be generated according to an input size and used to calculate a back-propagation loss function of DNN 211 to reflect the weight of the specific pixel region. According to an example, when changing an image of a contour layout for image-to-image training, an engineer may select an already measured region or a desired region and generate a weight filter image of the same size as the additional input image with respect to the selected region.
The generated weight filter image may be used when comparing a simulation image generated as an output after forward training of DNN 211 is performed with a discriminator image. According to an example, the simulation image may be a pseudo image and the discriminator image may be a real image. According to an example, the simulation image may be reference image data and the discriminator image may be second image data. When there is no weight filter image, a difference image diff_a may be generated, but a weight filter image may be used to generate a difference value diff_b of a region of interest to a user. As a result of the loss function module 221 applying the loss function, the weight data between layers may be updated through GAN back propagation.
According to the disclosure, a model training method is provided that generates weight filters with respect to the locations of image pixels and uses the weight filters for training during image deep learning modeling of a semiconductor patterning process. According to the disclosure, in a process of training deep learning modeling based on image data, a weight filter for a specific pattern or a specific region may be generated and used to adjust pixel weights of each region, which may be used to improve model accuracy and extend data mining.
Fig. 9 shows an example of DNN according to an embodiment. According to an example, DNN 211 may include multiple layers ch1, ch2, ch3 … …. The input image in may be input to DNN 211. The weight data W may be reflected between the plurality of layers ch1, ch2, ch3 … …. The weight data W according to the disclosed DNN 211 may be updated by the result of applying a weight filter image to the output data out of the DNN 211.
DNN 211 according to the disclosure may have a structure that allows pixel correlation (pixel correlation) over long distances by including multiple downsampling layers (down SAMPLE LAYERS) (e.g., down1 and down 2). Because the downsampling layer implies pattern information, the input image may be reduced by half in the output layer each time the downsampling layer passes through a pixel. However, the reduced image still corresponds to the same area as the input image, and the information represented may correspond to twice the input image (or 4 times relative to the area). Thus, even when the same-sized kernel is used, the kernel acting on the image that has passed through more downsampling layers can represent pixel correlation with respect to a wider area. For example, when the image of the first downsampling layer is about 1/4 (1/16 in the area concept) of the input image, the kernel corresponding to the second downsampling layer may cover a very small area in the input image, but may cover a large part of the area in the image of the second downsampling layer. According to some embodiments, DNN 211 may also include other layers, such as up-sampling layers (up 1 and up 2), scaling layers (Scale (S1) and Scale (S2)), and so forth.
The DNN 211 according to the disclosure may reflect the effect of a remotely located pattern, and the output image may generate a high precision image that may guarantee accuracy at the sub-pixel level. According to the disclosure, the pattern complexity is different for each layer of the semiconductor device, so that modeling can be achieved by changing the structure.
An image-based model through DNN 211 according to the disclosure may be capable of one-to-one training and may be trained to predict an answer. According to the disclosure, to guide training to match a particular CD or better predict areas with poor distribution, a loss function may be configured using a weight filter, which is an additional tool between the real image and the generated image. According to an example, when training is performed using newly processed data, DNN 211 according to the disclosure may use only regions suitable for data consistency for training.
Fig. 10 is a diagram for explaining an application example of the pattern modeling system according to the embodiment. Referring to fig. 10, a pattern modeling system according to the disclosure may be capable of deep learning modeling of patterns with few measured images.
Referring to fig. 10, a deep learning model may be generated in the presence of only measurement results and a small number of measurement images. The pattern modeling system according to the disclosure may be applied to use a simulation image for a specific pattern without a measurement image or to generate a model that increases the prediction accuracy of CD or pattern shape in a specific area.
1002 Of fig. 10 shows a case where only a contour image exists, and 1004 of fig. 10 shows a case where a measurement image exists but the number of measurement images is small. When there are measurement images but the number of measurement images is insufficient for training, repeated training may be performed on the region of the measurement images where the weight filter is used. According to an example, training may be performed by setting a high weight and a high background value for the measurement image and setting a low background value for the contour image.
According to an example, assuming that there are 259 measurement images and 1984 CD values are measured by simulation during modeling, an overfitting problem may occur when training is performed by setting all pixels of CD values configured via simulation to the same weight. In this case, according to the disclosure, training may be performed on the generated pattern for the measured CD values, and model training may be performed in a hybrid form together with the sample having the presence measurement image.
Fig. 11 is a flowchart illustrating a method of manufacturing a semiconductor device using a pattern modeling system according to an embodiment.
In operation S1110, data passing through a sample pattern to be learned may be input. In this case, the input data may be first image data generated based on the sample pattern.
In operation S1120, an area to which the weight filter is to be applied may be determined by preprocessing data. According to an example, preprocessing of the data may include generating first image data and generating second image data by measuring the first image data. The region to which the weighting filter is to be applied may be determined according to the characteristics of the second image data.
In operation S1130, the pattern data may be learned by applying a weight filter. In operation S1130, the image data to which the weight filter is applied may be applied to the loss function.
In operation S1140, OPC and PPC may be performed by applying the learned data. The learned data has higher accuracy with respect to the region to which the weighting filter is applied, and thus OPC and PPC can be performed more effectively on the learned data.
OPC and PPC may be performed based on a lithography simulation (lithography simulation) that predicts the profile image and CD to be formed on the wafer according to the designed mask layout.
According to the disclosure, in order to change (reflect) the weight of a specific position of an image during deep learning modeling, a weight filter image in units of pixels may be set. The weight filter image may be image data for training to which a weight filter is applied. According to an example, the weight filter image may be used to calculate a loss function during deep learning training and to update weights during back propagation calculation.
Fig. 12 is a flowchart illustrating a method of manufacturing an IC according to an embodiment.
Referring to fig. 12, the standard cell library D10 may include information (e.g., function information, characteristic information, layout information, etc.) about standard cells. The standard cell library D10 may include data DC defining the layout of the standard cells. The data DC may include data defining structures of standard cells that perform the same function and have different layouts. The data DC may include first data DC1 performing a first function and defining a structure of standard cells having different layouts, and nth data DCn (n is a natural number of 2 or more) performing an nth function and defining a structure of standard cells having different layouts.
In operation S10, a logic synthesis operation may be performed to generate netlist data D20 from ready-to-learn (RTL) data D11. For example, a semiconductor design tool (e.g., a logic synthesis module) may perform logic synthesis operations from RTL data D11 with reference to standard cell library D10, thereby generating netlist data D20 including a bit stream or netlist, RTL data D11 being written as Very High Speed IC (VHSIC) hardware description language (VHDL) and Hardware Description Language (HDL) (such as Verilog). The RTL design may be developed according to a design verification method and a computing system, and the RTL data D11 may be generated.
The standard cell library D10 may include data DC that performs the same function and defines the structure of standard cells having different layouts, and the standard cells may be included in the IC with reference to such information during logic synthesis.
In operation S20, a place and route (P & R) operation of generating layout data D30 from netlist data D20 may be performed. Layout data D30 may have a format such as GDSII, and may include geometric information for standard cells and interconnects.
For example, a semiconductor design tool (e.g., a P & R module) may layout a plurality of standard cells with reference to standard cell library D10 from netlist data D20. The semiconductor design tool may select one of the layouts of the standard cells defined by the layout data D103 with reference to the data DC, and may layout the selected layout of the standard cells.
In operation S20, an operation of generating an interconnection may also be performed. The interconnect may electrically connect the output pin and the input pin of the standard cell, and may include, for example, at least one via and at least one conductive pattern.
In operation S30, OPC may be performed. OPC may represent an operation of forming a pattern of a desired shape by correcting a distortion phenomenon such as refraction caused by characteristics of light included in photolithography in a semiconductor process for manufacturing an IC, and the pattern on the mask may be determined by applying OPC to the layout data D30. In some embodiments, the layout of the IC may be modified limitedly in operation S30, and the limited modification of the IC in operation S30 is a post-process for optimizing the structure of the IC, and may be referred to as design polishing (design polishing). According to an embodiment, the layout data D30 may be layout data learned and predicted by the pattern modeling system 100 of FIG. 1. Using the layout data learned and predicted by the pattern modeling system 100, results with higher pattern accuracy may be obtained.
In operation S40, an operation of manufacturing a mask may be performed. For example, when OPC is applied to the layout data D30, a pattern on a mask may be defined to form a pattern formed on a plurality of layers, and at least one mask (or photomask) may be manufactured to form respective patterns of the plurality of layers.
In operation S50, an operation of manufacturing an IC may be performed. For example, the IC may be manufactured by patterning the plurality of layers using at least one mask manufactured in operation S40. Operation S50 may include operations S51 and S52.
In operation S51, a front end of line (FEOL) process may be performed. FEOL may represent a process of forming individual elements (e.g., transistors, capacitors, resistors, etc.) on a substrate during a manufacturing process of an IC. For example, FEOL processes may include planarizing and cleaning the wafer, forming trenches, forming wells, forming gate lines, and forming source and drain electrodes.
In operation S52, a back-end-of-line (BEOL) process may be performed. BEOL may represent a process of interconnecting individual components (e.g., transistors, capacitors, resistors, etc.) during the fabrication of an IC. For example, BEOL processes may include Siliciding (SILICIDATING) the gate, source and drain regions, adding dielectrics, planarizing, forming holes, forming metal layers, forming vias, and forming passivation (passivation) layers. The IC may then be packaged in a semiconductor package and used as a component in a variety of applications.
As used in connection with the various embodiments disclosed herein, the term "module" may include a unit implemented in hardware, software, or firmware, and may be used interchangeably with other terms (e.g., logic block, component, or circuit). A module may be a single integrated component or a minimal unit or portion thereof adapted to perform one or more functions. For example, according to an embodiment, a module may be implemented in the form of an Application Specific Integrated Circuit (ASIC).
The various embodiments set forth herein may be implemented as software (e.g., a program) comprising one or more instructions stored in a storage medium (e.g., internal memory or external memory) readable by a machine (e.g., an electronic device). For example, a processor of a machine (e.g., an electronic device) may invoke at least one of one or more instructions stored in a storage medium and execute the at least one instruction with or without one or more other components under control of the processor. This allows the machine to be operated to perform at least one function in accordance with the invoked at least one instruction. The one or more instructions may include code generated by a compiler or code executable by a parser. The machine-readable storage medium may be provided in the form of a non-transitory storage medium. Wherein the term "non-transitory" may mean that the storage medium is a tangible device and does not include signals (e.g., electromagnetic waves), but this term does not distinguish between the case where data is semi-permanently stored in the storage medium and the case where data is temporarily stored in the storage medium.
According to embodiments, methods according to the various embodiments disclosed may be included and provided in a computer program product. The computer program product may be traded as a product between a seller and a buyer. The computer program product may be distributed in the form of a machine-readable storage medium, such as a compact disc read only memory (CD-ROM), or distributed online (e.g., downloaded or uploaded) through an application store, such as PlayStore TM, or distributed directly between two user devices, such as smartphones. If distributed online, at least a portion of the computer program product may be temporarily generated or at least temporarily stored in a machine-readable storage medium (such as a memory of a manufacturer server, a server of an application store, or a relay server).
According to various embodiments, each of the above-described components (e.g., a module or a program) may include a single entity or multiple entities, and some of the multiple entities may be separately provided in different components. According to various embodiments, one or more of the above components may be omitted, or one or more other components may be added. Alternatively or additionally, multiple components (e.g., modules or programs) may be integrated into a single component. In this case, according to various embodiments, the integrated component may still perform one or more functions of each of the plurality of components in the same or similar manner as performed by the corresponding component of the plurality of components prior to integration. According to various embodiments, operations performed by a module, a program, or another component may be performed sequentially, in parallel, repeatedly, or heuristically, or one or more of the operations may be performed in a different order or omitted, or one or more other operations may be added.
Each embodiment provided in the above description does not preclude the association of one or more features with further examples or further embodiments that may or may not be provided herein but are consistent with the disclosure.
While the disclosure has been particularly shown and described with reference to the disclosed embodiments, it will be understood that various changes in form and details may be made therein without departing from the spirit and scope of the appended claims.

Claims (20)

1. A pattern modeling method of predictive image data, the pattern modeling method comprising:
generating first image data based on a sample pattern learned by the deep neural network DNN;
generating second image data by measuring the first image data;
determining a region of the second image data to which the weighting filter is to be applied;
Training the DNN by applying a weight filter to the determined region of the second image data; and
At least one pattern image is predicted based on the result of training the DNN.
2. The pattern modeling method of claim 1, wherein the second image data includes image data generated by applying process conditions to the first image data.
3. The pattern modeling method according to claim 1, wherein the step of determining the region of the second image data to which the weighting filter is to be applied includes: an area corresponding to a critical dimension of the second image data is determined.
4. The pattern modeling method according to claim 1, wherein the step of determining the region of the second image data to which the weighting filter is to be applied includes: an area corresponding to the distribution of the second image data is determined.
5. The pattern modeling method according to claim 1, wherein the step of determining the region of the second image data to which the weighting filter is to be applied includes: an area corresponding to the pattern shape of the second image data is determined.
6. The pattern modeling method of any of claims 1-5, wherein DNN is trained based on:
Third image data obtained by convolving the weight filter with the second image data; and
Fourth image data obtained by convolving the weight filter with the reference image data.
7. The pattern modeling method of claim 6, wherein the step of training DNN includes: a loss function is calculated based on a difference between the third image data and the fourth image data.
8. The pattern modeling method of claim 7, wherein the step of training DNN further comprises: the weight data of the DNN is updated based on the calculation result of the loss function.
9. A pattern modeling system for predicting image data, the pattern modeling system comprising:
A memory storing instructions; and
At least one processor configured to execute the instructions to:
generating first image data based on a sample pattern learned by a deep neural network, DNN, comprising a plurality of layers,
Generating second image data by measuring the first image data,
Determining an area of the second image data to which the weighting filter is to be applied,
Training the DNN by applying a weight filter to the determined region of the second image data; and
At least one pattern image is predicted based on the result of training the DNN.
10. The pattern modeling system of claim 9, wherein the at least one processor includes at least one data preprocessor,
Wherein the at least one data preprocessor is configured to: the instructions are executed to pre-process the image data based on the sample pattern.
11. The pattern modeling system of claim 10, wherein the first image data includes image data obtained based at least in part on a sample pattern,
Wherein the second image data includes image data obtained by applying process conditions to the first image data.
12. The pattern modeling system of claim 11, wherein the area to which the weighting filter is to be applied includes an area corresponding to a critical dimension of the second image data.
13. The pattern modeling system of claim 11, wherein the region to which the weighting filter is to be applied includes a region corresponding to a distribution of the second image data.
14. The pattern modeling system of claim 11, wherein the region to which the weighting filter is to be applied includes a region corresponding to a pattern shape of the second image data.
15. The pattern modeling system of claim 11, wherein the at least one data preprocessor is further configured to execute the instructions to:
applying a weight filter corresponding to the region to the second image data; and
The image to which the weighting filter is applied is sent to a loss function module.
16. The pattern modeling system of claim 15, wherein the loss function module is configured to:
Determining a difference between third image data generated based on applying the weight filter to the second image data and fourth image data generated based on applying the weight filter to the reference image data, and
The variance is minimized.
17. The pattern modeling system of claim 16, wherein the reference image data includes output image data of DNN.
18. A non-transitory computer-readable storage medium storing instructions that, when executed by at least one processor, cause the at least one processor to:
Generating first image data based on a sample pattern learned by a deep neural network, DNN, the DNN comprising a plurality of layers;
generating second image data by measuring the first image data;
determining a region of the second image data to which the weighting filter is to be applied;
Training the DNN by applying a weight filter to the determined region of the second image data; and
Predicting at least one pattern image based on the result of training the DNN,
Wherein the weighting filter is applied to an area corresponding to the characteristic portion of the second image data.
19. The non-transitory computer readable storage medium of claim 18, wherein the feature comprises an area corresponding to a critical dimension of the second image data.
20. The non-transitory computer readable storage medium of claim 18, wherein the feature portion includes an area corresponding to a distribution of the second image data.
CN202410317990.5A 2023-03-24 2024-03-20 Pattern modeling system and pattern modeling method Pending CN118691483A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020230039293A KR20240143526A (en) 2023-03-24 Pattern modeling system and pattern modeling method
KR10-2023-0039293 2023-03-24

Publications (1)

Publication Number Publication Date
CN118691483A true CN118691483A (en) 2024-09-24

Family

ID=92776464

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202410317990.5A Pending CN118691483A (en) 2023-03-24 2024-03-20 Pattern modeling system and pattern modeling method

Country Status (1)

Country Link
CN (1) CN118691483A (en)

Similar Documents

Publication Publication Date Title
US11119401B2 (en) Simulating near field image in optical lithography
CN111627799B (en) Method for manufacturing semiconductor element
US8479125B2 (en) Lithography modeling and applications
CN108490735B (en) Method, apparatus and computer readable medium for full chip mask pattern generation
US8893067B2 (en) System and method for lithography simulation
US20170032076A1 (en) Sub-resolution assist feature implementation for shot generation
US11415890B2 (en) Method of mask data synthesis and mask making
US10222690B2 (en) Method of optimizing a mask using pixel-based learning and method for manufacturing a semiconductor device using an optimized mask
US20110224963A1 (en) Fast Photolithography Process Simulation to Predict Remaining Resist Thickness
US11675958B2 (en) Lithography simulation method
CN110426914A (en) A kind of modification method and electronic equipment of Sub-resolution assist features
US20220092239A1 (en) System and method for modeling a semiconductor fabrication process
US10578963B2 (en) Mask pattern generation based on fast marching method
US10571799B1 (en) Hessian-free calculation of product of Hessian matrix and vector for lithography optimization
Meng et al. Machine learning models for edge placement error based etch bias
US7472372B1 (en) Fast image simulation for photolithography
CN118691483A (en) Pattern modeling system and pattern modeling method
JP2013140863A (en) Simulation device and simulation program
KR20240143526A (en) Pattern modeling system and pattern modeling method
Shiely Machine learning for compact lithographic process models
US20240160827A1 (en) Methods of training deep learning models for optical proximity correction, optical proximity correction methods, and methods of manufacturing semiconductor devices using the same
US20220392191A1 (en) Large scale computational lithography using machine learning models
CN116266407A (en) Image-based semiconductor device patterning method using deep neural network
CN117010320A (en) Layout method for semiconductor chip and method for manufacturing semiconductor chip
TW202225826A (en) Method and system for improving optical proximity correlation techniques

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication