CN118691483A - Pattern modeling system and pattern modeling method - Google Patents
Pattern modeling system and pattern modeling method Download PDFInfo
- Publication number
- CN118691483A CN118691483A CN202410317990.5A CN202410317990A CN118691483A CN 118691483 A CN118691483 A CN 118691483A CN 202410317990 A CN202410317990 A CN 202410317990A CN 118691483 A CN118691483 A CN 118691483A
- Authority
- CN
- China
- Prior art keywords
- image data
- pattern
- image
- dnn
- data
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 238000000034 method Methods 0.000 title claims abstract description 61
- 238000012549 training Methods 0.000 claims abstract description 60
- 238000013528 artificial neural network Methods 0.000 claims abstract description 10
- 230000006870 function Effects 0.000 claims description 40
- 230000008569 process Effects 0.000 claims description 33
- 238000003860 storage Methods 0.000 claims description 13
- 238000009826 distribution Methods 0.000 claims description 8
- 238000004364 calculation method Methods 0.000 claims description 3
- 238000005259 measurement Methods 0.000 description 22
- 239000004065 semiconductor Substances 0.000 description 22
- 238000013473 artificial intelligence Methods 0.000 description 14
- 238000010586 diagram Methods 0.000 description 14
- 238000013461 design Methods 0.000 description 13
- 238000003062 neural network model Methods 0.000 description 12
- 235000012431 wafers Nutrition 0.000 description 11
- 238000004519 manufacturing process Methods 0.000 description 10
- 238000004088 simulation Methods 0.000 description 10
- 238000013135 deep learning Methods 0.000 description 8
- 230000008859 change Effects 0.000 description 6
- 238000012545 processing Methods 0.000 description 6
- 238000012937 correction Methods 0.000 description 5
- 238000000059 patterning Methods 0.000 description 5
- 238000000206 photolithography Methods 0.000 description 5
- 101001121408 Homo sapiens L-amino-acid oxidase Proteins 0.000 description 4
- 102100026388 L-amino-acid oxidase Human genes 0.000 description 4
- 230000015572 biosynthetic process Effects 0.000 description 4
- 238000004590 computer program Methods 0.000 description 4
- 238000013136 deep learning model Methods 0.000 description 4
- 239000000758 substrate Substances 0.000 description 4
- 238000003786 synthesis reaction Methods 0.000 description 4
- 230000003287 optical effect Effects 0.000 description 3
- 229920002120 photoresistant polymer Polymers 0.000 description 3
- 238000001878 scanning electron micrograph Methods 0.000 description 3
- 238000004422 calculation algorithm Methods 0.000 description 2
- 239000003990 capacitor Substances 0.000 description 2
- 238000004140 cleaning Methods 0.000 description 2
- 238000005530 etching Methods 0.000 description 2
- 238000007689 inspection Methods 0.000 description 2
- 230000010354 integration Effects 0.000 description 2
- 239000002184 metal Substances 0.000 description 2
- 238000007781 pre-processing Methods 0.000 description 2
- 230000004580 weight loss Effects 0.000 description 2
- 238000013256 Gubra-Amylin NASH model Methods 0.000 description 1
- 101000827703 Homo sapiens Polyphosphoinositide phosphatase Proteins 0.000 description 1
- 102100023591 Polyphosphoinositide phosphatase Human genes 0.000 description 1
- 101100012902 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) FIG2 gene Proteins 0.000 description 1
- 230000008901 benefit Effects 0.000 description 1
- 230000005540 biological transmission Effects 0.000 description 1
- 238000007418 data mining Methods 0.000 description 1
- 238000005137 deposition process Methods 0.000 description 1
- 238000012942 design verification Methods 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- 239000003989 dielectric material Substances 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 238000003384 imaging method Methods 0.000 description 1
- 238000001459 lithography Methods 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 230000001537 neural effect Effects 0.000 description 1
- 238000002161 passivation Methods 0.000 description 1
- 238000005498 polishing Methods 0.000 description 1
- 238000012805 post-processing Methods 0.000 description 1
- 230000002787 reinforcement Effects 0.000 description 1
- 238000011160 research Methods 0.000 description 1
- 230000000717 retained effect Effects 0.000 description 1
- 230000003068 static effect Effects 0.000 description 1
- 230000001360 synchronised effect Effects 0.000 description 1
- 230000000007 visual effect Effects 0.000 description 1
Classifications
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06T—IMAGE DATA PROCESSING OR GENERATION, IN GENERAL
- G06T5/00—Image enhancement or restoration
- G06T5/20—Image enhancement or restoration using local operators
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06T—IMAGE DATA PROCESSING OR GENERATION, IN GENERAL
- G06T5/00—Image enhancement or restoration
- G06T5/50—Image enhancement or restoration using two or more images, e.g. averaging or subtraction
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06N—COMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
- G06N3/00—Computing arrangements based on biological models
- G06N3/02—Neural networks
- G06N3/04—Architecture, e.g. interconnection topology
- G06N3/0464—Convolutional networks [CNN, ConvNet]
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06N—COMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
- G06N3/00—Computing arrangements based on biological models
- G06N3/02—Neural networks
- G06N3/04—Architecture, e.g. interconnection topology
- G06N3/0475—Generative networks
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06N—COMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
- G06N3/00—Computing arrangements based on biological models
- G06N3/02—Neural networks
- G06N3/08—Learning methods
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06N—COMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
- G06N3/00—Computing arrangements based on biological models
- G06N3/02—Neural networks
- G06N3/08—Learning methods
- G06N3/084—Backpropagation, e.g. using gradient descent
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06T—IMAGE DATA PROCESSING OR GENERATION, IN GENERAL
- G06T11/00—2D [Two Dimensional] image generation
- G06T11/60—Editing figures and text; Combining figures or text
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06T—IMAGE DATA PROCESSING OR GENERATION, IN GENERAL
- G06T7/00—Image analysis
- G06T7/10—Segmentation; Edge detection
- G06T7/11—Region-based segmentation
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06T—IMAGE DATA PROCESSING OR GENERATION, IN GENERAL
- G06T7/00—Image analysis
- G06T7/10—Segmentation; Edge detection
- G06T7/136—Segmentation; Edge detection involving thresholding
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06V—IMAGE OR VIDEO RECOGNITION OR UNDERSTANDING
- G06V10/00—Arrangements for image or video recognition or understanding
- G06V10/20—Image preprocessing
- G06V10/25—Determination of region of interest [ROI] or a volume of interest [VOI]
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06V—IMAGE OR VIDEO RECOGNITION OR UNDERSTANDING
- G06V10/00—Arrangements for image or video recognition or understanding
- G06V10/70—Arrangements for image or video recognition or understanding using pattern recognition or machine learning
- G06V10/764—Arrangements for image or video recognition or understanding using pattern recognition or machine learning using classification, e.g. of video objects
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06V—IMAGE OR VIDEO RECOGNITION OR UNDERSTANDING
- G06V10/00—Arrangements for image or video recognition or understanding
- G06V10/70—Arrangements for image or video recognition or understanding using pattern recognition or machine learning
- G06V10/77—Processing image or video features in feature spaces; using data integration or data reduction, e.g. principal component analysis [PCA] or independent component analysis [ICA] or self-organising maps [SOM]; Blind source separation
- G06V10/774—Generating sets of training patterns; Bootstrap methods, e.g. bagging or boosting
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06T—IMAGE DATA PROCESSING OR GENERATION, IN GENERAL
- G06T2207/00—Indexing scheme for image analysis or image enhancement
- G06T2207/20—Special algorithmic details
- G06T2207/20024—Filtering details
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06T—IMAGE DATA PROCESSING OR GENERATION, IN GENERAL
- G06T2207/00—Indexing scheme for image analysis or image enhancement
- G06T2207/20—Special algorithmic details
- G06T2207/20081—Training; Learning
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06T—IMAGE DATA PROCESSING OR GENERATION, IN GENERAL
- G06T2207/00—Indexing scheme for image analysis or image enhancement
- G06T2207/20—Special algorithmic details
- G06T2207/20084—Artificial neural networks [ANN]
Landscapes
- Engineering & Computer Science (AREA)
- Theoretical Computer Science (AREA)
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Artificial Intelligence (AREA)
- Computing Systems (AREA)
- Health & Medical Sciences (AREA)
- Evolutionary Computation (AREA)
- General Health & Medical Sciences (AREA)
- Software Systems (AREA)
- Computer Vision & Pattern Recognition (AREA)
- Biomedical Technology (AREA)
- Life Sciences & Earth Sciences (AREA)
- Biophysics (AREA)
- Computational Linguistics (AREA)
- Data Mining & Analysis (AREA)
- Molecular Biology (AREA)
- General Engineering & Computer Science (AREA)
- Mathematical Physics (AREA)
- Multimedia (AREA)
- Medical Informatics (AREA)
- Databases & Information Systems (AREA)
- Image Analysis (AREA)
Abstract
Description
本申请基于并且要求于2023年3月24日在韩国知识产权局提交的第10-2023-0039293号韩国专利申请的优先权,所述韩国专利申请的公开通过引用全部包含于此。This application is based on and claims the benefit of priority from Korean Patent Application No. 10-2023-0039293 filed on March 24, 2023, in the Korean Intellectual Property Office, the disclosure of which is incorporated herein in its entirety by reference.
技术领域Technical Field
本公开涉及图案建模系统和图案建模方法,并且具体地,涉及能够通过应用权重滤波器来执行图案建模系统的训练的系统和方法。The present disclosure relates to a pattern modeling system and a pattern modeling method, and in particular, to a system and a method capable of performing training of a pattern modeling system by applying a weight filter.
背景技术Background Art
光掩模可用于在半导体装置的制造中的光刻工艺中将集成电路(IC)布图印刷在晶片上。光刻工艺一般可使用通过光学透镜将形成在光掩模上的掩模图案转移到晶片的方法。光掩模可包括透明区域和不透明区域。透明区域可通过在光掩模上蚀刻金属层来形成,并且光可从透明区域穿过。另一方面,不透明区域不可使光穿过。掩模图案可由透明区域和不透明区域形成。由光源发射的光可通过光掩模的掩模图案发射到晶片上,因此,IC布图可被印刷在晶片上。Photomasks can be used to print integrated circuit (IC) layouts on wafers in photolithography processes in the manufacture of semiconductor devices. Photolithography processes generally use a method of transferring a mask pattern formed on a photomask to a wafer through an optical lens. The photomask may include a transparent area and an opaque area. The transparent area may be formed by etching a metal layer on the photomask, and light may pass through the transparent area. On the other hand, the opaque area may not allow light to pass through. The mask pattern may be formed by a transparent area and an opaque area. The light emitted by a light source may be emitted onto the wafer through the mask pattern of the photomask, and therefore, the IC layout may be printed on the wafer.
随着半导体装置的集成度增加,光掩模的掩模图案之间的距离可能变得更短,并且透明区域的宽度可能变得非常窄。由于这种接近,可能发生光的干涉和衍射,因此,与期望的布图不同的失真布图可被印刷在晶片上。As the integration of semiconductor devices increases, the distance between mask patterns of photomasks may become shorter, and the width of transparent regions may become very narrow. Due to this proximity, interference and diffraction of light may occur, and thus, a distorted layout different from the desired layout may be printed on the wafer.
在此背景技术部分中公开的信息在实现本申请的实施例的过程之前或期间已经为发明人所知或由发明人得出,或者是在实现实施例的过程中获取的技术信息。因此,它可包含不构成公众已知的现有技术的信息。The information disclosed in this background technology section is known to the inventor or derived by the inventor before or during the process of implementing the embodiments of the present application, or is technical information acquired during the process of implementing the embodiments. Therefore, it may contain information that does not constitute prior art known to the public.
发明内容Summary of the invention
提供了能够提高测量数据的预测的图案建模系统。A pattern modeling system is provided that can improve the prediction of measurement data.
附加方面将在下面的描述中部分地阐述,并且将从描述中部分地是清楚的,或者可通过实践呈现的实施例来学习。Additional aspects will be set forth in part in the description which follows and, in part, will be apparent from the description, or may be learned by practice of the presented embodiments.
根据示例实施例的一方面,一种预测图像数据的图案建模方法可包括:基于由深度神经网络(DNN)学习的样本图案生成第一图像数据;通过测量第一图像数据来生成第二图像数据;确定第二图像数据的将被应用权重滤波器的区域;通过将权重滤波器应用于第二图像数据的确定的区域来训练DNN;以及基于训练DNN的结果来预测至少一个图案图像。According to an aspect of an example embodiment, a pattern modeling method for predicting image data may include: generating first image data based on a sample pattern learned by a deep neural network (DNN); generating second image data by measuring the first image data; determining an area of the second image data to which a weight filter will be applied; training the DNN by applying the weight filter to the determined area of the second image data; and predicting at least one pattern image based on a result of the training DNN.
根据示例实施例的一方面,一种用于预测图像数据的图案建模系统可包括:存储指令的存储器;以及至少一个处理器,被配置为执行指令以:基于由DNN学习的样本图案来生成第一图像数据,DNN包括多个层,通过测量第一图像数据生成第二图像数据,确定第二图像数据的将被应用权重滤波器的区域,通过将权重滤波器应用于第二图像数据的确定的区域来训练DNN,以及基于训练DNN的结果来预测至少一个图案图像。According to one aspect of an example embodiment, a pattern modeling system for predicting image data may include: a memory storing instructions; and at least one processor configured to execute the instructions to: generate first image data based on a sample pattern learned by a DNN, the DNN including multiple layers, generate second image data by measuring the first image data, determine an area of the second image data to which a weight filter will be applied, train the DNN by applying the weight filter to the determined area of the second image data, and predict at least one pattern image based on a result of the training DNN.
根据示例实施例的一方面,一种非暂时性计算机可读存储介质可存储指令,当所述指令由至少一个处理器执行时使得至少一个处理器:基于由DNN学习的样本图案生成第一图像数据,DNN包括多个层;通过测量第一图像数据来生成第二图像数据;确定第二图像数据的将被应用权重滤波器的区域;通过将权重滤波器应用于第二图像数据的确定的区域来训练DNN;以及基于训练DNN的结果来预测至少一个图案图像,权重滤波器被应用于与第二图像数据的特征部分对应的区域。According to one aspect of an example embodiment, a non-transitory computer-readable storage medium may store instructions that, when executed by at least one processor, cause the at least one processor to: generate first image data based on a sample pattern learned by a DNN, the DNN comprising a plurality of layers; generate second image data by measuring the first image data; determine an area of the second image data to which a weight filter will be applied; train the DNN by applying the weight filter to the determined area of the second image data; and predict at least one pattern image based on a result of the training DNN, the weight filter being applied to an area corresponding to a feature portion of the second image data.
附图说明BRIEF DESCRIPTION OF THE DRAWINGS
附加方面将在下面的描述中部分地阐述,并且将从描述中部分地是清楚的,或者可通过结合附图实践呈现的实施例来学习,其中:Additional aspects will be set forth in part in the description which follows and, in part, will be apparent from the description, or may be learned by practice of the presented embodiments in conjunction with the accompanying drawings, in which:
图1是根据实施例的图案建模系统的框图;FIG1 is a block diagram of a pattern modeling system according to an embodiment;
图2是根据实施例的图案建模系统的框图;FIG2 is a block diagram of a pattern modeling system according to an embodiment;
图3是示出根据实施例的由图案建模系统执行的建模方法的流程图;3 is a flow chart illustrating a modeling method performed by a pattern modeling system according to an embodiment;
图4是示出根据实施例的生成第一图像数据的方法的示图;FIG4 is a diagram illustrating a method of generating first image data according to an embodiment;
图5是示出根据实施例的确定第二图像数据中的权重滤波器区域(WFA)的方法的示图;5 is a diagram illustrating a method of determining a weight filter area (WFA) in second image data according to an embodiment;
图6A是示出根据实施例的确定WFA的方法的示图;FIG6A is a diagram illustrating a method of determining a WFA according to an embodiment;
图6B是根据实施例的应用了WFA的图像的示图;FIG6B is a diagram of an image to which WFA is applied according to an embodiment;
图6C示出根据实施例的从样本图案生成第一图像数据和第二图像数据的示例;6C illustrates an example of generating first image data and second image data from a sample pattern according to an embodiment;
图7示出根据实施例的应用权重滤波器的仿真结果;FIG7 shows simulation results of applying a weight filter according to an embodiment;
图8示出根据实施例的损失函数模块的示例;FIG8 illustrates an example of a loss function module according to an embodiment;
图9示出根据实施例的深度神经网络(DNN)的示例;FIG9 illustrates an example of a deep neural network (DNN) according to an embodiment;
图10是示出根据实施例的图案建模系统的应用示例的示图;10 is a diagram showing an application example of the pattern modeling system according to the embodiment;
图11是示出根据实施例的使用图案建模系统的半导体装置的制造方法的流程图;以及11 is a flowchart illustrating a method of manufacturing a semiconductor device using a pattern modeling system according to an embodiment; and
图12是示出根据实施例的集成电路(IC)的制造方法的流程图。FIG. 12 is a flowchart illustrating a method of manufacturing an integrated circuit (IC) according to an embodiment.
具体实施方式DETAILED DESCRIPTION
在下文中,将参照附图详细描述公开的示例实施例。在附图中相同的参考标号被用于相同的组件,并且将省略它们的冗余描述。在此描述的实施例是示例实施例,从而公开不限于此,并且可以以各种其他形式实现。Hereinafter, the disclosed exemplary embodiments will be described in detail with reference to the accompanying drawings. The same reference numerals are used for the same components in the accompanying drawings, and their redundant descriptions will be omitted. The embodiments described herein are exemplary embodiments, and thus the disclosure is not limited thereto, and can be implemented in various other forms.
如在此所使用的,当诸如“……中的至少一个”的表述在一列元素之后时,该表述修饰整列元素而不修饰列中的单个元素。例如,表述“a、b和c中的至少一个”应理解为包括:仅有a、仅有b、仅有c、a和b两者、a和c两者、b和c两者、或a、b和c中的全部。As used herein, when a statement such as "at least one of..." follows a list of elements, the statement modifies the entire list of elements and does not modify the individual elements in the list. For example, the statement "at least one of a, b, and c" should be understood to include: only a, only b, only c, both a and b, both a and c, both b and c, or all of a, b, and c.
图1是根据实施例的图案建模系统100的框图。如图1中所示,图案建模系统100可包括存储器110和处理器120。然而,图1中所示的配置是用于实现实施例的示例,并且如本领域普通技术人员根据在此的公开将理解的,其他硬件和软件配置可被附加地包括在图案建模系统100中。根据示例,图案建模系统100可以以电子装置的形式实现。FIG1 is a block diagram of a pattern modeling system 100 according to an embodiment. As shown in FIG1 , the pattern modeling system 100 may include a memory 110 and a processor 120. However, the configuration shown in FIG1 is an example for implementing the embodiment, and as will be understood by a person of ordinary skill in the art from the disclosure herein, other hardware and software configurations may be additionally included in the pattern modeling system 100. According to an example, the pattern modeling system 100 may be implemented in the form of an electronic device.
根据公开的图案建模系统100可应用于半导体图案化工艺的仿真操作中。根据示例,半导体图案化工艺可以是光刻工艺。根据公开的图案建模系统100可学习在半导体图案化工艺中使用的样本图案的仿真结果,以生成关于临界尺寸(critical dimension,CD)具有高精确预测的图像数据等。根据公开,图案建模系统100可包括学习模型,并且可通过将应用了权重滤波器的图像应用于特定区域来训练。由此,图案建模系统100可生成关于特定区域具有高精确预测的图像数据。According to the disclosure, the pattern modeling system 100 can be applied to the simulation operation of the semiconductor patterning process. According to an example, the semiconductor patterning process can be a photolithography process. According to the disclosure, the pattern modeling system 100 can learn the simulation results of the sample pattern used in the semiconductor patterning process to generate image data with high precision prediction about the critical dimension (CD), etc. According to the disclosure, the pattern modeling system 100 may include a learning model and can be trained by applying an image to which a weight filter is applied to a specific area. Thus, the pattern modeling system 100 can generate image data with high precision prediction about the specific area.
存储器110可存储与图案建模系统100的至少一个其他组件相关的命令或数据。此外,存储器110可由处理器120访问,并且数据的读取/写入/修改/删除/更新可由处理器120执行。The memory 110 may store commands or data related to at least one other component of the pattern modeling system 100. In addition, the memory 110 may be accessed by the processor 120, and reading/writing/modifying/deleting/updating of data may be performed by the processor 120.
在公开中,术语存储器可包括存储器110、处理器120中的只读存储器(ROM)或处理器120中的随机存取存储器(RAM)、或安装在图案建模系统100中的存储器卡(例如,微型安全数字(SD)卡或记忆棒)。另外,存储器110可存储用于配置将在显示器的显示区域上显示的各种屏幕的程序和数据。In the disclosure, the term memory may include the memory 110, a read-only memory (ROM) in the processor 120, or a random access memory (RAM) in the processor 120, or a memory card (e.g., a micro secure digital (SD) card or a memory stick) installed in the pattern modeling system 100. In addition, the memory 110 may store programs and data for configuring various screens to be displayed on a display area of the display.
根据示例,存储器110可包括:即使电力供应中断也能够维持存储的信息的非易失性存储器,以及需要持续的电力供应以维持存储的信息的易失性存储器。例如,非易失性存储器可被实现为一次性可编程ROM(OTPROM)、可编程ROM(PROM)、可擦除可编程ROM(EPROM)、电可擦除可编程ROM(EEPROM)、掩模ROM或闪存ROM中的至少一个,并且易失性存储器可被实现为动态RAM(DRAM)、静态RAM(SRAM)或同步动态RAM(SDRAM)中的至少一个。According to an example, the memory 110 may include a non-volatile memory capable of maintaining stored information even if power supply is interrupted, and a volatile memory requiring a continuous power supply to maintain stored information. For example, the non-volatile memory may be implemented as at least one of a one-time programmable ROM (OTPROM), a programmable ROM (PROM), an erasable programmable ROM (EPROM), an electrically erasable programmable ROM (EEPROM), a mask ROM, or a flash ROM, and the volatile memory may be implemented as at least one of a dynamic RAM (DRAM), a static RAM (SRAM), or a synchronous dynamic RAM (SDRAM).
根据示例,存储器110可存储预训练的神经网络模型。预训练的神经网络模型可以是在外部服务器中训练之后被发送到图案建模系统100的模型。可选择地,预训练的神经网络模型可以是在图案建模系统100内训练的模型。神经网络模型可包括多个层,并且可包括由服务器学习的权重数据。根据示例,神经网络模型可以是生成对抗网络(GAN)模型。在公开中,神经网络模型的表述和学习模型的表述可互换使用。According to an example, the memory 110 may store a pre-trained neural network model. The pre-trained neural network model may be a model that is sent to the pattern modeling system 100 after being trained in an external server. Alternatively, the pre-trained neural network model may be a model trained within the pattern modeling system 100. The neural network model may include a plurality of layers and may include weight data learned by the server. According to an example, the neural network model may be a generative adversarial network (GAN) model. In the disclosure, the expression of the neural network model and the expression of the learning model may be used interchangeably.
处理器120可电连接到存储器110以控制图案建模系统100的所有操作和功能。处理器120可使用输出数据和标签来获得损失函数,通过将训练数据输入到存储在存储器中的预训练的神经网络模型来获得输出数据,标签与训练数据对应。标签可表示当训练数据被输入到神经网络模型时将输出的实际值,并且损失函数可表示对输出数据与标签之间的差异进行量化的函数。The processor 120 may be electrically connected to the memory 110 to control all operations and functions of the pattern modeling system 100. The processor 120 may obtain a loss function using output data and labels, the output data being obtained by inputting training data into a pre-trained neural network model stored in the memory, the labels corresponding to the training data. The labels may represent actual values to be output when the training data is input into the neural network model, and the loss function may represent a function that quantifies the difference between the output data and the labels.
处理器120可基于损失函数获得包括在神经网络模型中的多个层中的每个的权重变化的幅度。多个层中的每个的权重变化可表示为了使损失函数的值最小化多个层中的每个的权重需要被改变的值。权重变化的幅度可表示为权重损失、反导数的幅度或差分值(例如,导数的L2范数值)的幅度。The processor 120 may obtain the magnitude of the weight change of each of the multiple layers included in the neural network model based on the loss function. The weight change of each of the multiple layers may represent the value that the weight of each of the multiple layers needs to be changed in order to minimize the value of the loss function. The magnitude of the weight change may be expressed as the magnitude of the weight loss, the magnitude of the anti-derivative, or the magnitude of the differential value (e.g., the L2 norm value of the derivative).
根据公开的处理器120可基于权重滤波器被应用于其特定区域的图像来计算损失函数,基于损失函数来训练存储在存储器110中的神经网络模型,更新权重变化的幅度,并获得特定区域的预测。According to the disclosure, the processor 120 can calculate a loss function based on an image in which a weight filter is applied to a specific area thereof, train a neural network model stored in the memory 110 based on the loss function, update the magnitude of the weight change, and obtain a prediction of the specific area.
根据公开的与人工智能(AI)相关的功能可由处理器120和存储器110执行。处理器120可包括一个处理器或多个处理器。一个处理器或多个处理器可包括通用处理器(诸如,中央处理器(CPU)、应用处理器(AP)、数字信号处理器(DSP)等)、图形专用处理器(诸如,图形处理器(GPU)、视觉处理器(VPU)等)或AI专用处理器(诸如,神经处理器(NPU))。Functions related to artificial intelligence (AI) disclosed herein may be performed by the processor 120 and the memory 110. The processor 120 may include one processor or multiple processors. The one processor or multiple processors may include a general-purpose processor (such as a central processing unit (CPU), an application processor (AP), a digital signal processor (DSP), etc.), a graphics-specific processor (such as a graphics processing unit (GPU), a visual processing unit (VPU), etc.) or an AI-specific processor (such as a neural processing unit (NPU)).
处理器120或多个处理器120可根据存储在存储器110中的预定义的操作规则或AI模型来处理输入数据。可选择地,当处理器或多个处理器包括AI专用处理器时,AI专用处理器可被设计成具有专用于处理特定AI模型的硬件结构。The processor 120 or the processors 120 may process the input data according to predefined operating rules or AI models stored in the memory 110. Alternatively, when the processor or the processors include an AI dedicated processor, the AI dedicated processor may be designed to have a hardware structure dedicated to processing a specific AI model.
可通过训练来生成预定义的操作规则或AI模型。当通过训练生成AI模型时,这可指示基于使用多个训练数据集的学习算法来训练基础AI模型,使得生成被设置为执行期望的特性(或目的)的预定义的操作规则或AI模型。这样的训练可由在其上实现根据公开的AI的装置来执行,或者由单独的服务器和/或系统执行。Predefined operating rules or AI models may be generated through training. When an AI model is generated through training, this may indicate that a base AI model is trained based on a learning algorithm using a plurality of training data sets, so that a predefined operating rule or AI model configured to perform a desired characteristic (or purpose) is generated. Such training may be performed by a device on which the disclosed AI is implemented, or by a separate server and/or system.
学习算法的示例可包括但不限于监督学习、无监督学习、半监督学习或强化学习。Examples of learning algorithms may include, but are not limited to, supervised learning, unsupervised learning, semi-supervised learning, or reinforcement learning.
AI模型可包括多个神经网络模型,并且神经网络模型可包括多个层。多个神经网络层中的每个可具有多个权重值,并且可通过前一层的运算结果与多个权重值之间的运算来执行神经网络运算。可通过AI模型的训练结果来优化多个神经网络层的多个权重值。例如,可更新多个权重值以减小或最小化在训练过程期间在AI模型中获得的权重损失值(例如,权重变化的幅度)或成本值。The AI model may include multiple neural network models, and the neural network model may include multiple layers. Each of the multiple neural network layers may have multiple weight values, and the neural network operation may be performed by the operation between the operation result of the previous layer and the multiple weight values. The multiple weight values of the multiple neural network layers may be optimized by the training results of the AI model. For example, the multiple weight values may be updated to reduce or minimize the weight loss value (e.g., the magnitude of the weight change) or cost value obtained in the AI model during the training process.
图2是根据实施例的图案建模系统的框图。FIG. 2 is a block diagram of a pattern modeling system according to an embodiment.
参照图2,图案建模系统200可包括深度神经网络(DNN)210、损失函数模块220和数据预处理器230。根据示例,DNN 210可包括学习模型。根据一个示例,DNN 210可包括GAN模型。DNN 210可包括从输入数据生成伪图像的生成器和识别伪图像的分类器。例如,生成器可输出伪图像并且分类器可输出为真实图像的概率(或为伪图像的概率)。分类器可被训练为基于真实图像和伪图像来识别伪图像,并且生成器可被训练为使得分类器将由生成器生成的伪图像识别为真实图像。因此,训练的生成器可生成与真实图像非常类似的伪图像。2, the pattern modeling system 200 may include a deep neural network (DNN) 210, a loss function module 220, and a data preprocessor 230. According to an example, the DNN 210 may include a learning model. According to an example, the DNN 210 may include a GAN model. The DNN 210 may include a generator that generates a pseudo image from input data and a classifier that identifies the pseudo image. For example, the generator may output a pseudo image and the classifier may output a probability of being a real image (or a probability of being a pseudo image). The classifier may be trained to identify the pseudo image based on the real image and the pseudo image, and the generator may be trained so that the classifier identifies the pseudo image generated by the generator as a real image. Therefore, the trained generator can generate a pseudo image that is very similar to the real image.
例如,由生成器接收的输入数据可以是从通过设计将通过半导体工艺设计形成的图案而获得的布局数据提取的图像。生成器可通过使用输入数据生成预期基于布图数据执行半导体工艺而在半导体基底上形成的图案作为输出图像。分类器可将输出图像与图案图像进行比较。图案图像可以是通过基于应用光学邻近校正(OPC)模型的布图数据执行半导体工艺而形成的实际图案的图像,并且可以是扫描电子显微镜(SEM)图像或透射电子显微镜(TEM)图像。For example, the input data received by the generator may be an image extracted from layout data obtained by designing a pattern to be formed by a semiconductor process design. The generator may generate a pattern expected to be formed on a semiconductor substrate by performing a semiconductor process based on the layout data as an output image by using the input data. The classifier may compare the output image with the pattern image. The pattern image may be an image of an actual pattern formed by performing a semiconductor process based on layout data to which an optical proximity correction (OPC) model is applied, and may be a scanning electron microscope (SEM) image or a transmission electron microscope (TEM) image.
DNN 210可包括多个层,并且多个层之间的权重数据可由损失函数模块220更新。损失函数模块220可被配置为实现与如在整个说明书中详细描述的损失函数对应的各种操作的处理组件(例如,这在下面参照图9详细描述)。在公开中,DNN和深度学习网络可被互换使用。根据示例,由DNN 210学习的数据可被表示为训练数据。DNN 210 may include multiple layers, and weight data between multiple layers may be updated by loss function module 220. Loss function module 220 may be configured as a processing component that implements various operations corresponding to the loss function as described in detail throughout the specification (for example, this is described in detail below with reference to FIG. 9). In the disclosure, DNN and deep learning network may be used interchangeably. According to an example, data learned by DNN 210 may be represented as training data.
根据示例,损失函数模块220可计算由DNN 210学习的图像数据与由数据预处理器230处理的图像数据之间的差异,并将该差异传送到DNN 210。根据示例,将在损失函数模块220中计算的图像数据可以是将由数据预处理器230应用权重滤波器的图像数据。这在下面参照图8详细描述。According to an example, the loss function module 220 may calculate a difference between the image data learned by the DNN 210 and the image data processed by the data preprocessor 230, and transmit the difference to the DNN 210. According to an example, the image data to be calculated in the loss function module 220 may be image data to which a weight filter will be applied by the data preprocessor 230. This is described in detail below with reference to FIG. 8.
根据示例,数据预处理器230可生成和预处理关于作为图案建模系统200的学习目标的样本图案的数据。根据示例,数据预处理器230可生成与样本图案对应的图像数据,并且确定生成的图像数据的将被应用权重滤波器的区域。数据预处理器230可确定将应用权重滤波器的区域,并且将权重滤波器应用于生成的图像数据。这将参照图4A至图7进行详细描述。According to an example, the data preprocessor 230 may generate and preprocess data about a sample pattern that is a learning target of the pattern modeling system 200. According to an example, the data preprocessor 230 may generate image data corresponding to the sample pattern, and determine an area of the generated image data to which a weight filter will be applied. The data preprocessor 230 may determine an area to which a weight filter will be applied, and apply the weight filter to the generated image data. This will be described in detail with reference to FIGS. 4A to 7.
根据示例,作为图案建模系统200的学习目标的图像数据可包括设计布图、抗蚀剂图像(resist image)、空间图像(aerial image)、斜率图、密度图或光子图中的至少一个。设计布图可表示被配置为将实现在晶片上的目标图案的位图图像或任何其它适当的格式的图像。抗蚀剂图像可以是通过仿真从设计布图得出的光致抗蚀剂的图像。空间图像可以是从设计布图得出的表示到达光致抗蚀剂的曝光用光的强度分布的图像。斜率图可以是包括在斜率图中的每个像素的值是空间图像的每个像素的梯度的图像。密度图可以是其中特定像素的位值是由特定像素附近的图案密度确定的图像。光子图可以是通过对曝光过程中到达每个像素的光子数量进行仿真而获得的图像。According to an example, the image data as the learning target of the pattern modeling system 200 may include at least one of a design layout, a resist image, an aerial image, a slope map, a density map, or a photon map. The design layout may represent a bitmap image or an image of any other appropriate format configured to implement a target pattern on a wafer. The resist image may be an image of a photoresist derived from the design layout by simulation. The aerial image may be an image representing the intensity distribution of the exposure light reaching the photoresist derived from the design layout. The slope map may be an image in which the value of each pixel included in the slope map is the gradient of each pixel of the aerial image. The density map may be an image in which the bit value of a specific pixel is determined by the pattern density near the specific pixel. The photon map may be an image obtained by simulating the number of photons reaching each pixel during the exposure process.
在一些情况下,DNN 210的训练操作中的设计布图、抗蚀剂图像、空间图像、斜率图、密度图或光子图可被称为训练数据集。训练数据集可与已经传送到晶片上的设计布图相关,并且可包括SEM图像。In some cases, the design layout, resist image, aerial image, slope map, density map, or photon map in the training operation of DNN 210 may be referred to as a training data set. The training data set may be related to the design layout that has been transferred to the wafer and may include SEM images.
根据示例,可基于布图数据来执行半导体工艺。可通过传送布图数据以生成掩模的曝光工艺和使用在曝光工艺中生成的掩模的蚀刻或沉积工艺在半导体基底上形成各种图案。为了使得布图数据与在半导体基底上形成的图案之间的差异最小化,可应用邻近校正。根据公开,图案建模系统200可学习能够使得差异最小化的图像数据并且生成预测数据,以在执行邻近校正时减少误差。当接收样本图案时,根据公开的图案建模系统200可预测与相应图案对应的纳米几何研究(NGR)测量图像。根据公开,为了在使用深度学习模型训练方法的同时生成用于更好地预测特定主图案的CD的模型,图案建模系统200可通过应用公开中描述的权重滤波器来执行训练,使得深度学习模型可增加测量数据的CD的预测力。测量数据可以是通过包括在图案建模系统200中的样本图案测量的数据。测量数据可反映图案建模系统200已经学习的结果。根据公开的图案建模系统200可生成维持锚(anchor)图案或特定位置的准确CD值的深度学习模型。According to an example, a semiconductor process may be performed based on layout data. Various patterns may be formed on a semiconductor substrate by transmitting layout data to generate an exposure process of a mask and an etching or deposition process using the mask generated in the exposure process. In order to minimize the difference between the layout data and the pattern formed on the semiconductor substrate, a proximity correction may be applied. According to the disclosure, the pattern modeling system 200 may learn image data that can minimize the difference and generate prediction data to reduce errors when performing proximity correction. When receiving a sample pattern, the pattern modeling system 200 according to the disclosure may predict a nano-geometry research (NGR) measurement image corresponding to the corresponding pattern. According to the disclosure, in order to generate a model for better predicting the CD of a specific main pattern while using a deep learning model training method, the pattern modeling system 200 may perform training by applying a weight filter described in the disclosure so that the deep learning model may increase the predictive power of the CD of the measurement data. The measurement data may be data measured by a sample pattern included in the pattern modeling system 200. The measurement data may reflect the results that the pattern modeling system 200 has learned. The disclosed pattern modeling system 200 can generate a deep learning model that maintains accurate CD values of anchor patterns or specific locations.
图3是示出根据实施例的由图案建模系统执行的建模方法的流程图。FIG. 3 is a flowchart illustrating a modeling method performed by a pattern modeling system according to an embodiment.
在操作S310中,可基于关于样本图案的成像信息来生成第一图像数据。样本图案可以是用于生成作为图案建模系统的学习目标的图像的图案。样本图案可以是作为图案建模系统的预测目标的光掩模的图案。样品图案的形状不限于特定形状,并且可以以各种形状被提供。第一图像数据可表示可通过样本图案形成的图像数据。根据示例,第一图像数据可以是通过对样本图案进行仿真获得的图像数据。In operation S310, first image data may be generated based on imaging information about a sample pattern. The sample pattern may be a pattern for generating an image that is a learning target of a pattern modeling system. The sample pattern may be a pattern of a photomask that is a prediction target of a pattern modeling system. The shape of the sample pattern is not limited to a specific shape and may be provided in various shapes. The first image data may represent image data that may be formed by the sample pattern. According to an example, the first image data may be image data obtained by simulating the sample pattern.
在操作S320中,可通过测量第一图像数据来生成第二图像数据。根据示例,第一图像数据可以是通过使用样本图案对光刻工艺进行仿真而获得的图像数据。第二图像数据可以是作为通过将各种条件应用于第一图像数据来执行仿真测量的结果而获得的图像数据。根据示例,第二图像数据可以是作为通过将工艺条件、焦点位置、剂量等不同地应用于第一图像数据来测量第一图像数据的结果而获得的图像数据。In operation S320, the second image data may be generated by measuring the first image data. According to an example, the first image data may be image data obtained by simulating a photolithography process using a sample pattern. The second image data may be image data obtained as a result of performing simulation measurement by applying various conditions to the first image data. According to an example, the second image data may be image data obtained as a result of measuring the first image data by applying process conditions, focus position, dose, etc. differently to the first image data.
根据示例,在操作S310或操作S320中,第一图像数据和第二图像数据可以是通过将目标布图或测量轮廓图像转换为抖动图像而获得的图像数据。According to an example, in operation S310 or operation S320, the first image data and the second image data may be image data obtained by converting a target layout or a measurement profile image into a dithered image.
在操作S330中,可确定第二图像数据的将被应用权重滤波器的区域。在公开中,将被应用权重滤波器的区域可与诸如权重滤波器区域(WFA)的术语互换地描述。将被应用权重滤波器的区域可表示将由公开的图案建模系统学习的具有较高权重的区域。根据示例,将被应用权重滤波器的区域可根据第二图像数据的特性而不同。根据示例,将被应用权重滤波器的区域可以是锚图案。可选择地,将被应用权重滤波器的区域可以是包括在建模系统中的主图案中的任意一个。可选择地,将被应用权重滤波器的区域可以是其中大分布被显示的区域。将被应用权重滤波器的区域不是标准化的,并且可以是图像数据的期望被强调和学习的部分。In operation S330, the area of the second image data to which the weight filter will be applied may be determined. In the disclosure, the area to which the weight filter will be applied may be described interchangeably with terms such as weight filter area (WFA). The area to which the weight filter will be applied may represent an area with a higher weight to be learned by the disclosed pattern modeling system. According to an example, the area to which the weight filter will be applied may be different according to the characteristics of the second image data. According to an example, the area to which the weight filter will be applied may be an anchor pattern. Alternatively, the area to which the weight filter will be applied may be any one of the main patterns included in the modeling system. Alternatively, the area to which the weight filter will be applied may be an area in which a large distribution is displayed. The area to which the weight filter will be applied is not standardized, and may be a portion of the image data that is desired to be emphasized and learned.
在操作S340中,可通过将权重滤波器应用于第二图像数据和参考图像数据来执行DNN训练。根据示例,当确定了将被应用权重滤波器的区域时,可生成权重被应用到相应区域的权重滤波器图像。根据示例,参考图像数据可以是第一图像数据或预存储的样本图像数据。可选择地,参考图像数据可以是通过训练输出的对比目标数据。根据示例,可通过将权重滤波器应用于参考图像数据和第二图像数据中的每个并且比较它们之间的差异来确定损失函数,并且可执行DNN训练。由此,可通过将权重滤波器应用于该区域来执行训练。In operation S340, DNN training may be performed by applying a weight filter to the second image data and the reference image data. According to an example, when the area to which the weight filter is applied is determined, a weight filter image in which the weight is applied to the corresponding area may be generated. According to an example, the reference image data may be the first image data or pre-stored sample image data. Alternatively, the reference image data may be contrast target data output by training. According to an example, a loss function may be determined by applying a weight filter to each of the reference image data and the second image data and comparing the difference therebetween, and DNN training may be performed. Thus, training may be performed by applying the weight filter to the area.
在操作S350中,可基于DNN训练结果来预测半导体装置的图案的图案图像。根据示例,作为关于样本图案的DNN训练结果而获得的图案图像可以是比训练之前关于将被应用权重滤波器的区域具有更高精度的图像。In operation S350, a pattern image of a pattern of a semiconductor device may be predicted based on the DNN training result. According to an example, the pattern image obtained as a result of the DNN training on the sample pattern may be an image with higher accuracy than before training on the region to which the weight filter is applied.
参照图3,关于通过样本图案的仿真获得的图像数据,可确定将被应用权重滤波器的区域,并且可基于应用了权重滤波器的图像数据来执行损失函数计算和DNN训练。由此,可输出关于样本图案的具有高精度的预测数据,并且可充分确保图案图像的预测。3, with respect to the image data obtained by simulation of the sample pattern, the area to which the weight filter will be applied can be determined, and the loss function calculation and DNN training can be performed based on the image data to which the weight filter is applied. Thus, the prediction data with high accuracy with respect to the sample pattern can be output, and the prediction of the pattern image can be fully ensured.
图4是示出根据实施例的生成第一图像数据的方法的示图。FIG. 4 is a diagram illustrating a method of generating first image data according to an embodiment.
参照图4,(a)示出样本图案SP的示例,而(b)和(c)示出从样本图案SP生成的第一图像数据D1和D1’。4 , (a) shows an example of a sample pattern SP, and (b) and (c) show first image data D1 and D1' generated from the sample pattern SP.
根据示例,样本图案SP可通过设计的掩模布图提供。掩模布图可包括将集成电路(IC)印刷在晶片上所需的样本图案SP。样本图案SP可定义将在晶片的单元阵列区域中形成的单元图案的平面形状。According to an example, the sample pattern SP may be provided by a designed mask layout. The mask layout may include a sample pattern SP required to print an integrated circuit (IC) on a wafer. The sample pattern SP may define a planar shape of a cell pattern to be formed in a cell array region of the wafer.
根据示例,通过样本图案SP生成的图像数据可以是通过SEM获得的图像。根据实例,可从NGR公司制造的NGR设备或SEM设备生成SEM图像。SEM图像可以是通过显影后检查(ADI)生成的光致抗蚀剂图案的图像或通过清洁后检查(ACI)生成的实际电路图案的图像。According to an example, the image data generated by the sample pattern SP may be an image obtained by SEM. According to an example, the SEM image may be generated from an NGR device or an SEM device manufactured by NGR Corporation. The SEM image may be an image of a photoresist pattern generated by after-development inspection (ADI) or an image of an actual circuit pattern generated by after-cleaning inspection (ACI).
在(a)中的样本图案SP中,可确认CD被测量为86.24。根据示例,在(b)中,可从样本图案SP生成用于训练的ACI(ADI)轮廓图像。根据示例,(b)中的用于训练的ACI(ADI)轮廓图像可作为在NGR(SEM)设备中的布图文件被传送。布图文件可以是图形设计系统(GDS)文件。针对深度学习训练,可能需要将布图文件转换为图像数据的抖动(dithering)处理。由此,可生成(c)中的第一图像数据D1’。也即,(c)中的第一图像数据D1’可以是通过对GDS文件进行抖动获得的图像数据。In the sample pattern SP in (a), it can be confirmed that the CD is measured to be 86.24. According to the example, in (b), an ACI (ADI) contour image for training can be generated from the sample pattern SP. According to the example, the ACI (ADI) contour image for training in (b) can be transmitted as a layout file in an NGR (SEM) device. The layout file may be a graphic design system (GDS) file. For deep learning training, it may be necessary to convert the layout file into a dithering process of image data. Thus, the first image data D1' in (c) can be generated. That is, the first image data D1' in (c) may be image data obtained by dithering the GDS file.
根据示例,当从GDS文件到图像数据执行抖动时,作为测量CD的位置的坐标信息消失。GDS文件将所有多边形的信息显示为坐标,但是图像数据以像素表示,因此坐标信息消失。According to the example, when dithering is performed from the GDS file to the image data, the coordinate information as the position of the measured CD disappears. The GDS file displays the information of all polygons as coordinates, but the image data is expressed in pixels, so the coordinate information disappears.
因此,测量坐标信息可不包括在生成的第一图像数据D1和D1’中。根据示例,当已经消失的坐标信息是负责工艺参考的锚图案时,准确地预测相应位置的CD是模型预测力的重要性能指标,并且可能需要通过强调相应位置的CD来进行训练。Therefore, the measured coordinate information may not be included in the generated first image data D1 and D1'. According to an example, when the coordinate information that has disappeared is an anchor pattern responsible for process reference, accurately predicting the CD of the corresponding position is an important performance indicator of the model's predictive power, and training may be required by emphasizing the CD of the corresponding position.
图5是示出根据实施例的确定第二图像数据中的WFA的方法的示图。FIG. 5 is a diagram illustrating a method of determining a WFA in second image data according to an embodiment.
根据示例,图5的第二图像数据D2可以是通过将测量值应用于第一图像数据而获得的图像数据结果。根据第二图像数据D2的结果,可选择将被应用权重滤波器的区域。在图5的示例中,示出了WFA。参照图5的示例,WFA可表示可在第二图像数据D2中测量的CD区域。According to an example, the second image data D2 of FIG. 5 may be an image data result obtained by applying the measurement value to the first image data. According to the result of the second image data D2, an area to which a weight filter is applied may be selected. In the example of FIG. 5 , WFA is shown. Referring to the example of FIG. 5 , WFA may represent a CD area that may be measured in the second image data D2.
图6A是示出根据实施例的确定WFA的方法的示图。图6B是根据实施例的被应用WFA的图像的示图。Fig. 6A is a diagram illustrating a method of determining a WFA according to an embodiment. Fig. 6B is a diagram of an image to which a WFA is applied according to an embodiment.
参照图6A,在左侧示出了被应用WFA的第二图像数据,并且在右侧示出了通过将WFA应用于第二图像数据而获得的图像数据WFI_1。在图6B中,在左侧示出了被应用WFA的第二图像数据,在右侧示出了通过将WFA应用于第二图像数据而获得的图像数据WFI_2。6A, the second image data to which WFA is applied is shown on the left, and image data WFI_1 obtained by applying WFA to the second image data is shown on the right. In FIG6B, the second image data to which WFA is applied is shown on the left, and image data WFI_2 obtained by applying WFA to the second image data is shown on the right.
参照图6A,示出了在测量第二图像数据中的CD时,将与CD对应的区域确定为WFA的示例。6A , there is shown an example in which, when measuring the CD in the second image data, a region corresponding to the CD is determined as the WFA.
参照图6B,示出了当在第二图像数据中测量分布时,将其中分布有多个分布的区域确定为WFA的示例。6B , there is shown an example in which, when the distribution is measured in the second image data, a region in which a plurality of distributions are distributed is determined as a WFA.
参照图6A和图6B,当在第二图像数据中确定WFA时,可生成应用了确定的WFA的权重滤波器图像WFI_1和WFI_2。图6A和图6B中示出的设置WFA的示例可与一些实施例对应。根据示例,当设置WFA时,样本图案SP可被设置为具有不同的位置和背景。根据另外的示例,WFA可被设置为与测量点的位置相同。根据另外的示例,WFA可被设置为与第二图像数据的特定图案的形状对应。根据另外的示例,可调节将被应用于设置的WFA的权重。6A and 6B, when a WFA is determined in the second image data, weight filter images WFI_1 and WFI_2 to which the determined WFA is applied may be generated. The examples of setting the WFA shown in FIGS. 6A and 6B may correspond to some embodiments. According to an example, when the WFA is set, the sample pattern SP may be set to have different positions and backgrounds. According to another example, the WFA may be set to be the same as the position of the measurement point. According to another example, the WFA may be set to correspond to the shape of a specific pattern of the second image data. According to another example, the weight to be applied to the set WFA may be adjusted.
图6C示出根据实施例的从样本图案生成第一图像数据和第二图像数据的示例。FIG. 6C illustrates an example of generating first image data and second image data from a sample pattern according to an embodiment.
参照图6C,可以以设计形式提供样本图案SP,并且可通过样本图案SP生成多个第一图像数据D1。当生成多个第一图像数据D1时,可通过测量多个第一图像数据D1来生成第二图像数据D2。6C , the sample pattern SP may be provided in a designed form, and a plurality of first image data D1 may be generated through the sample pattern SP. When the plurality of first image data D1 are generated, the second image data D2 may be generated by measuring the plurality of first image data D1.
图7示出根据实施例的应用权重滤波器的仿真结果。FIG. 7 shows simulation results of applying a weight filter according to an embodiment.
参照图7,通过生成其中100%的权重被反映在图像的中心并且仅5%的权重被反映在背景中的图像,可生成权重被应用于期望的部分的图像数据。7 , by generating an image in which 100% of the weight is reflected in the center of the image and only 5% of the weight is reflected in the background, image data in which a weight is applied to a desired portion may be generated.
根据示例,为了将权重反映在图像数据的特定位置上,可首先将GDS的坐标改变为像素位置。为了使其自动化,可对测量图像和抖动图像进行索引。此后,可将具有相同索引和测量坐标的抖动图像改变为像素位置。According to an example, in order to reflect the weight on a specific position of the image data, the coordinates of the GDS may be first changed to pixel positions. To automate this, the measured image and the jittered image may be indexed. Thereafter, the jittered image having the same index and measured coordinates may be changed to pixel positions.
根据公开,可生成与权重滤波器对应的权重层图像。可以以灰度级0至255的形式生成权重层图像。这是为了将测量抖动图像与每个像素相乘。灰度级可将每个像素中的0到255(256)的值存储为整数,并且0到255(256)的值可被容易地归一化为0到1。According to the disclosure, a weight layer image corresponding to the weight filter can be generated. The weight layer image can be generated in the form of grayscale 0 to 255. This is to multiply the measured jitter image with each pixel. The grayscale can store the value of 0 to 255 (256) in each pixel as an integer, and the value of 0 to 255 (256) can be easily normalized to 0 to 1.
也即,根据公开,权重层图像可用于对测量抖动图像卷积和执行归一化(normalize)。根据示例,当用户直观地设置权重值时,权重值可被设置为0与1之间的归一化值。最后,当仅对权重层的测量像素应用权重滤波器以将测量轮廓的抖动图像与权重层图像相乘时,可仅保留测量部分的图像作为训练结果。因此,当生成权重层图像时,可能需要反映背景值。That is, according to the disclosure, the weight layer image can be used to convolve and normalize the measured jitter image. According to the example, when the user intuitively sets the weight value, the weight value can be set to a normalized value between 0 and 1. Finally, when the weight filter is applied only to the measured pixels of the weight layer to multiply the jitter image of the measured contour with the weight layer image, only the image of the measured portion can be retained as the training result. Therefore, when generating the weight layer image, it may be necessary to reflect the background value.
参照图7,可通过在WFA中将权重设置为100%并将背景值设置为5%来生成权重滤波器图像,并且可调节特定值。7 , a weight filter image may be generated by setting a weight to 100% and a background value to 5% in WFA, and a specific value may be adjusted.
然后,可使用相应的图像数据来执行深度卷积GAN(DCGAN)训练。根据公开,可使用训练的数据来执行光学邻近校正(OPC)和工艺邻近校正(PPC)。也即,可利用有效地匹配锚图案的CD和重要图案的CD的深度学习来生成OPC预测模型和PPC预测模型。Then, the corresponding image data can be used to perform deep convolutional GAN (DCGAN) training. According to the disclosure, the trained data can be used to perform optical proximity correction (OPC) and process proximity correction (PPC). That is, deep learning that effectively matches the CD of the anchor pattern and the CD of the important pattern can be used to generate the OPC prediction model and the PPC prediction model.
图8示出根据实施例的损失函数模块的示例。参照图8的损失函数模块221,可将差异值传送到DNN 211。由图8的损失函数模块221实现的损失函数方程可由方程(1)表示。Fig. 8 shows an example of a loss function module according to an embodiment. Referring to the loss function module 221 of Fig. 8, the difference value may be transmitted to the DNN 211. The loss function equation implemented by the loss function module 221 of Fig. 8 may be represented by equation (1).
Loss(NetGtarget,NetGsim)×Weight (1)Loss(NetG target ,NetG sim )×Weight (1)
根据示例,NetGtarget可以是作为测量结果而获得的第二图像数据,并且NetGsim可以是参考图像数据。Weight可表示被应用WFA的权重层图像。根据示例,可将权重滤波器应用于第二图像数据与参考图像数据中的每个。根据示例,可将通过将第二图像数据与权重滤波器图像进行卷积并且将参考图像数据与权重滤波器图像进行卷积而获得的差异值(或差异图像)diff_B传送到DNN 211。根据示例,应用于第二图像数据的权重滤波器图像可与应用于参考图像数据的权重滤波器图像相同。According to an example, NetG target may be second image data obtained as a result of measurement, and NetG sim may be reference image data. Weight may represent a weight layer image to which WFA is applied. According to an example, a weight filter may be applied to each of the second image data and the reference image data. According to an example, a difference value (or difference image) diff_B obtained by convolving the second image data with the weight filter image and convolving the reference image data with the weight filter image may be transmitted to DNN 211. According to an example, the weight filter image applied to the second image data may be the same as the weight filter image applied to the reference image data.
根据公开,提取的区域的特定部分的权重滤波器图像可根据输入尺寸生成,并被用于计算DNN 211的反向传播的损失函数,以反映特定像素区域的权重。根据示例,当改变用于图像到图像训练的轮廓布图的图像时,工程师可选择已经测量的区域或期望的区域,并且关于选择的区域生成与附加输入图像相同尺寸的权重滤波器图像。According to the disclosure, a weight filter image of a specific portion of the extracted area can be generated according to the input size and used to calculate the loss function of the back propagation of the DNN 211 to reflect the weight of the specific pixel area. According to an example, when changing the image of the contour layout used for image-to-image training, the engineer can select an area that has been measured or a desired area, and generate a weight filter image of the same size as the additional input image with respect to the selected area.
当将作为执行DNN 211的前向(forward)训练之后的输出而生成的仿真图像与鉴别器图像进行比较时,可使用生成的权重滤波器图像。根据示例,仿真图像可以是伪图像,并且鉴别器图像可以是真实图像。根据示例,仿真图像可以是参考图像数据,并且鉴别器图像可以是第二图像数据。当不存在权重滤波器图像时,可生成差异图像diff_A,但是可使用权重滤波器图像来生成用户感兴趣的区域的差异值diff_B。作为损失函数模块221应用损失函数的结果,可通过GAN反向传播来更新层之间的权重数据。When comparing a simulated image generated as an output after performing forward training of DNN 211 with a discriminator image, the generated weight filter image can be used. According to an example, the simulated image can be a pseudo image, and the discriminator image can be a real image. According to an example, the simulated image can be reference image data, and the discriminator image can be second image data. When there is no weight filter image, a difference image diff_A can be generated, but the weight filter image can be used to generate a difference value diff_B of the area of interest to the user. As a result of the loss function module 221 applying the loss function, the weight data between the layers can be updated by GAN back propagation.
根据公开,提供一种关于图像像素的位置生成权重滤波器并且在半导体图案化工艺的图像深度学习建模期间使用权重滤波器用于训练的模型训练方法。根据公开,在基于图像数据训练深度学习建模的处理中,关于特定图案或特定区域的权重滤波器可被生成并且被用于调节每个区域的像素权重,这可用于提高模型精度和扩展数据挖掘。According to the disclosure, a model training method is provided for generating a weight filter about the position of an image pixel and using the weight filter for training during image deep learning modeling of a semiconductor patterning process. According to the disclosure, in the process of training deep learning modeling based on image data, a weight filter about a specific pattern or a specific area can be generated and used to adjust the pixel weight of each area, which can be used to improve model accuracy and expand data mining.
图9示出根据实施例的DNN的示例。根据示例,DNN 211可包括多个层ch1、ch2、ch3……。可将输入图像in输入DNN 211。权重数据W可被反映在多个层ch1、ch2、ch3……之间。根据公开的DNN 211的权重数据W可通过将权重滤波器图像应用于DNN 211的输出数据out的结果来更新。9 shows an example of a DNN according to an embodiment. According to an example, the DNN 211 may include a plurality of layers ch1, ch2, ch3, ... The input image in may be input to the DNN 211. The weight data W may be reflected between the plurality of layers ch1, ch2, ch3, ... The weight data W of the disclosed DNN 211 may be updated by applying the weight filter image to the result of the output data out of the DNN 211.
根据公开的DNN 211可具有通过包括多个下采样层(down sample layers)(例如,down1和down2)而允许长距离上的像素相关性(pixel correlation)的结构。因为下采样层暗示图案信息,所以每当下采样层通过像素时,输入图像可在输出层中减小一半。然而,减小的图像仍然对应于与输入图像相同的区域,并且表示的信息可对应于输入图像的两倍(或相对于面积为4倍)。因此,即使在使用相同大小的内核时,作用于已经通过更多下采样层的图像的内核可表示关于更宽的区域的像素相关性。例如,当第一下采样层的图像是输入图像的约1/4(面积概念中的1/16)时,与第二下采样层对应的内核在输入图像中可覆盖非常小的区域,但是在第二下采样层的图像中可覆盖大部分区域。根据一些实施例,DNN211还可包括其他的层,诸如,上采样层(up1和up2)、缩放层(Scale(S1)和Scale(S2))等。According to the disclosed DNN 211, a structure that allows pixel correlation over a long distance may be provided by including a plurality of down sample layers (e.g., down1 and down2). Because the down sample layer implies pattern information, the input image may be reduced by half in the output layer each time the down sample layer passes through a pixel. However, the reduced image still corresponds to the same area as the input image, and the information represented may correspond to twice the input image (or 4 times with respect to the area). Therefore, even when the kernel of the same size is used, the kernel acting on the image that has passed through more down sample layers may represent pixel correlation about a wider area. For example, when the image of the first down sample layer is about 1/4 of the input image (1/16 in the area concept), the kernel corresponding to the second down sample layer may cover a very small area in the input image, but may cover most of the area in the image of the second down sample layer. According to some embodiments, DNN211 may also include other layers, such as up sample layers (up1 and up2), scaling layers (Scale(S1) and Scale(S2)), and the like.
根据公开的DNN 211可反映位于远处的图案的影响,并且输出图像可生成可保证子像素级的准确度的高精度图像。根据公开,图案复杂度针对半导体装置的每一层是不同的,从而可通过改变结构来实现建模。According to the disclosure, the DNN 211 can reflect the influence of a pattern located far away, and the output image can generate a high-precision image that can ensure sub-pixel-level accuracy. According to the disclosure, the pattern complexity is different for each layer of the semiconductor device, so that modeling can be achieved by changing the structure.
通过根据公开的DNN 211的基于图像的模型可能够一对一训练,并且可被训练为预测一个答案。根据公开,为了引导训练以匹配特定CD或更好地预测具有不良分布的区域,可使用权重滤波器来配置损失函数,权重滤波器是真实图像与生成的图像之间的附加工具。根据示例,当使用新处理的数据进行训练时,根据公开的DNN 211可仅使用适合于数据一致性的区域用于训练。The image-based model according to the disclosed DNN 211 may be able to be trained one-to-one and may be trained to predict one answer. According to the disclosure, in order to guide the training to match a specific CD or better predict an area with a poor distribution, a weight filter may be used to configure the loss function, which is an additional tool between the real image and the generated image. According to an example, when training with newly processed data, the disclosed DNN 211 may use only areas suitable for data consistency for training.
图10是用于解释根据实施例的图案建模系统的应用示例的示图。参照图10,根据公开的图案建模系统可能够对具有很少测量图像的图案进行深度学习建模。Fig. 10 is a diagram for explaining an application example of a pattern modeling system according to an embodiment. Referring to Fig. 10 , the pattern modeling system according to the disclosure may be able to perform deep learning modeling on a pattern with few measurement images.
参照图10,可在仅存在测量结果和少量测量图像的情况下生成深度学习模型。根据公开的图案建模系统可被应用于针对不具有测量图像的特定图案使用仿真图像或生成增加特定区域中的CD或图案形状的预测准确度的模型。10, a deep learning model can be generated in the presence of only measurement results and a small number of measurement images. The pattern modeling system according to the disclosure can be applied to use simulated images for specific patterns without measurement images or to generate a model that increases the prediction accuracy of CD or pattern shape in a specific area.
图10的1002示出了仅存在轮廓图像的情况,并且图10的1004示出存在测量图像但是测量图像的数量少的情况。当存在测量图像但是测量图像的数量不足以用于训练时,可对测量图像的使用权重滤波器的区域执行重复训练。根据示例,可通过对测量图像设置高的权重和高的背景值并且对轮廓图像设置低的背景值来执行训练。1002 of FIG. 10 shows a case where only a contour image exists, and 1004 of FIG. 10 shows a case where a measurement image exists but the number of the measurement images is small. When the measurement image exists but the number of the measurement images is insufficient for training, repeated training may be performed on the area of the measurement image using the weight filter. According to an example, training may be performed by setting a high weight and a high background value for the measurement image and a low background value for the contour image.
根据示例,假设存在259个测量图像并且在建模期间通过仿真测量了1984个CD值,当通过将经由仿真配置的CD值的所有像素设置为相同的权重来执行训练时,可能出现过拟合问题。在这种情况下,根据公开,可针对测量的CD值对生成的图案执行训练,并且可以以混合形式与具有存在测量图像的样本一起执行模型训练。According to an example, assuming that there are 259 measurement images and 1984 CD values are measured by simulation during modeling, when training is performed by setting all pixels of the CD values configured by simulation to the same weight, an overfitting problem may occur. In this case, according to the disclosure, training can be performed on the generated pattern for the measured CD values, and model training can be performed in a mixed form with samples having existing measurement images.
图11是示出根据实施例的使用图案建模系统的半导体装置的制造方法的流程图。FIG. 11 is a flowchart illustrating a method of manufacturing a semiconductor device using a pattern modeling system according to an embodiment.
在操作S1110中,可输入通过将要学习的样本图案的数据。在这种情况下,输入数据可以是基于样本图案生成的第一图像数据。In operation S1110, data of a sample pattern to be learned may be input. In this case, the input data may be first image data generated based on the sample pattern.
在操作S1120中,可通过对数据进行预处理来确定将被应用权重滤波器的区域。根据示例,数据的预处理可包括生成第一图像数据以及通过测量第一图像数据来生成第二图像数据。可根据第二图像数据的特性来确定将被应用权重滤波器的区域。In operation S1120, the area to which the weight filter is applied may be determined by preprocessing the data. According to an example, the preprocessing of the data may include generating first image data and generating second image data by measuring the first image data. The area to which the weight filter is applied may be determined according to characteristics of the second image data.
在操作S1130中,可通过应用权重滤波器来学习图案数据。在操作S1130中,可将应用了权重滤波器的图像数据应用于损失函数。In operation S1130, the pattern data may be learned by applying the weight filter. In operation S1130, the image data to which the weight filter is applied may be applied to a loss function.
在操作S1140中,可通过应用学习的数据来执行OPC和PPC。学习的数据关于被应用权重滤波器的区域具有更高的准确度,因此,可对学习的数据更有效地执行OPC和PPC。In operation S1140, OPC and PPC may be performed by applying the learned data. The learned data has higher accuracy with respect to the region to which the weight filter is applied, and thus, OPC and PPC may be more effectively performed on the learned data.
可基于光刻仿真(lithography simulation)来执行OPC和PPC,光刻仿真预测根据设计的掩模布图将在晶片上形成的轮廓图像和CD。OPC and PPC may be performed based on lithography simulation, which predicts the profile image and CD that will be formed on the wafer according to the designed mask layout.
根据公开,为了在深度学习建模期间改变(反映)图像的特定位置的权重,可设置以像素为单位的权重滤波器图像。权重滤波器图像可以是应用了权重滤波器的用于训练的图像数据。根据示例,权重滤波器图像可在深度学习训练期间被用于计算损失函数,并且在反向传播计算期间用于更新权重。According to the disclosure, in order to change (reflect) the weight of a specific position of an image during deep learning modeling, a weight filter image in pixels can be set. The weight filter image can be image data for training to which a weight filter is applied. According to an example, the weight filter image can be used to calculate a loss function during deep learning training and to update weights during back propagation calculations.
图12是示出根据实施例的制造IC的方法的流程图。FIG. 12 is a flowchart illustrating a method of manufacturing an IC according to an embodiment.
参照图12,标准单元库D10可包括关于标准单元的信息(例如,功能信息、特性信息、布图信息等)。标准单元库D10可包括定义标准单元的布图的数据DC。数据DC可包括定义执行相同功能并且具有不同布图的标准单元的结构的数据。数据DC可包括执行第一功能并且定义具有不同布图的标准单元的结构的第一数据DC1,以及执行第n功能并且定义具有不同布图的标准单元的结构的第n数据DCn(n是2或更大的自然数)。12, the standard cell library D10 may include information about the standard cell (e.g., function information, characteristic information, layout information, etc.). The standard cell library D10 may include data DC defining the layout of the standard cell. The data DC may include data defining the structure of the standard cell that performs the same function and has different layouts. The data DC may include first data DC1 that performs a first function and defines the structure of the standard cell with different layouts, and nth data DCn (n is a natural number of 2 or greater) that performs an nth function and defines the structure of the standard cell with different layouts.
在操作S10中,可执行逻辑合成操作,以从准备学习(RTL)数据D11生成网表数据D20。例如,半导体设计工具(例如,逻辑合成模块)可参照标准单元库D10根据RTL数据D11执行逻辑合成操作,从而生成包括比特流或网表的网表数据D20,RTL数据D11被编写为超高速IC(VHSIC)硬件描述语言(VHDL)和硬件描述语言(HDL)(诸如,Verilog)。可根据设计验证方法和计算系统来开发RTL设计,并且可生成RTL数据D11。In operation S10, a logic synthesis operation may be performed to generate netlist data D20 from ready-to-learn (RTL) data D11. For example, a semiconductor design tool (e.g., a logic synthesis module) may perform a logic synthesis operation according to RTL data D11 with reference to a standard cell library D10, thereby generating netlist data D20 including a bitstream or a netlist, the RTL data D11 being written in a very high speed IC (VHSIC) hardware description language (VHDL) and a hardware description language (HDL) (such as Verilog). An RTL design may be developed according to a design verification method and a computing system, and the RTL data D11 may be generated.
标准单元库D10可包括执行相同功能并定义具有不同布图的标准单元的结构的数据DC,并且在逻辑合成期间标准单元可参照这样的信息被包括在IC中。The standard cell library D10 may include data DC that perform the same function and define structures of standard cells having different layouts, and the standard cells may be included in the IC with reference to such information during logic synthesis.
在操作S20中,可执行根据网表数据D20生成布图数据D30的布局和布线(P&R)操作。布图数据D30可具有例如GDSII的格式,并且可包括标准单元和互连的几何信息。In operation S20, a place and route (P&R) operation of generating layout data D30 according to netlist data D20 may be performed. Layout data D30 may have a format such as GDSII, and may include geometric information of standard cells and interconnections.
例如,半导体设计工具(例如,P&R模块)可参照来自网表数据D20的标准单元库D10来布局多个标准单元。半导体设计工具可参照数据DC选择由布图数据D103定义的标准单元的布图中的一个,并且可布局标准单元的选择的布图。For example, a semiconductor design tool (e.g., a P&R module) may lay out a plurality of standard cells with reference to the standard cell library D10 from the netlist data D20. The semiconductor design tool may select one of the layouts of the standard cells defined by the layout data D103 with reference to the data DC, and may lay out the selected layout of the standard cell.
在操作S20中,还可执行生成互连的操作。互连可电连接标准单元的输出引脚和输入引脚,并且可包括例如至少一个通孔和至少一个导电图案。In operation S20, an operation of generating an interconnection may also be performed. The interconnection may electrically connect an output pin and an input pin of the standard cell, and may include, for example, at least one through-hole and at least one conductive pattern.
在操作S30中,可执行OPC。OPC可表示通过校正失真现象(诸如,由包括在用于制造IC的半导体工艺中的光刻中的光的特性引起的折射)来形成期望的形状的图案的操作,并且可通过将OPC应用于布图数据D30来确定掩模上的图案。在一些实施例中,可在操作S30中有限地修改IC的布图,并且在操作S30中对IC的有限修改是用于优化IC的结构的后处理,并且可被称为设计抛光(design polishing)。根据实施例,布图数据D30可以是由图1的图案建模系统100学习和预测的布图数据。使用由图案建模系统100学习和预测的布图数据,可获得具有更高的图案精度的结果。In operation S30, OPC may be performed. OPC may refer to an operation of forming a pattern of a desired shape by correcting a distortion phenomenon such as refraction caused by the characteristics of light in photolithography included in a semiconductor process for manufacturing an IC, and the pattern on the mask may be determined by applying OPC to the layout data D30. In some embodiments, the layout of the IC may be limitedly modified in operation S30, and the limited modification of the IC in operation S30 is a post-processing for optimizing the structure of the IC, and may be referred to as design polishing. According to an embodiment, the layout data D30 may be layout data learned and predicted by the pattern modeling system 100 of FIG. 1. Using the layout data learned and predicted by the pattern modeling system 100, a result with higher pattern accuracy may be obtained.
在操作S40中,可执行制造掩模的操作。例如,当将OPC应用于布图数据D30时,可定义掩模上的图案以形成在多个层上形成的图案,并且可制造至少一个掩模(或光掩模)以形成多个层的各自的图案。In operation S40, an operation of manufacturing a mask may be performed. For example, when OPC is applied to layout data D30, a pattern on a mask may be defined to form patterns formed on a plurality of layers, and at least one mask (or photomask) may be manufactured to form respective patterns of the plurality of layers.
在操作S50中,可执行制造IC的操作。例如,可通过使用在操作S40中制造的至少一个掩模对多个层进行图案化来制造IC。操作S50可包括操作S51和S52。In operation S50, an operation of manufacturing an IC may be performed. For example, the IC may be manufactured by patterning a plurality of layers using at least one mask manufactured in operation S40. Operation S50 may include operations S51 and S52.
在操作S51中,可执行前段制程(FEOL)工艺。FEOL可表示在IC的制造过程期间在基底上形成单个元件(例如,晶体管、电容器、电阻器等)的工艺。例如,FEOL工艺可包括平坦化和清洁晶片、形成沟槽、形成阱、形成栅极线以及形成源极和漏极。In operation S51, a front-end-of-line (FEOL) process may be performed. FEOL may refer to a process of forming individual elements (e.g., transistors, capacitors, resistors, etc.) on a substrate during a manufacturing process of an IC. For example, the FEOL process may include planarizing and cleaning a wafer, forming a trench, forming a well, forming a gate line, and forming a source and a drain.
在操作S52中,可执行后端工序(BEOL)工艺。BEOL可表示在IC的制造过程中将单个元件(例如,晶体管、电容器、电阻器等)互联的工艺。例如,BEOL工艺可包括硅化(silicidating)栅极、源极和漏极区域、添加电介质、平坦化、形成孔、形成金属层、形成通孔以及形成钝化(passivation)层。然后,IC可被封装在半导体封装中并且用作各种应用中的组件。In operation S52, a back-end-of-line (BEOL) process may be performed. BEOL may refer to a process of interconnecting individual components (e.g., transistors, capacitors, resistors, etc.) during the manufacturing process of an IC. For example, the BEOL process may include silicidating gate, source and drain regions, adding dielectrics, planarizing, forming holes, forming metal layers, forming vias, and forming passivation layers. The IC may then be packaged in a semiconductor package and used as a component in various applications.
如结合公开的各种实施例所使用的,术语“模块”可包括以硬件、软件或固件实现的单元,并且可与其他术语(例如,逻辑、逻辑块、部件或电路)互换使用。模块可以是适于执行一个或多个功能的单个集成组件或它的最小单元或部分。例如,根据实施例,模块可以以专用集成电路(ASIC)的形式实现。As used in conjunction with the various embodiments disclosed, the term "module" may include units implemented in hardware, software, or firmware, and may be used interchangeably with other terms (e.g., logic, logic block, component, or circuit). A module may be a single integrated component or its smallest unit or portion adapted to perform one or more functions. For example, according to an embodiment, a module may be implemented in the form of an application specific integrated circuit (ASIC).
在此阐述的各种实施例可被实现为包括存储在机器(例如,电子装置)可读的存储介质(例如,内部存储器或外部存储器)中的一个或多个指令的软件(例如,程序)。例如,机器(例如,电子装置)的处理器可调用存储在存储介质中的一个或多个指令中的至少一个,并且在处理器的控制下在使用或不使用一个或多个其他组件的情况下执行至少一个指令。这允许操作机器以根据调用的至少一个指令来执行至少一个功能。一个或多个指令可包括由编译器生成的代码或可由解析器执行的代码。机器可读存储介质可以以非暂时性存储介质的形式被提供。其中,术语“非暂时性”可表示存储介质是有形装置,并且不包括信号(例如,电磁波),但是此术语不区分数据半永久地存储在存储介质中的情况和数据暂时存储在存储介质中的情况。The various embodiments set forth herein may be implemented as software (e.g., a program) including one or more instructions stored in a storage medium (e.g., an internal memory or an external memory) readable by a machine (e.g., an electronic device). For example, a processor of a machine (e.g., an electronic device) may call at least one of the one or more instructions stored in the storage medium, and execute at least one instruction under the control of the processor with or without the use of one or more other components. This allows the machine to be operated to perform at least one function according to at least one instruction called. One or more instructions may include code generated by a compiler or code executable by a parser. The machine-readable storage medium may be provided in the form of a non-transitory storage medium. Among them, the term "non-transitory" may indicate that the storage medium is a tangible device and does not include signals (e.g., electromagnetic waves), but this term does not distinguish between a case where data is semi-permanently stored in a storage medium and a case where data is temporarily stored in a storage medium.
根据实施例,可在计算机程序产品中包括和提供根据公开的各种实施例的方法。计算机程序产品可作为产品在卖方和买方之间交易。计算机程序产品可以以机器可读存储介质(例如,光盘只读存储器(CD-ROM))的形式分发,或者通过应用商店(例如,PlayStoreTM)在线分发(例如,下载或上传),或者直接在两个用户装置(例如,智能电话)之间分发。如果在线分发,则计算机程序产品的至少一部分可暂时生成或至少暂时存储在机器可读存储介质中(诸如,制造商服务器的存储器、应用商店的服务器或中继服务器)。According to an embodiment, the method according to various disclosed embodiments may be included and provided in a computer program product. The computer program product may be traded between a seller and a buyer as a product. The computer program product may be distributed in the form of a machine-readable storage medium (e.g., a compact disc read-only memory (CD-ROM)), or distributed online (e.g., downloaded or uploaded) through an application store (e.g., PlayStore TM ), or distributed directly between two user devices (e.g., smart phones). If distributed online, at least a portion of the computer program product may be temporarily generated or at least temporarily stored in a machine-readable storage medium (such as a memory of a manufacturer's server, a server of an application store, or a relay server).
根据各种实施例,上述组件中的每个组件(例如,模块或程序)可包括单个实体或多个实体,并且多个实体中的一些实体可被单独地设置在不同的组件中。根据各种实施例,可省略上述组件中的一个或多个,或者可添加一个或多个其他组件。可选地或附加地,多个组件(例如,模块或程序)可集成到单个组件中。在这种情况下,根据各种实施例,集成组件仍然可以以与在集成之前由多个组件中的相应组件执行的方式相同或相似的方式执行多个组件中的每个的一个或多个功能。根据各种实施例,由模块、程序或另一组件执行的操作可顺序地、并行地、重复地或启发式地执行,或者操作中的一个或多个可以以不同的顺序执行或省略,或者可添加一个或多个其他操作。According to various embodiments, each of the above-mentioned components (e.g., a module or a program) may include a single entity or multiple entities, and some of the multiple entities may be separately set in different components. According to various embodiments, one or more of the above-mentioned components may be omitted, or one or more other components may be added. Alternatively or additionally, multiple components (e.g., modules or programs) may be integrated into a single component. In this case, according to various embodiments, the integrated component may still perform one or more functions of each of the multiple components in the same or similar manner as performed by the corresponding components of the multiple components before integration. According to various embodiments, the operations performed by a module, a program or another component may be performed sequentially, in parallel, repeatedly or heuristically, or one or more of the operations may be performed or omitted in a different order, or one or more other operations may be added.
以上描述中提供的每个实施例不排除与还在此提供或未在此提供但是与公开一致的另外的示例或另外的实施例的一个或多个特征相关联。Each embodiment provided in the above description is not exclusive of association with one or more features of additional examples or additional embodiments that may or may not be provided herein but are consistent with the disclosure.
虽然已经参照公开的实施例具体示出和描述了公开,但是将理解,在不脱离所附权利要求的精神和范围的情况下,可在其中进行形式和细节上的各种改变。While the disclosure has been particularly shown and described with reference to disclosed embodiments, it will be understood that various changes in form and details may be made therein without departing from the spirit and scope of the appended claims.
Claims (20)
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
KR1020230039293A KR20240143526A (en) | 2023-03-24 | 2023-03-24 | Pattern modeling system and pattern modeling method |
KR10-2023-0039293 | 2023-03-24 |
Publications (1)
Publication Number | Publication Date |
---|---|
CN118691483A true CN118691483A (en) | 2024-09-24 |
Family
ID=92776464
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN202410317990.5A Pending CN118691483A (en) | 2023-03-24 | 2024-03-20 | Pattern modeling system and pattern modeling method |
Country Status (2)
Country | Link |
---|---|
KR (1) | KR20240143526A (en) |
CN (1) | CN118691483A (en) |
-
2023
- 2023-03-24 KR KR1020230039293A patent/KR20240143526A/en unknown
-
2024
- 2024-03-20 CN CN202410317990.5A patent/CN118691483A/en active Pending
Also Published As
Publication number | Publication date |
---|---|
KR20240143526A (en) | 2024-10-02 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US11061318B2 (en) | Lithography model calibration | |
US11301748B2 (en) | Automatic feature extraction from aerial images for test pattern sampling and pattern coverage inspection for lithography | |
US11726402B2 (en) | Method and system for layout enhancement based on inter-cell correlation | |
US6768958B2 (en) | Automatic calibration of a masking process simulator | |
US7451068B2 (en) | Method and apparatus for generating an OPC segmentation based on modeled intensity gradients | |
US11947254B2 (en) | Method of mask data synthesis and mask making | |
US7571418B2 (en) | Simulation site placement for lithographic process models | |
US7526748B2 (en) | Design pattern data preparing method, mask pattern data preparing method, mask manufacturing method, semiconductor device manufacturing method, and program recording medium | |
US11468222B2 (en) | Stochastic signal prediction in compact modeling | |
CN116137899A (en) | Three-dimensional mask simulation based on feature images | |
US10571799B1 (en) | Hessian-free calculation of product of Hessian matrix and vector for lithography optimization | |
Meng et al. | Machine learning models for edge placement error based etch bias | |
Pang et al. | Optimization from design rules, source and mask, to full chip with a single computational lithography framework: level-set-methods-based inverse lithography technology (ILT) | |
CN118691483A (en) | Pattern modeling system and pattern modeling method | |
JP2004061720A (en) | Method and device for generating process model | |
US20250068056A1 (en) | Mask optimization for layer accounting for overlap with other layers | |
US20250068052A1 (en) | Mask optimization for layer based on comparison of components in layer to components in other layers | |
US20250068051A1 (en) | Mask optimization for first layer that accounts for other layers | |
US20250068057A1 (en) | Concurrent mask optimization for multiple layers | |
US20250068058A1 (en) | Iterative mask optimization biased towards critical regions of layout | |
JP2024539821A (en) | Mask fabrication effects in 3D mask simulation using feature images | |
CN118020022A (en) | Mask manufacturing effects in three-dimensional mask simulation using feature images | |
CN114721217A (en) | Methods and systems for improving optical proximal correction techniques | |
TW202225826A (en) | Method and system for improving optical proximity correlation techniques |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
PB01 | Publication | ||
PB01 | Publication |