CN118192154A - 光学近接校正方法和通过使用该方法制造掩模的方法 - Google Patents

光学近接校正方法和通过使用该方法制造掩模的方法 Download PDF

Info

Publication number
CN118192154A
CN118192154A CN202311235039.7A CN202311235039A CN118192154A CN 118192154 A CN118192154 A CN 118192154A CN 202311235039 A CN202311235039 A CN 202311235039A CN 118192154 A CN118192154 A CN 118192154A
Authority
CN
China
Prior art keywords
mask
target pattern
opc
layout
mask layout
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202311235039.7A
Other languages
English (en)
Inventor
姜明昊
金勇儿
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from KR1020220174951A external-priority patent/KR20240092316A/ko
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of CN118192154A publication Critical patent/CN118192154A/zh
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

一种光学近接校正(OPC)方法,包括:在生成用于晶片上的目标图案的掩模布局,将掩模布局的边划分成分段,通过以预定角度旋转掩模布局来生成经旋转的掩模布局,通过将关于经旋转的掩模布局的分段的数据输入到OPC模型来提取目标图案的轮廓,计算每个分段的边放置误差(EPE),确定是否重新执行对目标图案的轮廓的提取,当确定重新执行对目标图案的轮廓的提取时计算分段的位移,以及按照位移移动分段;在按照所述位移移动所述分段之后,重复对所述目标图案的轮廓的提取。

Description

光学近接校正方法和通过使用该方法制造掩模的方法
相关申请的交叉引用
本申请要求2022年12月14日在韩国知识产权局提交的第10-2022-0174951号韩国专利申请的优先权,其公开内容通过引用整体结合于此。
技术领域
本发明构思的实施例涉及一种制造掩模的方法,更具体地,涉及一种光学近接校正(optical proximity correction,OPC)方法和一种通过使用该方法制造掩模的方法。
背景技术
在半导体工艺中,可以执行使用掩模的光刻过程,以在半导体衬底(诸如晶片)上形成图案。掩模可以被定义为图案转移体,其中不透明材料的图案形状形成在透明基底材料上。简要地解释掩模的制造过程,首先设计电路,为电路设计布局,然后将通过OPC而获得的设计数据作为掩模流片(mask tape-out,MTO)设计数据进行传输。然后,可以基于MTO设计数据执行掩模数据准备(mask data preparation,MDP),并且可以对用于掩模的衬底执行曝光过程。
发明内容
本发明构思的实施例涉及一种能够简化图案化过程并增加图案化可靠性的光学近接校正(OPC)方法以及一种通过使用该方法制造掩模的方法。
根据本发明构思的实施例,一种OPC方法包括:生成用于在晶片上的目标图案的掩模布局;将掩模布局的边划分为具有相同长度的分段;通过以预定角度旋转掩模布局来生成经旋转的掩模布局;通过将关于经旋转的掩模布局的分段的数据输入到OPC模型而通过仿真提取目标图案的轮廓;计算每个分段的边放置误差(edge placement error,EPE),EPE是轮廓与目标图案的边之间的差异;确定是否重新执行对目标图案的轮廓的提取;当确定重新执行对目标图案的轮廓的提取时,计算分段的位移;按照位移移动分段;以及在按照位移移动分段之后,重复对目标图案的轮廓的提取。
根据本发明构思的实施例,一种OPC方法包括:生成用于矩形目标图案的具有一个掩模的掩模布局,该矩形目标图案在第一方向上以之字形排列在晶片上;将掩模布局的边划分成具有相同长度的分段;通过以使掩模布局与邻近的掩模布局之间的拐角相距最远的角度旋转掩模布局来生成经旋转的掩模布局;通过将关于经旋转的掩模布局的分段的数据输入到OPC模型而通过仿真提取目标图案的轮廓;计算每个分段的边放置误差(EPE),EPE是轮廓与目标图案的边之间的差异;以及基于EPE的设定参考值或设定参考次数来确定是否重新执行对目标图案的轮廓的提取。当确定重新执行对目标图案的轮廓的提取时,该OPC方法还包括:计算分段的位移;按照位移移动分段;以及在按照位移移动分段之后,重复对目标图案的轮廓的提取。当确定不重新执行对目标图案的轮廓的提取时,该OPC方法还包括将经旋转的掩模布局确定为经OPC的布局。
根据本发明构思的实施例,一种掩模制造方法包括:生成用于目标图案的具有一个掩模的掩模布局,该目标图案在第一方向上以之字形排列在晶片上;将掩模布局的边划分成具有相同长度的分段;通过以预定角度旋转掩模布局来生成经旋转的掩模布局;通过将关于经旋转的掩模布局的分段的数据输入到OPC模型而通过仿真提取目标图案的轮廓;计算每个分段的边放置误差(EPE),EPE是轮廓与目标图案的边之间的差异;以及确定是否重新执行对目标图案的轮廓的提取。当确定不重新执行对目标图案的轮廓的提取时,该方法还包括:将经旋转的掩模布局确定为经OPC的布局;将关于经OPC的布局的数据作为掩模流片(MTO)设计数据进行传输;基于MTO设计数据准备掩模数据;以及基于掩模数据对用于掩膜的衬底执行曝光。当确定重新执行对目标图案的轮廓的提取时,该方法还包括:计算分段的位移;按照位移移动分段;以及在按照位移移动分段之后,重复对目标图案的轮廓的提取。
附图说明
通过参考附图详细描述本发明的实施例,本发明构思的上述特征和其他特征将变得更加明显,其中:
图1是示意性示出根据实施例的光学近接校正(OPC)方法的过程的流程图;
图2是示意性示出根据实施例的OPC方法的过程的流程图;
图3A至图3F是示出图2的OPC方法的过程的概念图;
图4A和图4B是示出在旋转图2的OPC方法的掩模布局的过程中的旋转角度的概念图;
图5是在描述图2的OPC方法中的拐角倒圆半径(corner rounding radius,CRR)的概念时参考的概念图;
图6A和图6B是示出由比较示例的OPC方法生成的经OPC的布局和由根据实施例的OPC方法生成的经OPC的布局的平面图;
图7A和图7B是使用图6A和图6B的经OPC的布局的曝光过程之后的显影后检查(after development inspection,ADI)的扫描电子显微镜(scanning electronmicroscope,SEM)照片;
图8是示出整个掩模中图6B的经OPC的布局的平面图;以及
图9是示意性示出使用根据实施例的OPC方法的掩模制造方法的过程的流程图。
具体实施方式
在下文中,将参考附图详细描述本发明构思的实施例。在所有附图中,相同的附图标记可以指代相同的元素,并且为了便于解释,可以省略重复的描述。
将会理解,术语“第一”、“第二”、“第三”等在本文中用于将一个元素与另一元素区分开,并且元素不受这些术语的限制。因此,实施例中的“第一”元素可以被描述为另一实施例中的“第二”元素。
图1是示意性示出根据实施例的光学近接校正(OPC)方法的过程的流程图。
参考图1,在根据实施例的OPC方法中,首先,在操作S110中,生成用于目标图案的掩模布局。这里,目标图案可以意指通过使用掩模的曝光过程而在衬底(诸如晶片)上形成的图案。此外,掩模布局可以意指形成在掩模上以形成目标图案的用于图案的布局。换句话说,掩模上的图案可以通过曝光过程而转移到衬底,以在衬底上形成目标图案。由于曝光过程的特性,目标图案的形状通常可能不同于掩模布局的形状。
另一方面,在根据实施例的OPC方法中,在一个方向上为目标图案(诸如以之字形(zigzag)排列的图案)生成一个掩模的掩模布局。作为参考,在以之字形排列的图案的情况下,通常对应于每条线生成两个掩模的掩模布局,并且执行OPC过程以生成用于两个掩模中的每个掩膜的最终掩模布局。然而,因为生成用于两个掩模中的每个掩膜的最终掩模布局,并且通过使用两个掩模执行曝光过程来形成以之字形排列的图案,所以图案化过程的时间和成本可能增加。
然而,在根据实施例的OPC方法中,为利用两个或更多个掩模的目标图案(诸如以之字形排列的图案)生成一个掩模的掩模布局。此外,执行下文描述的以下过程以生成用于一个掩模的最终掩模布局,即,经OPC的掩模布局。
接下来,在操作S120中,将掩模布局的边划分成分段。分段可以意指分别与掩模布局的边相对应的直线段,或者关于直线段的数据。可以按照预定的划分规则将掩模布局的边划分成多个分段。分段的长度或划分规则可以由执行OPC方法的用户来设置。在根据实施例的OPC方法中,可以将掩模布局的每条边划分成具有相同长度的两个分段。
作为参考,OPC方法是指通过校正图案的掩模布局以克服在曝光过程期间由于图案变得更精细而引起的相邻图案之间的影响所导致的光学近接效应(optical proximityeffect,OPE)来抑制出现OPE的方法。OPC方法可以有两种分类。例如,OPC方法可以被分类为基于规则的OPC方法,或者可以被分类为基于仿真或基于模型的OPC方法。根据实施例的OPC方法可以包括例如基于模型的OPC方法。基于模型的OPC方法可以减少时间和成本,因为基于模型的OPC方法仅使用代表性图案的测量结果,而不测量所有的大量测试图案。
然后,在操作S130中,旋转掩模布局以生成经旋转的掩模布局。可以例如以使邻近的掩模布局之间的拐角相距最远的角度旋转掩模布局。参考图4A和图4B更详细地描述对掩模布局的旋转。
在生成经旋转的掩模布局之后,在操作S140中,将关于经旋转的掩模布局的数据输入到OPC模型,以通过仿真提取目标图案的轮廓。这里,关于经旋转的掩模布局的数据可以包括关于分段的数据。
另一方面,OPC模型是可以用于提取目标图案的轮廓的仿真模型,并且各种基本数据项可以作为输入数据输入到OPC模型。这里,基本数据项可以包括掩模数据,例如,关于掩模布局的分段的数据。另一方面,基本数据项可以包括信息数据,诸如光致抗蚀剂(photoresist,PR)的厚度、折射率和介电常数,并且可以包括照明系统的形状的源图数据。然而,基本数据项不限于上面举例说明的数据。另一方面,包括在基本数据项中的掩模数据不仅可以包括分段数据,还可以例如包括诸如图案的形状、图案的位置、图案的测量类型(间隔(space)或条带(bar))和基本测量值的数据。
作为使用OPC模型的仿真结果的目标图案的轮廓可以对应于通过使用掩模的曝光过程而在晶片上形成的图案的形状。因此,OPC方法的目的可以是使轮廓尽可能地类似于目标图案的形状。
在提取目标图案的轮廓之后,在操作S150中,为每个分段计算边放置误差(EPE)。EPE可以通过下面的等式(1)来计算。
等式(1):EPE=目标图案-轮廓
EPE可以意指目标图案的边与轮廓之间的差异。当EPE很大时,轮廓与目标图案之间的差异很大,这可能意味着相对应的掩模的布局不适合于形成目标图案。因此,为了实施适合于形成目标图案的掩模布局,实施例可以改变掩模布局以将EPE减小到小于或等于设定参考值。
为每个分段计算EPE。当轮廓对应于直边时,EPE可以作为通过从每个分段的目标图案的边减去相对应的轮廓而获得的值的平均值来获得。另一方面,当轮廓对应于拐角时,EPE可以作为通过从目标图案的拐角位置减去轮廓而获得的值的最大值或最小值来获得。例如,最大值可以作为目标图案的凹角(concave corner)的EPE来获得,而最小值可以作为目标图案的凸角(convex corner)的EPE来获得。参考图2更详细地描述获得EPE的过程。
在计算EPE之后,在操作S160中,确定是否重新执行提取目标图案的轮廓的操作S140。例如,取决于计算的EPE是否大于设定参考值,可以确定是否通过OPC仿真进一步执行提取目标图案的轮廓的操作。例如,当计算的EPE大于参考值时,可以确定重新执行提取目标图案的轮廓的操作S140,而当计算的EPE小于或等于参考值时,可以确定不重新执行提取目标图案的轮廓的操作S140。
另一方面,在实施例中,可以通过将执行OPC仿真的次数与设定参考次数进行比较来确定是否重新执行提取目标图案的轮廓的操作S140,以进一步执行通过OPC仿真提取目标图案的轮廓的过程。例如,当执行OPC仿真的次数小于参考次数时,可以确定重新执行提取目标图案的轮廓的操作S140,而当执行OPC仿真的次数等于参考次数时,可以确定不执行提取目标图案的轮廓的操作S140。这里,参考次数通常可以基于EPE通过OPC仿真达到参考值的平均次数或最大次数来设置。此外,执行OPC仿真的次数可以与执行提取目标图案的轮廓的操作S140的次数基本相同。
当确定重新执行提取目标图案的轮廓的操作S140时(操作S160中的“是”),在操作S170中,计算分段的位移。可以获得分段的位移,使得在控制点CP处计算的EPE的平均值最小化或减小。在计算分段位移的方法中,可以使用反馈因子(feedback factor,FB)。例如,可以将分段的位移DIS计算为EPE*FB。这里,FB通常可以大于-1且小于+1。然而,FB的数值不限于此。这里,(-)和(+)可以意指移动方向,因为位移是指将当前分段向左或向右或向上或向下移动的距离,并且可以小于EPE的绝对值。
当计算分段的位移时,在操作S180中,按照位移移动分段。分段的位移对应于掩模布局的边的移动,并且也可以对应于掩模布局的形状变化。
然后,过程返回到提取目标图案的轮廓的操作S140,并且通过OPC仿真再次提取目标图案的轮廓。在OPC模型中,关于先前按照计算的位移移动的分段的数据可以作为基本数据项的掩模数据被输入。
当确定不重新执行提取目标图案的轮廓的操作S140时(操作S160中的“否”),在操作S190中,将经旋转的掩模布局确定为经OPC的布局。这里,经旋转的掩模布局可以对应于最终的经旋转的掩模布局,其中,通过重复操作S140至S180几次以移动分段,使EPE最小化或减小。
一般来说,通过首先通过OPC仿真提取目标图案的轮廓并计算EPE而获得的EPE可能显著偏离参考值。因此,一般来说,在执行OPC仿真几次到几十次之后,可以确定不执行OPC仿真。结果,可以将经旋转的掩模布局,包括关于通过执行OPC仿真多次而移动的最终分段的数据,确定为经OPC的布局。
在根据实施例的OPC方法中,通过生成用于目标图案的掩模布局、将掩模布局的边划分为分段、以预定角度旋转掩模布局并通过OPC仿真提取轮廓以计算EPE、以及根据预定参考重复OPC仿真,可以实施能够使EPE最小化或减小并使拐角倒圆现象最小化或减少而不违反掩模规则检查(mask rule check,MRC)的掩模布局。此外,在根据实施例的OPC方法中,通过上述过程,通过生成能够提取几乎与目标图案匹配的轮廓的掩模布局,可以制造能够在晶片上极好地形成目标图案的极好的掩模。
作为参考,在图案在一个方向上以之字形排列的情况下,当通过一般的OPC方法通过使用一个掩模形成图案时,由于曝光设备(诸如Arf-I曝光设备)的限制,可能难以确保邻近的图案之间的正常分辨率,可能违反MRC,并且可能出现拐角倒圆现象。这里,在Arf-I中,I可以意指浸没式(immersion)。MRC可以是指对于制造掩模时要维持的图案的宽度或图案之间的间隙(interval)的限制的检查。例如,当制造掩模时,可能存在可能不会使图案的宽度小于设定的最小宽度或者图案之间的间隙小于设定的最小间隙的限制。MRC可以是指检查是否遵守关于掩模布局的限制的过程。
在曝光过程中由于分辨率的限制而在图案的拐角处出现倒圆的拐角倒圆现象可能是使处理裕度减小的主要原因。另一方面,因为拐角倒圆现象与MRC处于权衡关系,所以在不违反MRC的情况下,使拐角倒圆现象最小化或减少是有限度的。换句话说,当执行OPC方法以通过使拐角倒圆最小化或减少来近似目标图案时,可能违反MRC。相反,当执行OPC方法以便不违反MRC时,拐角倒圆变得很大并且显著偏离目标图案,从而导致OPC方法的缺陷。
然而,在根据实施例的OPC方法中,通过上述过程,通过对在一个方向上以之字形排列的目标图案执行OPC,可以生成能够使EPE最小化或减小并使拐角倒圆现象最小化或减少而不违反MRC的掩模布局。
图2是示意性示出根据实施例的OPC方法的过程(例如,用于矩形目标图案的OPC方法的详细过程)的流程图。图3A至图3F是示出图2的OPC方法的过程的概念图。为了便于解释,可以省略或仅简要描述先前参考图1描述的组件和技术元素的进一步描述。
参考图2、图3A和图3B,在根据实施例的OPC方法中,首先,在操作S110a中,生成用于目标图案FC的矩形掩模布局ML。如图3A所示,目标图案FC可以包括在X方向上以之字形排列的矩形图案。此外,对于以之字形排列的矩形图案,生成一个掩模的掩模布局ML。在比较示例中,生成用于沿着上侧线排列的图案的一个掩模的掩模布局和用于沿着下侧线排列的图案的一个掩模的掩模布局。然而,在根据实施例的OPC方法中,生成一个掩模的掩模布局ML。换句话说,生成一个掩模的掩模布局ML,而不区分上侧线的图案和下侧线的图案。
作为参考,图3A示出了例如包括在X方向上延伸的鳍边的静态随机存取存储器(static random access memory,SRAM)器件,并且矩形目标图案FC可以对应于在X方向上相邻的上拉晶体管之间切割鳍边的鳍边切割图案。此外,在Y方向上在鳍边之间具有相对较大宽度的线可以对应于虚拟鳍边。
参考图2和图3C,接下来,在操作S120a中,将掩模布局M1的边划分成八个分段FM1至FM8,每条边两个分段。例如,可以将一条边划分成两个分段,使得两个分段具有相同的长度。例如,可以将上侧的边可以划分成第一分段Fm1和第八分段Fm8。第一分段Fm1和第八分段Fm8可以具有基本相同的长度。另一方面,可以将控制点CP设置在八个分段中的每个分段的中心。控制点CP可以用于计算EPE和移动分段,这将参考图3E更详细地描述。
参考图2和图3D,然后,在操作S130a中,旋转掩模布局ML以生成经旋转的掩模布局ML-R1。在根据实施例的OPC方法中,可以以使邻近的掩模布局ML之间的拐角相距最远的旋转角度θ旋转掩模布局ML。例如,在根据实施例的OPC方法中,掩模布局ML的旋转角度θ可以是大约45°。然而,掩模布局ML的旋转角度θ不限于此。
参考图2和图3E,在操作S140a中,在生成经旋转的掩模布局ML-R1之后,将关于经旋转的掩模布局ML-R1的数据输入到OPC模型,以通过仿真提取目标图案Pt的轮廓Con。这里,关于经旋转的掩模布局ML-R1的数据也可以包括关于分段的数据。另一方面,目标图案Pt可以对应于一种参考,并且可以保持不变。例如,目标图案Pt可以对应于包括图3A的鳍边切割图案的目标图案FC。另一方面,掩模布局ML的形状或经旋转的掩模布局ML-R1由于分段的移动而继续变化。
随后,在提取目标图案Pt的轮廓Con之后,在操作S150a中,为每个分段计算EPE。EPE可以通过上面的等式(1)来计算。为每个分段计算EPE。当轮廓Con对应于直边时,EPE可以作为通过从每个分段的目标图案Pt的边减去轮廓Con而获得的值的平均值来获得。例如,在目标图案Pt的边对应于第八分段Fm8的情况下,可以在第八控制点CP8处计算EPE E8。然后,在计算分段的位移的操作S180a中,计算分段的位移,使得在控制点CP处计算的EPE的平均值最小化或减小。
另一方面,当轮廓Con对应于拐角时,EPE可以作为通过从目标图案Pt的拐角位置减去轮廓Con而获得的值的最大值或最小值来获得。例如,如图3E所示,在矩形目标图案Pt的凸角处,EPE可以作为最小值来获得。
在计算EPE之后,在操作S160a中,确定是否重新执行提取目标图案的轮廓的操作S140a。例如,取决于计算的EPE是否大于设定参考值或者执行OPC仿真的次数是否达到设定参考次数,可以确定是否进一步执行通过OPC仿真提取目标图案的轮廓的过程。这里,计算的EPE可以意指在控制点CP处计算的EPE的平均值。
参考图2和图3F,当确定重新执行提取目标图案的轮廓的操作S140a时(操作S160a中的“是”),在操作S170a中,计算分段的位移。如上所述,可以获得分段的位移,使得在控制点CP处计算的EPE的平均值最小化或减小。此外,可以通过使用例如FB来获得分段的位移。
当计算分段的位移时,在操作S180a中,按照位移移动分段。分段的移动对应于掩模布局的边的移动,并且也可以对应于掩模布局的形状变化。然后,过程返回到提取目标图案的轮廓的操作S140a,并且通过OPC仿真再次提取目标图案的轮廓。重复这样的过程,直到计算的EPE小于或等于设定参考值或者执行OPC仿真的次数达到设定参考次数。
图3F示意性地示出了通过重复操作S140a至S180a使经旋转的掩模布局ML-R1变成最终的经旋转的掩模布局ML-R2的过程。在图3F中,细实线代表波动的掩模布局的边,而阴影部分可以对应于最终的经旋转的掩模布局ML-R2。
当确定不重新执行提取目标图案的轮廓的操作S140a时(操作S160a中的“否”),在操作S190a中,将最终的经旋转的掩模布局确定为经OPC的布局。
图4A和图4B是示出在旋转图2的OPC方法的掩模布局的过程中的旋转角度的概念图。
参考图4A,邻近的掩模布局的拐角之间的第一距离D1在旋转之前非常小,使得当利用一个掩模实施图案时,可能出现曝光设备的分辨率限制、掩模规则检查(MRC)问题或拐角倒圆现象。
参考图4B,当旋转掩模布局时,邻近的掩模布局的拐角之间的第二距离D2大于第一距离D1。因此,当第二距离D2足够大以解决曝光设备的分辨率限制、MRC问题或拐角倒圆现象时,可以利用一个掩模充分地实施图案。当利用一个掩模实施图案时,图案化过程的时间和成本可以大大减少。
另一方面,掩模布局的旋转角度θ在使邻近的掩模布局之间的拐角相距最远的角度处可以是最佳的。例如,在如图4A所示的以之字形排列的图案的情况下,最佳旋转角度θ可以是大约45°。然而,取决于图案排列的形状,最佳旋转角度θ可以变化。
图5是在描述图2的OPC方法中的拐角倒圆半径(CRR)的概念时参考的概念图。
参考图5,当描述拐角倒圆现象时,可以大致定义CRR。例如,CRR可以由点P1和P2定义,在点P1和P2处,轮廓Con从目标图案Pt的拐角位置开始第一次遇到目标图案Pt。当CRR很大时,拐角倒圆现象被称为很大,而当CRR很小时,拐角倒圆现象被称为很小。另一方面,如上所述,因为拐角倒圆现象与MRC处于权衡关系,所以当严格遵循MRC时,CRR可能增加。因此,在实施例中,在考虑MRC的情况下优化CRR。CRR的优化也被称为拐角衬里(corner-lining)EPE的优化。在本文中,优化也可以是指改进。
返回参考图2,在根据实施例的OPC方法中,在计算分段的位移的操作S170a中,为了优化CRR,可以计算分段的位移,使得在与每个拐角邻近的两个控制点处计算的成对EPE最小化或减少。例如,参考图3E,可以通过计算分段的位移来优化CRR,使得在与右上角邻近的第一控制点CP1和第二控制点CP2处计算的成对的EPE具有最小值或减小的值。在图3F中,为了优化CRR,可以注意到,与拐角邻近的分段被成对地一起移动。
图6A和图6B是示出由比较示例的OPC方法生成的经OPC的布局ML-C和由根据实施例的OPC方法生成的经OPC的布局ML-R2的平面图。图7A和图7B是使用图6A和图6B的经OPC的布局的曝光过程之后的显影后检查(ADi)的扫描电子显微镜(SEM)照片。图7A的右侧SEM图像示出了左侧SEM图像的放大部分A,而图7B的右侧SEM图像示出了左侧SEM图像的放大部分B。
参考图6A和图7A,对于图3A所示的以之字形排列的图案,示出了由比较示例的OPC方法生成的经OPC的布局ML-C。在比较示例的OPC方法中,生成一个掩模的掩模布局,但是通过一般的OPC方法生成最终掩模布局,即经OPC的布局ML-C。如图6A所示,由比较示例的OPC方法生成的经OPC的布局ML-C对于一个图案可以具有两个间距。在图6A中,很小的图案S-P可以对应于次分辨率图案。
当图案被称为条带(bar)并且图案之间的间隙(interval)被称为间隔(space)时,一个条带可以在下侧线L1上与相邻条带间隔开第一间隔S1,并且可以在上侧线L2上与相邻条带间隔开第二间隔S2。因此,当条带具有第一宽度W1时,该条带可以在下侧线L1上具有第一间距(pitch)W1+S1,并且在上侧线L2上具有第二间距W1+S2。
另一方面,在与第一间距相对应的部分中,第一间隔S1可以远小于条带的第一宽度W1。相应地,当通过使用由比较示例的OPC方法生成的经OPC的布局ML-C来制造掩模并执行曝光过程时,可能出现如图7A所示的图案缺陷。例如,通过曝光过程而形成的图案可能不具有均匀的宽度,并且可能具有上部或下部在X方向上的宽度较小的扭曲形状。当图案具有扭曲的形状时,处理裕度可能相对于下层而减小。例如,假设利用两条虚线标记的部分对应于待切割的鳍边(fin),当图案具有扭曲的形状时,切割部分的临界尺寸(criticaldimension,CD)可能随着图案在Y方向上移动而显著变化。
参考图6B和图7B,对于图3A所示的以之字形排列的图案,示出了由根据实施例的OPC方法生成的经OPC的布局ML-R2。在根据实施例的OPC方法中,生成一个掩模的掩模布局,将掩模布局的边划分成分段,按照预定角度旋转掩模布局以生成经旋转的掩模布局,并且通过OPC仿真提取经旋转的掩模布局的轮廓。如上所述,在由根据实施例的OPC方法生成的经OPC的布局ML-R2中,可以为一个图案仅提供一个间距。此外,在对角方向上,图案的宽度和图案之间的间隙可以基本相同。在图6B中,很小的图案S-P可以对应于次分辨率图案。
当图案被称为条带并且图案之间的间隙被称为间隔时,一个条带可以在第一对角线DL1上与相邻条带间隔开第三间隔S3,并且可以在第二对角线DL2上与相邻条带间隔开第三间隔S3。因此,当条带具有第二宽度W2时,该条带可以在第一对角线DL1上具有第三间距W2+S3,并且可以在第二对角线DL2上具有第三间距W2+S3。此外,第二宽度W2和第三间隔S3可以基本相同。然而,根据实施例,第二宽度W2和第三间隔S3可以彼此不同。
因此,在由根据实施例的OPC方法生成的经OPC的布局ML-R2中,第一对角线DL1和第二对角线DL2可以在任一方向上具有相同的间距。此外,与由比较示例的OPC方法生成的经OPC的布局ML-C的条带之间的第一间隔S1相比,条带之间的第三间隔S3可以显著增加。相应地,当通过使用由根据实施例的OPC方法生成的经OPC的布局ML-R2来制造掩模并执行曝光过程时,可以形成具有均匀形状的图案,如图7A所示。例如,通过曝光过程而形成的图案可以具有上部或下部在X方向上的宽度均匀的均匀形状。基于图案的均匀性,处理裕度可能相对于下层而大大增加。
此外,如图6B所示,由根据实施例的OPC方法生成的经OPC的布局ML-R2整体上可以具有左右对称的三角形形状。因此,由根据实施例的OPC方法生成的经OPC的布局ML-R2可以被称为对称三角形(symmetric triangle,ST)布局或ST掩模形状。此外,以ST布局的形式生成经OPC的布局的过程可以被称为ST-OPC方法。
上面已经描述了将根据实施例的OPC方法应用于以之字形排列的图案。然而,根据实施例的OPC方法所应用于的图案不限于以之字形排列的图案。例如,根据实施例的OPC方法也可以应用于尽管具有基本相同的形状但由于邻近的图案之间的位置和间隙而难以利用一个掩模实施的其他图案。
图8是示出在整个掩模ML-T中图6B的经OPC的布局的平面图。
参考图8,参考图2至图3F生成的经OPC的布局在整个掩模ML-T中以二维阵列结构排列。例如,图8的部分C的放大部分可以对应于图6B。排列在整个掩模ML-T中的经OPC的布局可以包括ST-OPC布局。因此,图案在对角方向上的间距都基本相同,并且可以充分维持图案之间的间隔。因此,当MRC和拐角倒圆现象被优化时,曝光设备(例如,Arf-I曝光设备)的分辨率限制可以被充分克服。
图9是示意性示出使用根据实施例的OPC方法的掩模制造方法的过程的流程图。为了便于解释,可以省略或仅简要描述先前参考图1至图8描述的组件和技术方面的进一步描述。
参考图9,在使用根据实施例的OPC方法的掩模制造方法(下文简称为“掩模制造方法”)中,首先执行OPC方法。OPC方法可以包括生成用于目标图案的掩模布局的操作S210到将经旋转的掩模布局确定为经OPC的布局的操作S290。在根据实施例的掩模制造方法中,OPC方法可以包括例如ST-OPC方法。相应地,OPC方法可以包括图1或图2的OPC方法。例如,生成用于目标图案的掩模布局的操作S210到将经旋转的掩模布局确定为经OPC的布局的操作S290可以对应于图1的OPC方法的生成用于目标图案的掩模布局的操作S110到将经旋转的掩模布局确定为经OPC的布局的操作S190,或者可以对应于图2的OPC方法的生成用于目标图案的矩形掩模布局的操作S110a到将经旋转的掩模布局确定为经OPC的布局的操作S190a。因此,为了便于解释,在根据实施例的掩模制造方法中,省略了对OPC方法的操作S210、S220、S230、S240、S250、S260、S270、S280和S290的进一步描述。
在执行OPC方法之后,在操作S292中,将MTO设计数据传输到掩模制造团队。一般来说,MTO可以意指将关于通过OPC方法而获得的最终掩模布局的数据传递给掩模制造团队,以请求掩模制造。因此,在根据实施例的掩模制造方法中,MTO设计数据可以与通过OPC方法而获得的经OPC的布局图像(即,关于最终的经旋转的掩模布局的数据)基本相同。MTO设计数据可以具有在例如电子设计自动化(electronic design automation,EDA)软件等中使用的图形数据格式。例如,MTO设计数据可以具有诸如图形数据系统II(graphic datasystem II,GDSIi)和开放艺术品系统互换标准(open artwork systeminterchangestandard,OASIS)的数据格式。
然后,在操作S294中执行MDP。MDP可以包括,例如,i)被称为分裂的格式转换,ii)诸如用于机器读取的条形码、用于检查的标准掩模图案或作业台的增强,以及iii)自动方法和手动方法的验证。这里,作业台可以意指例如为一系列命令创建文本文件,诸如多个掩模文件的排列信息、参考剂量和曝光速度或方法。
格式转换(即分割)可以意指按照区域划分MTO设计数据并将MTO设计数据改变为电子束曝光设备的格式的过程。分裂可以包括例如数据操纵,诸如缩放、数据大小调整、数据旋转、图案反射和颜色反转。在通过分裂进行的转换过程中,可以校正关于在从设计数据到晶片上的图像的转移期间可能出现在任何地方的大量系统性误差的数据。
用于系统性误差的数据校正过程被称为掩模过程校正(mask processcorrection,MPC),并且可以包括例如被称为CD调整的线宽调整和增加图案放置精度。相应地,分裂可以有助于提高最终掩模的质量,并且也可以是MPC的预执行过程。这里,系统性误差可能由例如曝光过程、掩模显影和蚀刻过程以及晶片成像过程中出现的失真引起。
另一方面,MDP可以包括MPC。MPC是指校正在曝光过程期间出现的误差(即,如上所述的系统性误差)的过程。这里,曝光过程可以是通常包括例如电子束写入、显影、蚀刻和烘烤的概念。此外,数据处理可以在曝光过程之前进行。数据处理作为掩模数据的一种预处理过程,可以包括掩模数据的语法检查和曝光时间预测。
在准备掩模数据之后,在操作S296中,基于掩模数据曝光用于掩模的衬底。这里,曝光可以意指例如电子束写入。这里,电子束写入可以通过例如使用多束掩模写入器(multi-beam mask writer,MBMW)的灰度写入方法来执行。此外,电子束写入可以通过使用可变形状束(variable shape beam,VSB)曝光设备来执行。
另一方面,在执行MDP之后,可以在曝光过程之前执行将掩模数据转换成像素数据的过程。直接用于实际曝光的像素数据可以包括关于要曝光的形状的数据和关于分配剂量的数据。这里,关于形状的数据可以包括其中作为矢量数据的形状数据通过光栅化被转换的位图数据。
在曝光过程之后,在操作S298中,执行一系列过程以完成掩模。例如,这一系列过程可以包括诸如显影、蚀刻和清洗的过程。此外,用于制造掩模的一系列过程可以包括测量过程和缺陷检查或缺陷修复过程。此外,用于制造掩模的这一系列过程可以包括薄膜施加过程。这里,薄膜施加过程可以意指当通过最终的清洗和检查确认没有污染物颗粒或化学污渍时将薄膜附着到掩模表面以在掩模的交付和使用寿命期间保护掩模免受后续污染的过程。
在根据实施例的掩模制造方法中,OPC方法可以对应于ST-OPC方法。例如,在ST-OPC方法中,可以通过旋转掩模布局以生成经旋转的掩模布局并执行OPC过程来生成ST布局。在ST布局中,对角方向上的图案的间距都是相同的,或者图案之间的间隔可以维持得足够。因此,在根据实施例的掩模制造方法中,由于MRC和拐角倒圆现象被优化,所以曝光设备的分辨率限制可以被克服,使得可以制造可靠的掩模。此外,通过使用一个掩模,可以显著减少图案化过程的时间和成本。
虽然已经参考本发明构思的实施例具体示出和描述了本发明构思,但是应当理解,在不脱离由所附权利要求限定的本发明构思的精神和范围的情况下,可以在形式和细节上进行各种改变。

Claims (20)

1.一种光学近接校正(OPC)方法,包括:
生成用于晶片上的目标图案的掩模布局;
将所述掩模布局的边划分成具有相同长度的分段;
通过以预定角度旋转所述掩模布局来生成经旋转的掩模布局;
通过将关于所述经旋转的掩模布局的分段的数据输入到OPC模型而通过仿真提取目标图案的轮廓;
计算每个分段的边放置误差(EPE),所述EPE是所述轮廓与所述目标图案的边之间的差异;
确定是否重新执行对所述目标图案的轮廓的提取;
当确定重新执行对所述目标图案的轮廓的提取时,计算所述分段的位移;
按照所述位移移动所述分段;以及
在按照所述位移移动所述分段之后,重复对所述目标图案的轮廓的提取。
2.根据权利要求1所述的OPC方法,其中,所述晶片上的目标图案在一个方向上以之字形排列,并且
其中,将所述掩模布局生成为用于一个掩模的布局。
3.根据权利要求1所述的OPC方法,其中,所述掩模布局是矩形的,
其中,将所述掩模布局的边划分成分段包括将所述边划分成八个分段,每条边两个分段,并且
其中,将控制点设置在分段中的每一个的中心。
4.根据权利要求3所述的OPC方法,其中,生成所述经旋转的掩模布局包括以使所述掩模布局与邻近的掩模布局之间的拐角相距最远的角度旋转所述掩模布局。
5.根据权利要求3所述的OPC方法,其中,计算所述分段的位移,使得在所述控制点处计算的EPE的平均值具有减小的值。
6.根据权利要求3所述的OPC方法,其中,当从拐角的位置到与所述拐角邻近的点的距离被定义为拐角倒圆半径(CRR)时,计算所述分段的位移,使得在与每个拐角邻近的两个控制点处计算的成对EPE减少,在与所述拐角邻近的点处所述轮廓遇到所述目标图案的边。
7.根据权利要求1所述的OPC方法,其中,确定是否重新执行对所述目标图案的轮廓的提取是基于所述EPE是否小于或等于设定参考值或者执行对所述轮廓的提取的次数是否与参考次数相同。
8.根据权利要求1所述的OPC方法,其中,所述目标图案对应于静态随机存取存储器SRAM的鳍边切割图案,
其中,所述SRAM的鳍边在第一方向上延伸,并且在垂直于第一方向的第二方向上彼此间隔开,并且
其中,在第二方向上相邻的鳍边之间,所述鳍边切割图案在第一方向上以之字形排列。
9.根据权利要求1所述的OPC方法,当确定不重新执行对所述目标图案的轮廓的提取时,所述方法还包括将所述经旋转的掩模布局确定为经OPC的布局。
10.一种光学近接校正(OPC)方法,包括:
生成用于矩形目标图案的具有一个掩模的掩模布局,所述矩形目标图案在第一方向上以之字形排列在晶片上;
将所述掩模布局的边划分成具有相同长度的分段;
通过以使所述掩模布局与邻近的掩模布局之间的拐角相距最远的角度旋转所述掩模布局来生成经旋转的掩模布局;
通过将关于所述经旋转的掩模布局的分段的数据输入到OPC模型而通过仿真提取目标图案的轮廓;
计算每个分段的边放置误差(EPE),所述EPE是所述轮廓与所述目标图案的边之间的差异;以及
基于所述EPE的设定参考值或设定参考次数来确定是否重新执行对所述目标图案的轮廓的提取,
其中,当确定重新执行对所述目标图案的轮廓的提取时,所述方法还包括:
计算所述分段的位移;
按照所述位移移动所述分段;以及
在按照所述位移移动所述分段之后,重复对所述目标图案的轮廓的提取,
其中,当确定不重新执行对所述目标图案的轮廓的提取时,所述方法还包括:将所述经旋转的掩模布局确定为经OPC的布局。
11.根据权利要求10所述的OPC方法,其中,所述掩模布局是矩形的,
其中,将所述掩模布局的边划分成分段包括将所述边划分成八个分段,每条边两个分段,并且
其中,将控制点设置在分段中的每一个的中心。
12.根据权利要求11所述的OPC方法,其中,计算所述分段的位移,使得在所述控制点处计算的EPE的平均值具有减小的值。
13.根据权利要求10所述的OPC方法,其中,当所述EPE小于或等于所述参考值或者执行对所述目标图案的轮廓的提取的次数与所述参考次数相同时,确定重新执行对所述轮廓的提取,并且
其中,当所述EPE大于所述参考值或者执行对所述目标图案的轮廓的提取的次数小于所述参考次数时,确定不重新执行对所述轮廓的提取。
14.根据权利要求10所述的OPC方法,其中,所述经OPC的布局是对称三角形布局,并且
其中,所述对称三角形布局在旋转方向上具有一个间距。
15.一种掩模制造方法,包括:
生成用于目标图案的具有一个掩模的掩模布局,所述目标图案在第一方向上以之字形排列在晶片上;
将所述掩模布局的边划分成具有相同长度的分段;
通过以预定角度旋转所述掩模布局来生成经旋转的掩模布局;
通过将关于所述经旋转的掩模布局的分段的数据输入到光学近接校正(OPC)模型而通过仿真提取目标图案的轮廓;
计算每个分段的边放置误差(EPE),所述EPE是所述轮廓与所述目标图案的边之间的差异;以及
确定是否重新执行对所述目标图案的轮廓的提取,
其中,当确定不重新执行对所述目标图案的轮廓的提取时,所述方法还包括:
将所述经旋转的掩模布局确定为经OPC的布局;
将关于所述经OPC的布局的数据作为掩模流片(MTO)设计数据进行传输;
基于所述MTO设计数据准备掩模数据;以及
基于所述掩模数据对用于掩模的衬底执行曝光,
其中,当确定重新执行对所述目标图案的轮廓的提取时,所述方法还包括:
计算所述分段的位移;以及
按照所述位移移动所述分段;以及
在按照所述位移移动所述分段之后,重复对所述目标图案的轮廓的提取。
16.根据权利要求15所述的掩模制造方法,其中,所述掩模布局是矩形的,
其中,将所述掩模布局的边划分成分段包括将所述边划分成八个分段,每条边两个分段,并且
其中,将控制点设置在每个分段的中心。
17.根据权利要求16所述的掩模制造方法,其中,生成所述经旋转的掩模布局包括以使所述掩模布局与邻近的掩模布局之间的拐角相距最远的角度来旋转所述掩模布局。
18.根据权利要求16所述的掩模制造方法,其中,计算所述分段的位移,使得在所述控制点处计算的EPE的平均值具有减小的值。
19.根据权利要求16所述的掩模制造方法,其中,当从拐角的位置到与所述的拐角邻近的点的距离被定义为拐角倒圆半径(CRR)时,计算所述分段的位移,使得在与每个拐角邻近的两个控制点处计算的成对EPE减少,在与所述拐角邻近的点处所述轮廓遇到所述目标图案的边。
20.根据权利要求15所述的掩模制造方法,其中,所述目标图案对应于静态随机存取存储器SRAM的鳍边切割图案,
其中,所述SRAM的鳍边在第一方向上延伸,并且在垂直于第一方向的第二方向上彼此间隔开,并且
其中,在第二方向上相邻的鳍边之间,所述鳍边切割图案在第一方向上以之字形排列。
CN202311235039.7A 2022-12-14 2023-09-22 光学近接校正方法和通过使用该方法制造掩模的方法 Pending CN118192154A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR10-2022-0174951 2022-12-14
KR1020220174951A KR20240092316A (ko) 2022-12-14 Opc 방법, 및 그 opc 방법을 이용한 마스크 제조방법

Publications (1)

Publication Number Publication Date
CN118192154A true CN118192154A (zh) 2024-06-14

Family

ID=91399343

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202311235039.7A Pending CN118192154A (zh) 2022-12-14 2023-09-22 光学近接校正方法和通过使用该方法制造掩模的方法

Country Status (2)

Country Link
US (1) US20240201578A1 (zh)
CN (1) CN118192154A (zh)

Also Published As

Publication number Publication date
US20240201578A1 (en) 2024-06-20

Similar Documents

Publication Publication Date Title
CN109932865B (zh) 光学邻近校正(opc)方法及使用该opc方法制造掩模的方法
KR102441582B1 (ko) Mpc 검증 방법 및 그 검증 방법을 포함한 마스크 제조방법
KR102632559B1 (ko) 반도체 소자의 제조 방법, 극 자외선 노광 방법 및 광 근접 보정 방법
KR102535826B1 (ko) 모니터링 매크로 제공 방법, OPC(Optical Proximity Correction) 방법 및 EUV(Extreme ultraviolet radiation) 마스크 제조 방법
CN111324002B (zh) 制造半导体器件的方法
KR20170047101A (ko) Opc 이용한 마스크 제조방법 및 반도체 소자 제조방법
CN110879507B (zh) 用于执行光学邻近校正的方法和使用其制造掩模的方法
US20230071777A1 (en) Optical proximity correction method, mask manufacturing method and semiconductor chip manufacturing method using the same
US20240079206A1 (en) Scanning electron microscope (sem) measurement method and apparatus
CN117289543A (zh) 基于深度学习的光刻模型生成方法以及掩模制造方法
CN116360204A (zh) 光学邻近校正方法、掩模制造方法和半导体芯片制造方法
US20230074316A1 (en) Mask process correction methods and methods of fabricating lithographic mask using the same
CN109656093B (zh) 设计光掩模的布局的方法以及制造光掩模的方法
CN118192154A (zh) 光学近接校正方法和通过使用该方法制造掩模的方法
KR20240092316A (ko) Opc 방법, 및 그 opc 방법을 이용한 마스크 제조방법
US20230324881A1 (en) Machine learning (ml)-based process proximity correction (ppc) method and semiconductor device manufacturing method including the same
KR20210029495A (ko) Opc 방법 및 그 opc 방법을 이용한 마스크 제조방법
US20230168576A1 (en) Full-chip cell critical dimension correction method and method of manufacturing mask using the same
US20220326622A1 (en) Semiconductor device manufacturing method and extreme ultraviolet mask manufacturing method
US20230161937A1 (en) Mask layout design method, mask and integrated circuit manufacturing methods, masks and integrated circuits
US20230176470A1 (en) Method of generating curve sub-resolution assist feature (sraf), method of verifying mask rule check (mrc), and method of manufacturing mask including method of generating the same
KR20230131715A (ko) 딥러닝 기반 opc 패턴의 코너 라운딩 방법, 및 그 코너 라운딩 방법을 포함한 opc 방법과 마스크 제조방법
CN115542656A (zh) 光学邻近校正方法及使用该方法制造极紫外掩模的方法
KR20230082519A (ko) 광학 근접 보정 방법 및 이를 포함하는 반도체 소자의 제조 방법

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication