CN117971238A - 一种用于验证指令集中指令相关的系统及方法 - Google Patents

一种用于验证指令集中指令相关的系统及方法 Download PDF

Info

Publication number
CN117971238A
CN117971238A CN202410137946.6A CN202410137946A CN117971238A CN 117971238 A CN117971238 A CN 117971238A CN 202410137946 A CN202410137946 A CN 202410137946A CN 117971238 A CN117971238 A CN 117971238A
Authority
CN
China
Prior art keywords
instruction
random
simulation
storage unit
file
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202410137946.6A
Other languages
English (en)
Inventor
于明舜
冯尚功
石欣宇
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Beijing Zhongke Haoxin Technology Co ltd
Original Assignee
Beijing Zhongke Haoxin Technology Co ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Beijing Zhongke Haoxin Technology Co ltd filed Critical Beijing Zhongke Haoxin Technology Co ltd
Priority to CN202410137946.6A priority Critical patent/CN117971238A/zh
Publication of CN117971238A publication Critical patent/CN117971238A/zh
Pending legal-status Critical Current

Links

Landscapes

  • Debugging And Monitoring (AREA)

Abstract

本申请实施例提供一种用于验证指令集中指令相关的系统及方法,所述系统包括:仿真平台,包含被测试处理器模块、用于存放指令的存储单元以及仿真脚本;随机指令生成模块,被配置为将产生的随机相关指令以及指令运行的参考结果输出至汇编文件中;指令编译器,被配置为对通过所述指令生成模块得到的汇编文件进行编译,生成对应的反汇编文件及数据文件;其中,所述仿真平台通过调用并运行所述仿真脚本,将所述数据文件初始化到所述存储单元,所述被测试处理器模块从所述存储单元取指执行指令并输出执行结果。本申请实施例的通过程序约束产生存在相关的随机指令,遍历指令相关,保证对指令集中指令验证的可靠性。

Description

一种用于验证指令集中指令相关的系统及方法
技术领域
本申请涉及芯片验证领域,具体而言本申请实施例涉及一种用于验证指令集中指令相关的系统及方法。
背景技术
与处理器对应的指令集包含定点整型运算、乘除法运算、压缩指令、浮点运算等大量指令集,指令集的验证除了指令单独执行正确之外,还需要包含指令相关的验证。
指令相关是指数据相关和控制相关,指令执行时数据相关,是指当一条指令的执行依赖于前面某一条未执行完指令执行结果时,这两条指令将发生数据相关。控制相关,是指当执行到分支跳转指令时,因无条件分支目标地址还未计算出来,条件分支还未知条件是否成立等原因,而与后面的指令发生相关。
相关技术提供的定向验证是对指定的函数或者逻辑输入固定的数据,或者执行固定的代码来做验证,且相关技术提供的随机验证是指通过仿真平台产生大量输入执行来做验证。传统的定向验证无法实现内核指令集的全部遍历指令相关,而传统的随机验证的约束也很难对此类问题遍历。
发明内容
本申请实施例的目的在于提供一种用于验证指令集中指令相关的系统及方法,本申请实施例提供一种指令相关的验证系统,该系统通过程序约束产生存在相关的随机指令,遍历指令相关,保证对指令集中指令验证的可靠性。
第一方面,本申请实施例提供一种用于验证指令集中指令相关的系统,所述系统包括:仿真平台,包含被测试处理器模块、用于存放指令的存储单元以及仿真脚本;随机指令生成模块,被配置为将产生的随机相关指令以及指令运行的参考结果输出至汇编文件中;指令编译器,被配置为对通过所述指令生成模块得到的汇编文件进行编译,生成对应的反汇编文件及数据文件;其中,所述仿真平台通过调用并运行所述仿真脚本,将所述数据文件初始化到所述存储单元,所述被测试处理器模块从所述存储单元取指执行指令并输出执行结果。
在一些实施例中,所述随机指令生成模块按照约束排列多条汇编指令,所述多条汇编指令存在指令相关性。
在一些实施例中,在调用所述仿真脚本之前,所述仿真平台还被配置为:例化所述被测试处理器模块,例化所述存储单元,使用总线协议连接所述被测试处理器模块和所述存储单元。
在一些实施例中,在调用所述仿真脚本之前,所述仿真平台还被配置为提供激励信号,其中,所述激励信号包括:时钟信号和复位信号。
在一些实施例中,在调用所述仿真脚本之前,所述仿真平台还被配置为对所述数据文件进行预处理,以使所述仿真平台将数据初始化至所述存储单元中。
在一些实施例中,所述随机指令生成模块包含针对待测试指令集中各指令的实现函数,其中,所述随机指令生成模块还被配置为:在主函数中设定随机约束,指令的源寄存器或目的寄存器之间存在数据相关或操作相关,随机出的指令被输出至相应汇编文件中。
第二方面,本申请的一些实施例提供一种用于验证指令集中指令相关的方法,应用于如第一方面任意一个实施例所述的系统中,所述方法包括:通过随机指令生成模块生成多个汇编文件;通过指令编译器将所述多个汇编文件中各汇编文件进行编译,生成反汇编及数据文件;执行仿真平台的仿真脚本,将所述数据文件初始化到存储单元并通过待测试指令集模块从所述存储单元取指执行指令并输出执行结果。
在一些实施例中,所述通过随机指令生成模块生成多个汇编文件,包括:在主函数main中通过调用各指令函数计算出指令执行结果;将所述指令执行结果输出到对应的汇编文件中;将所述指令执行结果与比较指令输出到所述汇编文件中。
在一些实施例中,在所述执行仿真平台的仿真脚本之前,所述方法还包括:通过所述仿真平台例化所述被测试处理器模块并例化所述存储单元;使用AHB总线协议连接所述被测试处理器模块和所述存储单元;提供激励信号。
在一些实施例中,所述激励信号包括:时钟和复位信号。
附图说明
为了更清楚地说明本申请实施例的技术方案,下面将对本申请实施例中所需要使用的附图作简单地介绍,应当理解,以下附图仅示出了本申请的某些实施例,因此不应被看作是对范围的限定,对于本领域普通技术人员来讲,在不付出创造性劳动的前提下,还可以根据这些附图获得其他相关的附图。
图1为本申请实施例提供的用于验证指令集中指令相关的系统的架构图;
图2为本申请实施例提供的用于验证指令集中指令相关的方法的流程图。
具体实施方式
下面将结合本申请实施例中的附图,对本申请实施例中的技术方案进行描述。
应注意到:相似的标号和字母在下面的附图中表示类似项,因此,一旦某一项在一个附图中被定义,则在随后的附图中不需要对其进行进一步定义和解释。同时,在本申请的描述中,术语“第一”、“第二”等仅用于区分描述,而不能理解为指示或暗示相对重要性。
为了克服背景技术部分存在的技术问题,本申请的一些实施例提供一种用于验证指令集中指令相关的系统,该系统包括仿真平台、随机指令生成模块以及指令编译器,其中,在仿真平台上包含用于执行待验证指令的被测试处理器模块、用于存放指令的存储单元(SRAM)、仿真脚本等文件。
请参看图1,图1为本申请实施例提供一种用于验证指令集中指令相关的系统,该系统包括:
仿真平台100,且该仿真平台100包含被测试处理器模块110、用于存放指令的存储单元120以及仿真脚本(图中未示出)。
随机指令生成模块130,被配置为将产生的随机相关指令以及指令运行的参考结果输出至汇编文件中。
指令编译器140,被配置为对通过所述指令生成模块得到的汇编文件进行编译,生成对应的反汇编文件及数据文件150。
需要说明的是,仿真平台100通过调用并运行所述仿真脚本,将所述数据文件初始化到所述存储单元120,所述被测试处理器模块110从所述存储单元120取指执行指令并输出执行结果。
下面示例性阐述图1的各模块。
例如,在本申请的一些实施例中,仿真平台100为使用SystemVerilog语言编写testbench,该平台至少用于例化被测试处理器模块,例化存储单元,使用AHB总线协议连接被测试处理器模块和存储单元(SRAM),并给出其他激励信号,如图1的时钟CLK、复位RST等信号。图1的仿真平台还包含仿真脚本,该仿真脚本编译仿真平台并调用VCS进行仿真,图1的仿真平台还包括数据处理脚本,该数据处理脚本至少用于将数据文件处理以便仿真平台将数据初始化到存储单元。
也就是说,在本申请的一些实施例中,在调用所述仿真脚本之前,所述仿真平台100还被配置为:例化所述被测试处理器模块,例化所述存储单元,使用总线协议连接所述被测试处理器模块和所述存储单元。在本申请的一些实施例中,在调用所述仿真脚本之前,所述仿真平台100还被配置为提供激励信号,其中,所述激励信号包括:时钟信号和复位信号。在本申请一些实施例中,在调用所述仿真脚本之前,所述仿真平台100还被配置为对所述数据文件进行预处理,以使所述仿真平台将数据初始化至所述存储单元中。
在本申请的一些实施例中,随机指令生成模块至少包括用于产生随机指令的生成程序(例如,该程序使用C++语言编写)包含各条需要处理器执行的指令的C++实现函数,在主函数main中设定随机的约束,指令的源寄存器或目的寄存器之间存在数据相关或操作相关,随机出的指令输出到汇编文件(testcase.S)中,并在主函数main中通过调用各指令函数计算出最终的结果,输出到对应的汇编文件中,最后将指令计算结果与C++计算结果的比较指令输出到汇编文件中。
也就是说,在本申请的一些实施例中,随机指令生成模块130按照约束排列多条汇编指令,所述多条汇编指令存在指令相关性。在本申请的一些实施例中,随机指令生成模块130包含针对待测试指令集中各指令的实现函数,其中,所述随机指令生成模块还被配置为:在主函数中设定随机约束,指令的源寄存器或目的寄存器之间存在数据相关或操作相关,随机出的指令被输出至相应汇编文件中。
指令编译器140对上述汇编文件进行编译,生成对应的反汇编及数据文件等。
不难理解的是,通过本申请实施例的用于验证指令集中指令相关的系统,各个随机测试用例被有效的组织起来,待测设计的各个指令相关场景也得到了尽可能的覆盖,各个测试用例经过自动比对后结果更加可靠,而且,相比于传统的看波形debug的方式而言自动比对方式的debug效率更高。
不难理解的是,本申请实施例的随机指令生成模块中包含随即指令产生程序,该程序中包含被随机的各条指令的计算函数,且该程序会将产生的随机相关指令以及指令运行的参考结果一起输出到汇编程序中。本申请实施例的随机指令生成模块还包括生成指令集相关指令汇编程序,此汇编程序中有随机相关指令,及其计算结果与参考结果的对比程序。本申请实施例的指令编译器将汇编程序编译后输出.dat和.bin格式的数据文件和反汇编文件,其中,数据文件为各条汇编指令对应的指令码;反汇编文件为指令集助记符文件,协助汇编程序调试使用。本申请实施例的将汇编程序编译后的数据文件,输入到仿真平台中,运行仿真平台,即可输出汇编程序运行结果,并收集运行结果成功或失败信息,以便后续分析。
本申请的一些实施例提供一种用于验证指令集中指令相关的方法,应用于如上述实施例所述的系统中,所述方法包括:通过随机指令生成模块生成多个汇编文件;通过指令编译器将所述多个汇编文件中各汇编文件进行编译,生成反汇编及数据文件;执行仿真平台的仿真脚本,将所述数据文件初始化到存储单元并通过待测试指令集模块从所述存储单元取指执行指令并输出执行结果。
在本申请的一些实施例中,所述通过随机指令生成模块生成多个汇编文件,包括:在主函数main中通过调用各指令函数计算出指令执行结果;将所述指令执行结果输出到对应的汇编文件中;将所述指令执行结果与比较指令输出到所述汇编文件中。
在本申请的一些实施例中,在所述执行仿真平台的仿真脚本之前,所述方法还包括:通过所述仿真平台例化所述被测试处理器模块并例化所述存储单元;使用AHB总线协议连接所述被测试处理器模块和所述存储单元;提供激励信号。
在本申请的一些实施例中,所述激励信号包括:时钟和复位信号。
也就是说,本申请一些实施例提供的基于上述验证系统的具体验证流程为:步骤一:通过随机指令的生成程序生成大量汇编文件;步骤二:通过指令编译器将汇编文件进行编译,生成反汇编及数据文件;步骤三:执行仿真平台的仿真脚本,将数据文件初始化到存储单元,core从存储单元取指执行指令,最终输出执行结果。
本申请实施例图1提供的验证系统结构简洁,较好的兼顾了系统性,完备性和可靠性,此外,该验证系统还具备良好的扩展性和复用性,能够满足将来指令集删减带来的相关验证系统升级的需求。
在本申请所提供的几个实施例中,应该理解到,所揭露的装置和方法,也可以通过其它的方式实现。以上所描述的装置实施例仅仅是示意性的,例如,附图中的流程图和框图显示了根据本申请的多个实施例的装置、方法和计算机程序产品的可能实现的体系架构、功能和操作。在这点上,流程图或框图中的每个方框可以代表一个模块、程序段或代码的一部分,所述模块、程序段或代码的一部分包含一个或多个用于实现规定的逻辑功能的可执行指令。也应当注意,在有些作为替换的实现方式中,方框中所标注的功能也可以以不同于附图中所标注的顺序发生。例如,两个连续的方框实际上可以基本并行地执行,它们有时也可以按相反的顺序执行,这依所涉及的功能而定。也要注意的是,框图和/或流程图中的每个方框、以及框图和/或流程图中的方框的组合,可以用执行规定的功能或动作的专用的基于硬件的系统来实现,或者可以用专用硬件与计算机指令的组合来实现。
另外,在本申请各个实施例中的各功能模块可以集成在一起形成一个独立的部分,也可以是各个模块单独存在,也可以两个或两个以上模块集成形成一个独立的部分。
所述功能如果以软件功能模块的形式实现并作为独立的产品销售或使用时,可以存储在一个计算机可读取存储介质中。基于这样的理解,本申请的技术方案本质上或者说对现有技术做出贡献的部分或者该技术方案的部分可以以软件产品的形式体现出来,该计算机软件产品存储在一个存储介质中,包括若干指令用以使得一台计算机设备(可以是个人计算机,服务器,或者网络设备等)执行本申请各个实施例所述方法的全部或部分步骤。而前述的存储介质包括:U盘、移动硬盘、只读存储器(ROM,Read-Only Memory)、随机存取存储器(RAM,Random Access Memory)、磁碟或者光盘等各种可以存储程序代码的介质。
以上所述仅为本申请的实施例而已,并不用于限制本申请的保护范围,对于本领域的技术人员来说,本申请可以有各种更改和变化。凡在本申请的精神和原则之内,所作的任何修改、等同替换、改进等,均应包含在本申请的保护范围之内。应注意到:相似的标号和字母在下面的附图中表示类似项,因此,一旦某一项在一个附图中被定义,则在随后的附图中不需要对其进行进一步定义和解释。
以上所述,仅为本申请的具体实施方式,但本申请的保护范围并不局限于此,任何熟悉本技术领域的技术人员在本申请揭露的技术范围内,可轻易想到变化或替换,都应涵盖在本申请的保护范围之内。因此,本申请的保护范围应所述以权利要求的保护范围为准。
需要说明的是,在本文中,诸如第一和第二等之类的关系术语仅仅用来将一个实体或者操作与另一个实体或操作区分开来,而不一定要求或者暗示这些实体或操作之间存在任何这种实际的关系或者顺序。而且,术语“包括”、“包含”或者其任何其他变体意在涵盖非排他性的包含,从而使得包括一系列要素的过程、方法、物品或者设备不仅包括那些要素,而且还包括没有明确列出的其他要素,或者是还包括为这种过程、方法、物品或者设备所固有的要素。在没有更多限制的情况下,由语句“包括一个……”限定的要素,并不排除在包括所述要素的过程、方法、物品或者设备中还存在另外的相同要素。

Claims (10)

1.一种用于验证指令集中指令相关的系统,其特征在于,所述系统包括:
仿真平台,包含被测试处理器模块、用于存放指令的存储单元以及仿真脚本;
随机指令生成模块,被配置为将产生的随机相关指令以及指令运行的参考结果输出至汇编文件中;
指令编译器,被配置为对通过所述指令生成模块得到的汇编文件进行编译,生成对应的反汇编文件及数据文件;
其中,所述仿真平台通过调用并运行所述仿真脚本,将所述数据文件初始化到所述存储单元,所述被测试处理器模块从所述存储单元取指执行指令并输出执行结果。
2.如权利要求1所述的系统,其特征在于,所述随机指令生成模块按照约束排列多条汇编指令,所述多条汇编指令存在指令相关性。
3.如权利要求2所述的系统,其特征在于,在调用所述仿真脚本之前,所述仿真平台还被配置为:例化所述被测试处理器模块,例化所述存储单元,使用总线协议连接所述被测试处理器模块和所述存储单元。
4.如权利要求3所述的系统,其特征在于,在调用所述仿真脚本之前,所述仿真平台还被配置为提供激励信号,其中,所述激励信号包括:时钟信号和复位信号。
5.如权利要求4所述的系统,其特征在于,在调用所述仿真脚本之前,所述仿真平台还被配置为对所述数据文件进行预处理,以使所述仿真平台将数据初始化至所述存储单元中。
6.如权利要求1所述的系统,其特征在于,所述随机指令生成模块包含针对待测试指令集中各指令的实现函数,其中,
所述随机指令生成模块还被配置为:
在主函数中设定随机约束,指令的源寄存器或目的寄存器之间存在数据相关或操作相关,随机出的指令被输出至相应汇编文件中。
7.一种用于验证指令集中指令相关的方法,应用于如权利要求1-6中任一项所述的系统中,所述方法包括:
通过随机指令生成模块生成多个汇编文件;
通过指令编译器将所述多个汇编文件中各汇编文件进行编译,生成反汇编及数据文件;
执行仿真平台的仿真脚本,将所述数据文件初始化到存储单元并通过待测试指令集模块从所述存储单元取指执行指令并输出执行结果。
8.如权利要求7所述的方法,其特征在于,所述通过随机指令生成模块生成多个汇编文件,包括:
在主函数main中通过调用各指令函数计算出指令执行结果;
将所述指令执行结果输出到对应的汇编文件中;
将所述指令执行结果与比较指令输出到所述汇编文件中。
9.如权利要求7所述的方法,其特征在于,在所述执行仿真平台的仿真脚本之前,所述方法还包括:
通过所述仿真平台例化所述被测试处理器模块并例化所述存储单元;
使用AHB总线协议连接所述被测试处理器模块和所述存储单元;
提供激励信号。
10.如权利要求9所述的方法,其特征在于,所述激励信号包括:时钟和复位信号。
CN202410137946.6A 2024-01-31 2024-01-31 一种用于验证指令集中指令相关的系统及方法 Pending CN117971238A (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202410137946.6A CN117971238A (zh) 2024-01-31 2024-01-31 一种用于验证指令集中指令相关的系统及方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202410137946.6A CN117971238A (zh) 2024-01-31 2024-01-31 一种用于验证指令集中指令相关的系统及方法

Publications (1)

Publication Number Publication Date
CN117971238A true CN117971238A (zh) 2024-05-03

Family

ID=90865622

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202410137946.6A Pending CN117971238A (zh) 2024-01-31 2024-01-31 一种用于验证指令集中指令相关的系统及方法

Country Status (1)

Country Link
CN (1) CN117971238A (zh)

Similar Documents

Publication Publication Date Title
Kästner et al. CompCert: Practical experience on integrating and qualifying a formally verified optimizing compiler
Arcaini et al. AsmetaSMV: a way to link high-level ASM models to low-level NuSMV specifications
Moy et al. LusSy: an open tool for the analysis of systems-on-a-chip at the transaction level
JP6342129B2 (ja) 混合モードプログラムのソースコードエラー位置検出装置及び方法
Herdt et al. Efficient cross-level testing for processor verification: A RISC-V case-study
US6067415A (en) System for assisting a programmer find errors in concurrent programs
KR101788279B1 (ko) 테스트 기법을 이용한 최악 실행 시간 측정 시스템 및 방법
Cachera et al. Certified memory usage analysis
CN115952758A (zh) 芯片验证方法、装置、电子设备及存储介质
Brahmi et al. Formalise to automate: deployment of a safe and cost-efficient process for avionics software
JP5109143B2 (ja) 検証装置および検証方法
Barrière et al. Formally verified native code generation in an effectful JIT: turning the CompCert backend into a formally verified JIT compiler
Kamkin et al. Extensible environment for test program generation for microprocessors
Blackham et al. Sequoll: a framework for model checking binaries
Brady et al. Learning conditional abstractions
Six Optimized and formally-verified compilation for a VLIW processor
Wagstaff et al. Automated ISA branch coverage analysis and test case generation for retargetable instruction set simulators
KR0125605B1 (ko) 프로그램의 아키덱쳐 변환방법 및 장치와 그 방법 및 장치를 사용하여 프로그램의 동작을 검증하는 방법 및 장치
CN114428642B (zh) 一种基于新型处理器架构的随机指令生成环境
CN117971238A (zh) 一种用于验证指令集中指令相关的系统及方法
US10409624B1 (en) Data array compaction in an emulation system
Matoussi et al. Loop aware ir-level annotation framework for performance estimation in native simulation
Tinnerholm An LLVM backend for the Open Modelica Compiler
CN116701085B (zh) RISC-V处理器Chisel设计指令集一致性的形式验证方法及装置
US8813005B1 (en) Debugging using tagged flip-flops

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination