CN117916893A - Semiconductor device with a semiconductor device having a plurality of semiconductor chips - Google Patents

Semiconductor device with a semiconductor device having a plurality of semiconductor chips Download PDF

Info

Publication number
CN117916893A
CN117916893A CN202280061603.5A CN202280061603A CN117916893A CN 117916893 A CN117916893 A CN 117916893A CN 202280061603 A CN202280061603 A CN 202280061603A CN 117916893 A CN117916893 A CN 117916893A
Authority
CN
China
Prior art keywords
insulator
oxide
conductor
region
oxygen
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202280061603.5A
Other languages
Chinese (zh)
Inventor
国武宽司
方堂凉太
神保安弘
仓田求
笹川慎也
福岛邦宏
山崎舜平
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Energy Laboratory Co Ltd
Original Assignee
Semiconductor Energy Laboratory Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Energy Laboratory Co Ltd filed Critical Semiconductor Energy Laboratory Co Ltd
Priority claimed from PCT/IB2022/058438 external-priority patent/WO2023047227A1/en
Publication of CN117916893A publication Critical patent/CN117916893A/en
Pending legal-status Critical Current

Links

Landscapes

  • Thin Film Transistor (AREA)

Abstract

Provided is a semiconductor device capable of achieving miniaturization and high integration. The semiconductor device includes a transistor. The transistor includes an oxide, first and second conductors on the oxide, first and second insulators on the first and second conductors, a second insulator within an opening included in the first insulator, a third insulator on the second insulator, a fourth insulator on the third insulator, and a third conductor on the fourth insulator. The opening includes a region overlapping the oxide. The third conductor includes a region overlapping the oxide with the second insulator, the third insulator, and the fourth insulator interposed therebetween. The second insulator is in contact with the top surface of the oxide and the sidewalls of the opening. The thickness of the second insulator is smaller than the thickness of the third insulator. The fourth insulator is less permeable to oxygen than the third insulator. In a cross section of the transistor in a channel length direction, a width of the third conductor is 3nm or more and 15nm or less.

Description

Semiconductor device with a semiconductor device having a plurality of semiconductor chips
Technical Field
One embodiment of the present invention relates to a method for producing a metal oxide. Further, one embodiment of the present invention relates to a transistor, a semiconductor device, and an electronic device. Further, one embodiment of the present invention relates to a method for manufacturing a semiconductor device. Further, one embodiment of the present invention relates to a semiconductor wafer and a module.
Note that in this specification and the like, a semiconductor device refers to all devices that can operate by utilizing semiconductor characteristics. In addition to a semiconductor element such as a transistor, a semiconductor circuit, an arithmetic device, or a memory device is one embodiment of a semiconductor device. Display devices (liquid crystal display devices, light-emitting display devices, and the like), projection devices, illumination devices, electro-optical devices, power storage devices, semiconductor circuits, imaging devices, electronic devices, and the like may include semiconductor devices.
Note that one embodiment of the present invention is not limited to the above-described technical field. One embodiment of the disclosed invention in this specification and the like relates to an object, a method, or a manufacturing method. In addition, one embodiment of the present invention relates to a process, machine, product, or composition (composition ofmatter).
Background
In recent years, development of semiconductor devices has been performed, and LSI, CPU, memory, and the like are mainly used for the semiconductor devices. The CPU is an aggregate of semiconductor elements including a semiconductor integrated circuit (including at least a transistor and a memory) formed by processing a semiconductor wafer to form a chip, and formed with electrodes serving as connection terminals.
A semiconductor circuit (IC chip) of an LSI, a CPU, a memory, or the like is mounted on a circuit board (e.g., a printed wiring board), and is used as one of the components of various electronic devices.
In addition, a technique of forming a transistor by using a semiconductor thin film formed over a substrate having an insulating surface is attracting attention. Such a transistor is widely used in electronic devices such as an Integrated Circuit (IC) and an image display device (simply referred to as a display device). As a semiconductor thin film applicable to a transistor, a silicon-based semiconductor material is widely known. As other materials, oxide semiconductors are attracting attention.
In addition, it is known that a leakage current of a transistor using an oxide semiconductor is extremely small in a non-conductive state. For example, patent document 1 discloses a low power consumption CPU or the like that uses a characteristic of low leakage current of a transistor using an oxide semiconductor. Further, for example, patent document 2 discloses a memory device or the like that realizes long-term retention of memory contents by utilizing the characteristic of low leakage current of a transistor using an oxide semiconductor.
In recent years, with miniaturization and weight reduction of electronic devices, demands for further higher density of integrated circuits have been increasing. In addition, there is a need to improve productivity of semiconductor devices including integrated circuits.
[ Prior Art literature ]
[ Patent literature ]
[ Patent document 1] Japanese patent application laid-open No. 2012-257187
[ Patent document 2] Japanese patent application laid-open No. 2011-151383 ]
Disclosure of Invention
Technical problem to be solved by the invention
An object of one embodiment of the present invention is to provide a semiconductor device capable of achieving miniaturization or high integration. Another object of one embodiment of the present invention is to provide a semiconductor device having good electrical characteristics. Another object of one embodiment of the present invention is to provide a semiconductor device in which non-uniformity in electrical characteristics of a transistor is small. Another object of one embodiment of the present invention is to provide a semiconductor device with high reliability. Another object of one embodiment of the present invention is to provide a semiconductor device with a large on-state current. Further, an object of one embodiment of the present invention is to provide a semiconductor device with low power consumption.
Note that the description of these objects does not prevent the existence of other objects. Note that one embodiment of the present invention is not required to achieve all of the above objects. Note that other objects than the above can be obtained and extracted from the description of the specification, drawings, claims, and the like.
Means for solving the technical problems
One embodiment of the present invention is a semiconductor device including a transistor. The transistor includes: an oxide; a first conductor and a second conductor on the oxide; a first insulator having an opening over the first conductor and the second conductor; a second insulator in the opening of the first insulator; a third insulator on the second insulator; a fourth insulator on the third insulator; and a third conductor on the fourth insulator. The first insulator has an opening including a region overlapping with the oxide. The third conductor includes a region overlapping with the oxide through the second insulator, the third insulator, and the fourth insulator. The second insulator includes a region in contact with a top surface of the oxide and a sidewall of the opening provided in the first insulator. The second insulator includes a region having a smaller thickness than the third insulator. The fourth insulator is less permeable to oxygen than the third insulator. In a cross section in a channel length direction of the transistor, the third conductor includes a region having a width of 3nm or more and 15nm or less.
One embodiment of the present invention is a semiconductor device including a transistor. The transistor includes: an oxide; a first conductor and a second conductor on the oxide; a first insulator having an opening over the first conductor and the second conductor; a second insulator in the opening of the first insulator; a third insulator on the second insulator; a fourth insulator on the third insulator; and a third conductor on the fourth insulator. The first insulator has an opening including a region overlapping with the oxide. The third conductor includes a region overlapping with the oxide through the second insulator, the third insulator, and the fourth insulator. The second insulator includes a region in contact with a top surface of the oxide and a sidewall of the opening provided in the first insulator. The second insulator includes a region having a smaller thickness than the third insulator. The fourth insulator is less permeable to oxygen than the third insulator. In a cross section in a channel length direction of the transistor, the third conductor includes a region having a width of 3nm or more and 15nm or less. In a cross section of the transistor in a channel length direction, a distance between a lower end portion of the first conductor and a lower end portion of the second conductor is 10nm or more and less than 40nm.
One embodiment of the present invention is a semiconductor device including a transistor. The transistor includes: an oxide; a first conductor and a second conductor on the oxide; a first insulator having an opening over the first conductor and the second conductor; a second insulator in the opening of the first insulator; a third insulator on the second insulator; a fourth insulator on the third insulator; a third conductor on the fourth insulator; and a fifth insulator. The first insulator has an opening including a region overlapping with the oxide. The third conductor includes a region overlapping the oxide with the second insulator, the third insulator, and the fourth insulator interposed therebetween. The second insulator includes a region in contact with a top surface of the oxide and a sidewall of the opening provided in the first insulator. The second insulator includes a region having a smaller thickness than the third insulator. The fourth insulator is less permeable to oxygen than the third insulator. In a cross section in a channel length direction of the transistor, the third conductor includes a region having a width of 3nm or more and 15nm or less. The fifth insulator is provided between the first conductor and the second conductor and the first insulator, the fifth insulator includes an opening overlapping with the opening provided in the first insulator, the fifth insulator is less permeable to oxygen than the third insulator, and the fifth insulator includes a region in contact with a side surface of the oxide, a side surface of the first conductor, and a side surface of the second conductor. The second insulator includes a region that contacts a sidewall of the opening provided in the fifth insulator. The oxide comprises indium, zinc, and one or more selected from gallium, aluminum, and tin. The oxide has crystals, and the c-axis of the crystals is substantially perpendicular to the surface or formed face of the oxide.
In the above semiconductor device, it is preferable that the third conductor is a laminate of the fourth conductor and the fifth conductor on the fourth conductor, and that the first conductor, the second conductor, and the fifth conductor each include metal and nitrogen.
In the above semiconductor device, preferably, the transistor includes a first layer and a second layer, the first layer is located between the first conductor and the second insulator, the second layer is located between the second conductor and the second insulator, a length of the first layer in a channel length direction is smaller than a width, a length of the second layer in the channel length direction is smaller than the width, and both the first layer and the second layer contain metal and oxygen.
In the above semiconductor device, it is preferable that the bottom surface of the third conductor includes a flat region, and the width is the width of the flat region.
In the above semiconductor device, it is preferable that the third conductor has an arc-shaped bottom surface having a center of curvature located in the third conductor, and the width is a width of a region where a straight line including the center of curvature and parallel to the bottom surface of the oxide overlaps with the third conductor.
In the above semiconductor device, it is preferable that the oxide includes a region where a thickness of a region of the oxide overlapping the third conductor is smaller than a thickness of a region of the oxide overlapping the first conductor.
In the semiconductor device, a cut-off frequency of the transistor is preferably 100GHz or more in a room temperature environment.
Effects of the invention
According to one embodiment of the present invention, a semiconductor device which can be miniaturized or highly integrated can be provided. Further, according to one embodiment of the present invention, a semiconductor device with excellent reliability can be provided. Further, according to one embodiment of the present invention, a semiconductor device in which non-uniformity in electrical characteristics of a transistor is small can be provided. Further, according to one embodiment of the present invention, a semiconductor device having good electrical characteristics can be provided. Further, according to an embodiment of the present invention, a semiconductor device having a large on-state current can be provided. Further, according to one embodiment of the present invention, a semiconductor device with low power consumption can be provided.
Note that the description of these effects does not prevent the existence of other effects. Note that one mode of the present invention is not required to have all of the above effects. Note that effects other than the above can be obtained and extracted from the description of the specification, drawings, claims, and the like.
Brief description of the drawings
Fig. 1A is a plan view of a semiconductor device according to an embodiment of the present invention. Fig. 1B to 1D are cross-sectional views of a semiconductor device according to an embodiment of the present invention.
Fig. 2A and 2B are cross-sectional views of a semiconductor device according to an embodiment of the present invention.
Fig. 3 is a cross-sectional view of a semiconductor device according to an embodiment of the present invention.
Fig. 4A to 4F are cross-sectional views of a semiconductor device according to an embodiment of the present invention.
Fig. 5A and 5B are cross-sectional views of a semiconductor device according to an embodiment of the present invention.
Fig. 6A is a plan view of a semiconductor device according to an embodiment of the present invention. Fig. 6B to 6D are cross-sectional views of a semiconductor device according to an embodiment of the present invention.
Fig. 7A is a plan view of a semiconductor device according to an embodiment of the present invention. Fig. 7B to 7D are cross-sectional views of a semiconductor device according to an embodiment of the present invention.
Fig. 8A is a plan view of a semiconductor device according to an embodiment of the present invention. Fig. 8B to 8D are cross-sectional views of a semiconductor device according to an embodiment of the present invention.
Fig. 9A is a plan view of a semiconductor device according to an embodiment of the present invention. Fig. 9B to 9D are cross-sectional views of a semiconductor device according to an embodiment of the present invention.
Fig. 10A is a plan view showing a method for manufacturing a semiconductor device according to an embodiment of the present invention. Fig. 10B to 10D are cross-sectional views illustrating a method for manufacturing a semiconductor device according to an embodiment of the present invention.
Fig. 11A is a plan view showing a method for manufacturing a semiconductor device according to an embodiment of the present invention. Fig. 11B to 11D are cross-sectional views illustrating a method for manufacturing a semiconductor device according to an embodiment of the present invention.
Fig. 12A is a plan view showing a method for manufacturing a semiconductor device according to an embodiment of the present invention. Fig. 12B to 12D are cross-sectional views illustrating a method for manufacturing a semiconductor device according to an embodiment of the present invention.
Fig. 13A is a plan view showing a method for manufacturing a semiconductor device according to an embodiment of the present invention. Fig. 13B to 13D are cross-sectional views illustrating a method for manufacturing a semiconductor device according to an embodiment of the present invention.
Fig. 14A is a plan view showing a method for manufacturing a semiconductor device according to an embodiment of the present invention. Fig. 14B to 14D are cross-sectional views illustrating a method for manufacturing a semiconductor device according to an embodiment of the present invention.
Fig. 15A is a plan view showing a method for manufacturing a semiconductor device according to an embodiment of the present invention. Fig. 15B to 15D are cross-sectional views illustrating a method for manufacturing a semiconductor device according to an embodiment of the present invention.
Fig. 16A is a plan view showing a method for manufacturing a semiconductor device according to an embodiment of the present invention. Fig. 16B to 16D are cross-sectional views illustrating a method for manufacturing a semiconductor device according to an embodiment of the present invention.
Fig. 17A is a plan view showing a method for manufacturing a semiconductor device according to an embodiment of the present invention. Fig. 17B to 17D are cross-sectional views illustrating a method for manufacturing a semiconductor device according to an embodiment of the present invention.
Fig. 18A is a plan view showing a method for manufacturing a semiconductor device according to an embodiment of the present invention. Fig. 18B to 18D are cross-sectional views illustrating a method for manufacturing a semiconductor device according to an embodiment of the present invention.
Fig. 19A is a plan view showing a method for manufacturing a semiconductor device according to an embodiment of the present invention. Fig. 19B to 19D are cross-sectional views showing a method for manufacturing a semiconductor device according to an embodiment of the present invention.
Fig. 20A is a plan view showing a method for manufacturing a semiconductor device according to an embodiment of the present invention. Fig. 20B to 20D are cross-sectional views illustrating a method for manufacturing a semiconductor device according to an embodiment of the present invention.
Fig. 21 is a plan view illustrating a microwave processing apparatus according to an embodiment of the present invention.
Fig. 22 is a schematic cross-sectional view illustrating a microwave processing apparatus according to an embodiment of the present invention.
Fig. 23 is a schematic cross-sectional view illustrating a microwave processing apparatus according to an embodiment of the present invention.
Fig. 24 is a schematic view illustrating a microwave processing apparatus according to an embodiment of the present invention.
Fig. 25A is a top view of a semiconductor device according to an embodiment of the present invention. Fig. 25B and 25C are cross-sectional views of a semiconductor device according to an embodiment of the present invention.
Fig. 26 is a sectional view showing a structure of a memory device according to an embodiment of the present invention.
Fig. 27 is a sectional view showing a structure of a memory device according to an embodiment of the present invention.
Fig. 28 is a cross-sectional view of a semiconductor device according to an embodiment of the present invention.
Fig. 29A and 29B are cross-sectional views of a semiconductor device according to an embodiment of the present invention.
Fig. 30 is a cross-sectional view of a semiconductor device according to an embodiment of the present invention.
Fig. 31A is a block diagram showing a configuration example of a storage device according to an embodiment of the present invention. Fig. 31B is a perspective view showing a configuration example of a memory device according to an embodiment of the present invention.
Fig. 32A to 32H are circuit diagrams showing a configuration example of a memory device according to an embodiment of the present invention.
Fig. 33A and 33B are schematic views of a semiconductor device according to an embodiment of the present invention.
Fig. 34A and 34B are diagrams illustrating an example of the electronic component.
Fig. 35A to 35E are schematic views of a memory device according to an embodiment of the present invention.
Fig. 36A to 36H are diagrams showing an electronic device according to an embodiment of the present invention.
Fig. 37A to 37C are schematic diagrams showing the structure of the transistors to be tested.
Fig. 38A and 38B are plan SEM images of the samples tested.
Fig. 39A and 39B are cross-sectional STEM images of the fabricated samples. Fig. 39C is a cross-sectional STEM image of a metal oxide. Fig. 39D is a localized fourier transform image.
Fig. 40A and 40B are Id-Vg characteristics of transistors.
Fig. 41 is a graph showing a normal probability distribution of Vth.
Fig. 42 is a diagram illustrating the size dependence of Vth.
Fig. 43A is a diagram showing a measurement circuit of off-state current. Fig. 43B is a diagram showing the temperature dependence of the off-state current.
Fig. 44A is a diagram illustrating an evaluation environment of cut-off frequency measurement. Fig. 44B is a diagram illustrating TEG of cut-off frequency measurement.
Fig. 45A and 45B are diagrams showing measurement results of the cut-off frequency of the transistor.
Fig. 46 is a diagram showing a route of the OS transistor.
Fig. 47 is an Id-Vd characteristic of a transistor.
Fig. 48A is a diagram showing a measurement result of the cut-off frequency of the transistor. Fig. 48B is a diagram showing a measurement result of the maximum oscillation frequency of the transistor.
Fig. 49A to 49D are Id-Vg characteristics of the transistors.
Fig. 50A to 50D are Id-Vg characteristics of transistors.
Fig. 51 is a schematic diagram showing the structure of a sample to be tested.
Fig. 52A and 52B are Id-Vg characteristics of transistors.
Modes for carrying out the invention
The embodiments will be described below with reference to the drawings. It is noted that one of ordinary skill in the art can easily understand the fact that the embodiments may be implemented in a plurality of different forms, and that the manner and details thereof may be changed into various forms without departing from the spirit and scope of the present invention. Therefore, the present invention should not be construed as being limited to the description of the embodiments shown below.
In the drawings, the size, thickness of layers, or regions are sometimes exaggerated for clarity. Accordingly, the present invention is not limited to the dimensions in the drawings. In addition, in the drawings, ideal examples are schematically shown, and therefore the present invention is not limited to the shapes, numerical values, and the like shown in the drawings. For example, in an actual manufacturing process, layers, resist masks, and the like may be unintentionally thinned due to processing such as etching, but may not be reflected in the drawings for ease of understanding. In the drawings, the same reference numerals are used in common between the different drawings to denote the same parts or parts having the same functions, and a repetitive description thereof may be omitted. In addition, the same hatching is sometimes used when representing portions having the same function, and no reference numerals are particularly attached.
In particular, in a plan view (also referred to as a plan view) or a perspective view, some components may be omitted to facilitate understanding of the present invention. In addition, the description of the partially hidden line may be omitted.
In this specification and the like, for convenience, first, second, and the like ordinal numbers are appended, and do not indicate the order of steps or the order of lamination. Accordingly, for example, "first" may be replaced with "second" or "third" as appropriate. Further, the ordinal words described in the specification and the like may not coincide with the ordinal words used to designate one embodiment of the present invention.
In this specification and the like, words such as "upper" and "lower" are used for convenience to describe positional relationships of constituent elements with reference to the drawings. In addition, the positional relationship of the constituent elements is appropriately changed according to the direction in which the respective constituent elements are described. Therefore, the words and phrases described in the specification are not limited to the words and phrases, and may be appropriately replaced according to circumstances.
For example, in the present specification and the like, when explicitly described as "X and Y are connected", it means that X and Y are electrically connected; x and Y are functionally linked; x is directly connected with Y. Accordingly, the present invention is not limited to the predetermined connection relationships such as the connection relationships shown in the drawings or the text, and connection relationships other than the connection relationships shown in the drawings or the text are also disclosed in the drawings or the text. Here, X and Y are objects (e.g., devices, elements, circuits, wirings, electrodes, terminals, conductive films, layers, and the like).
In this specification and the like, a transistor refers to an element including at least three terminals of a gate, a drain, and a source. The transistor has a region (hereinafter also referred to as a channel formation region) forming a channel between a drain (drain terminal, drain region, or drain electrode) and a source (source terminal, source region, or source electrode), and a current can flow between the source and the drain through the channel formation region. Note that in this specification and the like, a channel formation region refers to a region through which current mainly flows.
In addition, in the case of using transistors having different polarities, the case of changing the current direction during circuit operation, or the like, the functions of the source and the drain may be exchanged with each other. Therefore, in this specification and the like, the source and the drain may be exchanged with each other.
Note that the channel length refers to, for example, a distance between a semiconductor (or a portion where a current flows in the semiconductor) and a gate electrode overlap each other in a top view of the transistor or a source (source region or source electrode) and a drain (drain region or drain electrode) in a channel formation region when the transistor is in an on state. In addition, in one transistor, the channel length is not necessarily the same in all regions. That is, the channel length of one transistor is sometimes not limited to one value. Therefore, in this specification, the channel length is any one of a value, a maximum value, a minimum value, or an average value in the channel formation region.
The channel width refers to, for example, a region where a semiconductor and a gate electrode overlap each other in a top view of a transistor (or a portion where a current flows in the semiconductor when the transistor is in an on state) or a length of a channel formation region perpendicular to a channel length direction among the channel formation regions. In addition, in one transistor, the channel width is not necessarily the same value in all regions. That is, the channel width of one transistor is sometimes not limited to one value. Therefore, in this specification, the channel width is any one of a value, a maximum value, a minimum value, or an average value in the channel formation region.
In this specification and the like, depending on the structure of the transistor, a channel width in reality (hereinafter, also referred to as "effective channel width") in a region where a channel is formed may be different from a channel width shown in a top view of the transistor (hereinafter, also referred to as "apparent channel width"). For example, when the gate electrode covers the side surface of the semiconductor, the effective channel width may be larger than the apparent channel width, and thus the influence thereof cannot be ignored. For example, in a transistor in which the side surface of the semiconductor is covered with a gate electrode, the proportion of a channel formation region formed on the side surface of the semiconductor may be increased. In this case, the effective channel width is larger than the apparent channel width.
In the above case, it is sometimes difficult to estimate the effective channel width by actual measurement. For example, in order to estimate the effective channel width from the design value, an assumption that the shape of the semiconductor is known in advance is required. Therefore, when the shape of the semiconductor is not determined, it is difficult to accurately measure the effective channel width.
In this specification, when simply described as "channel width", it may be referred to as an apparent channel width. Or in the present specification, when simply representing "channel width", an effective channel width may be represented. Note that, for example, by analyzing a cross-sectional TEM image, values of a channel length, a channel width, an effective channel width, an apparent channel width, and the like can be determined.
Note that the impurity of the semiconductor refers to an element other than a main component constituting the semiconductor, for example. For example, an element having a concentration of less than 0.1 atomic% can be said to be an impurity. When impurities are contained, for example, an increase in defect density, a decrease in crystallinity, and the like of the semiconductor occur. When the semiconductor is an oxide semiconductor, examples of impurities that change characteristics of the semiconductor include a group 1 element, a group 2 element, a group 13 element, a group 14 element, a group 15 element, and a transition metal other than a main component of the oxide semiconductor. For example, there are hydrogen, lithium, sodium, silicon, boron, phosphorus, carbon, nitrogen, and the like. In addition, water may also act as an impurity. In addition, for example, the mixing of impurities sometimes causes the formation of oxygen vacancies (also referred to as V O: oxygenvacancy) in the oxide semiconductor.
Note that in this specification and the like, silicon oxynitride refers to a substance having an oxygen content greater than a nitrogen content. Further, silicon oxynitride refers to a substance having a nitrogen content greater than an oxygen content. In addition, aluminum oxynitride refers to a substance having an oxygen content greater than a nitrogen content. Further, aluminum oxynitride refers to a substance having a nitrogen content greater than an oxygen content. Further, hafnium oxynitride refers to a substance having an oxygen content greater than the nitrogen content. Further, hafnium oxynitride refers to a substance having a nitrogen content greater than an oxygen content.
Note that in this specification and the like, the "insulator" may be replaced with "insulating film" or "insulating layer". In addition, "conductor" may be referred to as "conductive film" or "conductive layer". In addition, "semiconductor" may be replaced with "semiconductor film" or "semiconductor layer".
In the present specification and the like, "parallel" means a state in which an angle formed by two straight lines is-10 ° or more and 10 ° or less. Therefore, the state in which the angle is-5 ° or more and 5 ° or less is also included. "substantially parallel" means a state in which two straight lines form an angle of-30 DEG or more and 30 DEG or less. The term "vertical" refers to a state in which the angle of two straight lines is 80 ° or more and 100 ° or less. Therefore, the state in which the angle is 85 ° or more and 95 ° or less is also included. The term "substantially perpendicular" means a state in which an angle formed by two straight lines is 60 ° or more and 120 ° or less.
In the present specification and the like, the metal oxide (metaloxide) refers to an oxide of a metal in a broad sense. Metal oxides are classified into oxide insulators, oxide conductors (including transparent oxide conductors), and oxide semiconductors (Oxide Semiconductor, which may also be simply referred to as OS), and the like. For example, in the case where a metal oxide is used for a semiconductor layer of a transistor, the metal oxide is sometimes referred to as an oxide semiconductor. In other words, an OS transistor may be referred to as a transistor including a metal oxide or an oxide semiconductor.
Note that in this specification or the like, normally-off means that a drain current of 1 μm per channel width flowing through a transistor when no potential is applied to the gate or a ground potential is applied to the gate is 1×10 -20 a or less at room temperature, 1×10 -18 a or less at 85 ℃, or 1×10 -16 a or less at 125 ℃.
In this specification and the like, the "voltage" and the "potential" may be appropriately exchanged. The "voltage" refers to a potential difference from a reference potential, and may be referred to as a "potential" when the reference potential is a ground potential (ground potential), for example. The ground potential does not necessarily mean 0V. The potential is relatively, and the potential supplied to the wiring, the potential applied to the circuit, or the like, the potential output from the circuit, or the like also changes according to the change in the reference potential.
In the present specification and the like, when the same symbol is used for a plurality of elements and it is necessary to distinguish them, a symbol for identification such as "_1", "[ n ]" or "[ m, n ]" may be added to the symbol.
Note that in this specification and the like, "height uniformity or substantially uniformity" refers to a structure in which heights from a surface (for example, a flat surface such as a substrate surface) serving as a reference are equal when viewed in cross section. For example, in a manufacturing process of a semiconductor device, a planarization process (typically, a CMP process) is sometimes performed to expose a surface of a single layer or a plurality of layers. In this case, the heights of the surfaces to be processed in the CMP process are equal to each other from the surface serving as a reference. Note that the heights of the plurality of layers may be different depending on the processing apparatus, the processing method, or the material of the surface to be processed at the time of performing CMP processing. In this specification and the like, "highly uniform or substantially uniform" also includes the above-described case. For example, in the case where a layer having two heights (referred to herein as a first layer and a second layer) to a reference plane appears, when the difference between the height of the top surface of the first layer and the height of the top surface of the second layer is 20nm or less, it is also referred to as "height uniformity or substantially uniformity".
Note that in this specification and the like, "end portions are uniform or substantially uniform" means that layers stacked in plan view overlap with at least a part of the contour between the layers. For example, the case where the upper layer and the lower layer are processed by the same mask pattern or a part of the same mask pattern is included. However, strictly speaking, there are cases where the contours do not overlap and the contour of the upper layer is located inside the contour of the lower layer or the contour of the upper layer is located outside the contour of the lower layer, these also include being identical or substantially identical at the "ends".
In this specification and the like, the off-state current may be referred to as a leakage current. In this specification and the like, the off-state current sometimes refers to a current flowing between a source and a drain when a transistor is in an off state, for example.
(Embodiment 1)
In this embodiment mode, an example of a semiconductor device and a method for manufacturing the same according to one embodiment of the present invention are described with reference to fig. 1A to 20D. A semiconductor device according to one embodiment of the present invention includes a transistor.
< Structural example of semiconductor device >
The structure of a semiconductor device including the transistor 200 is described with reference to fig. 1. Fig. 1A to 1D are a top view and a cross-sectional view of a semiconductor device including a transistor 200. Fig. 1A is a plan view of the semiconductor device. Fig. 1B to 1D are sectional views of the semiconductor device. Here, fig. 1B is a cross-sectional view of a portion along the chain line A1-A2 in fig. 1A, and is also a cross-sectional view of the transistor 200 in the channel length direction. Fig. 1C is a cross-sectional view of a portion along the chain line A3 to A4 in fig. 1A, and is also a cross-sectional view of the transistor 200 in the channel width direction. In addition, fig. 1D is a sectional view of a portion along the chain line A5 to A6 in fig. 1A. Note that in the plan view of fig. 1A, some constituent elements are omitted for clarity.
A semiconductor device according to one embodiment of the present invention includes an insulator 212 over a substrate (not shown), an insulator 214 over the insulator 212, a transistor 200 over the insulator 214, an insulator 280 over the transistor 200, an insulator 282 over the insulator 280, an insulator 283 over the insulator 282, an insulator 274 over the insulator 283, and an insulator 285 over the insulator 283 and the insulator 274. The insulator 212, the insulator 214, the insulator 280, the insulator 282, the insulator 283, the insulator 285, the insulator 274, and the insulator 285 are used as interlayer films. Further, a conductor 240a and a conductor 240b which are electrically connected to the transistor 200 and function as plugs are included. Further, an insulator 241a in contact with the side surface of the conductor 240a and an insulator 241b in contact with the side surface of the conductor 240b are also included. Further, the insulator 285 and the conductor 240a are provided with a conductor 246a electrically connected to the conductor 240a and used as a wiring, and the insulator 285 and the conductor 240b are provided with a conductor 246b electrically connected to the conductor 240b and used as a wiring. Further, the insulator 283 is in contact with a portion of the top surface of the insulator 214, the side surface of the insulator 280, and the side and top surfaces of the insulator 282.
The insulator 241a is provided so as to be in contact with the inner walls of the openings of the insulator 280, the insulator 282, the insulator 283, and the insulator 285, and the conductor 240a is provided so as to be in contact with the side surface of the insulator 241 a. Further, the insulator 241b is provided so as to be in contact with the inner walls of the openings of the insulator 280, the insulator 282, the insulator 283, and the insulator 285, and the conductor 240b is provided so as to be in contact with the side surface of the insulator 241 b. The insulators 241a and 241b have a structure in which a first insulator is provided so as to be in contact with the inner wall of the opening, and a second insulator is provided inside the first insulator. The conductor 240a has a structure in which a first conductor is provided so as to contact the side surface of the insulator 241a, and a second conductor is provided inside the first conductor. The conductor 240b has a structure in which a first conductor is provided so as to contact the side surface of the insulator 241b, and a second conductor is provided inside the first conductor. Here, the top surface height of the conductor 240a may be substantially identical to the top surface height of the insulator 285 overlapping the region of the conductor 246 a. Here, the top surface height of the conductor 240b may be substantially identical to the top surface height of the insulator 285 overlapping the region of the conductor 246 b.
In the transistor 200, the first insulator and the second insulator of each of the insulator 241a and the insulator 241b are stacked, but the present invention is not limited thereto. For example, the insulator 241a and the insulator 241b may have a single-layer structure or a stacked-layer structure of three or more layers. In the transistor 200, the first conductor and the second conductor of each of the conductors 240a and 240b are stacked, but the present invention is not limited thereto. For example, the conductor 240a and the conductor 240b may have a single-layer structure or a stacked structure of three or more layers. In addition, when the structure has a laminated structure, ordinals may be given in order of formation to distinguish them from each other.
[ Transistor 200]
As shown in fig. 1A to 1D, the transistor 200 includes an insulator 216 on the insulator 214, an insulator 205 (the insulator 205a and the conductor 205 b) arranged so as to be embedded in the insulator 216, an insulator 222 on the insulator 216 and the conductor 205, an insulator 224 on the insulator 222, an oxide 230a on the insulator 224, an oxide 230b on the oxide 230a, an insulator 242a on the oxide 230b, an insulator 271A on the conductor 242a, an insulator 242b on the oxide 230b, an insulator 271b on the conductor 242b, an insulator 252 on the oxide 230b, an insulator 250 on the insulator 252, an insulator 254 on the insulator 250, an insulator 260 (the conductor 260a and the conductor 260 b) which is located on the insulator 254 and overlaps with a part of the oxide 230b, and an insulator 275 arranged on the insulator 222, the insulator 224, the oxide 230a, the oxide 230b, the conductor 242a, the conductor 242b, the insulator 271b, and the insulator 271 b. Here, as shown in fig. 1B and 1C, the insulator 252 is in contact with at least a portion of each of the top surface of the insulator 222, the side surface of the insulator 224, the side surface of the oxide 230a, the side and top surfaces of the oxide 230B, the side surfaces of the conductors 242a and 242B, the side surfaces of the insulators 271a and 271B, the side surface of the insulator 275, the side surface of the insulator 280, and the bottom surface of the insulator 250. The top surface of the conductor 260 is disposed so as to have a height substantially equal to the heights of the uppermost portion of the insulator 254, the uppermost portion of the insulator 250, the uppermost portion of the insulator 252, and the top surface of the insulator 280. In addition, insulator 282 is in contact with at least a portion of the top surface of each of conductor 260, insulator 252, insulator 250, insulator 254, and insulator 280.
Hereinafter, the oxide 230a and the oxide 230b may be collectively referred to as an oxide 230. Further, the conductors 242a and 242b may be collectively referred to as conductors 242. In addition, the insulator 271a and the insulator 271b are sometimes collectively referred to as an insulator 271.
Openings to the oxide 230b are provided in the insulator 280 and the insulator 275. That is, the opening can be said to include a region overlapping with the oxide 230 b. Further, the insulator 275 can be said to include an opening that overlaps with an opening included in the insulator 280. Further, an insulator 252, an insulator 250, an insulator 254, and a conductor 260 are provided in the opening. That is, the conductor 260 includes a region overlapping with the oxide 230b through the insulator 252, the insulator 250, and the insulator 254. Further, in the channel length direction of the transistor 200, the conductors 260, 252, 250, and 254 are provided between the conductors 271a and 242a and between the conductors 271b and 242 b. The insulator 254 has a region in contact with the side surface of the conductor 260 and a region in contact with the bottom surface of the conductor 260.
Oxide 230 preferably includes oxide 230a on insulator 224 and oxide 230b on oxide 230 a. When the oxide 230a is included under the oxide 230b, diffusion of impurities from a structure formed under the oxide 230a to the oxide 230b can be suppressed.
Note that in the transistor 200, the oxide 230 has a two-layered structure of the oxide 230a and the oxide 230b, but the present invention is not limited thereto. For example, the oxide 230 may have a single-layer or three-layer or more stacked structure of the oxide 230b, or may have a stacked structure of the oxide 230a and the oxide 230 b.
The electrical conductor 260 is used as a first gate (also referred to as a top gate) electrode and the electrical conductor 205 is used as a second gate (also referred to as a back gate) electrode. In addition, the insulator 252, the insulator 250, and the insulator 254 are used as a first gate insulator, and the insulator 222 and the insulator 224 are used as a second gate insulator. Note that the gate insulator is sometimes referred to as a gate insulating layer or a gate insulating film. Further, the conductor 242a is used as one of a source electrode and a drain electrode, and the conductor 242b is used as the other of the source electrode and the drain electrode. Further, at least a part of the region of the oxide 230 overlapping with the conductor 260 is used as a channel formation region.
Here, fig. 2A shows an enlarged view of the vicinity of the channel formation region in fig. 1B. Since the oxide 230b is supplied with oxygen, a channel formation region is formed in a region between the conductor 242a and the conductor 242 b. Accordingly, as shown in fig. 2A, the oxide 230b includes a region 230bc serving as a channel formation region of the transistor 200, and a region 230ba and a region 230bb which are provided so as to sandwich the region 230bc and serve as a source region or a drain region. At least a portion of region 230bc overlaps with conductor 260. In other words, the region 230bc is provided in the region between the conductor 242a and the conductor 242 b. Region 230ba overlaps conductor 242a and region 230bb overlaps conductor 242 b.
Since the region 230bc serving as a channel formation region has fewer oxygen vacancies or a lower impurity concentration than the regions 230ba and 230bb, the region 230bc is a high-resistance region having a low carrier concentration. Thus, region 230bc may be said to be an i-type (intrinsic) or substantially i-type region.
In addition, the regions 230ba and 230bb used as the source region and the drain region have a large number of oxygen vacancies or have a high concentration of impurities such as hydrogen, nitrogen, and metal elements, and thus have a high carrier concentration, and therefore have a low resistance. That is, the region 230ba and the region 230bb are n-type regions having a higher carrier concentration and a lower resistance than the region 230 bc.
Here, the carrier concentration of the region 230bc used as the channel formation region is preferably 1×10 18cm-3 or less, more preferably less than 1×10 17cm-3, further preferably less than 1×10 16cm-3, more preferably less than 1×10 13cm-3, further preferably less than 1×10 12cm-3. The lower limit value of the carrier concentration of the region 230bc used as the channel formation region is not particularly limited, and may be set to 1×10 -9cm-3, for example.
Further, a region having a carrier concentration equal to or lower than that of the region 230ba and the region 230bb and equal to or higher than that of the region 230bc may be formed between the region 230bc and the region 230ba or the region 230 bb. In other words, this region is used as a junction region of the region 230bc and the region 230ba or the region 230 bb. The hydrogen concentration of the junction region is sometimes equal to or lower than the hydrogen concentration of the regions 230ba and 230bb and equal to or higher than the hydrogen concentration of the region 230 bc. In addition, the oxygen vacancies of the junction region are sometimes equal to or less than the oxygen vacancies of region 230ba and region 230bb and equal to or more than the oxygen vacancies of region 230 bc.
Note that fig. 2A shows an example in which the region 230ba, the region 230bb, and the region 230bc are formed in the oxide 230b, but the present invention is not limited thereto. For example, the above regions may be formed in the oxide 230b and the oxide 230 a.
In the oxide 230, it may be difficult to clearly observe the boundary of each region. The concentrations of the metal element and the impurity element such as hydrogen and nitrogen detected in each region do not need to be changed stepwise for each region, and may be changed gradually for each region. That is, the concentration of the metal element and the impurity element such as hydrogen and nitrogen may be lower as the channel formation region is closer.
A metal oxide (hereinafter, sometimes referred to as an oxide semiconductor) to be used as a semiconductor in the transistor 200 is preferably used for the oxide 230 (the oxide 230a or the oxide 230 b) including a channel formation region.
The band gap of the metal oxide used as the semiconductor is preferably 2eV or more, more preferably 2.5eV or more. By using a metal oxide with a wider band gap, the off-state current (off-state current) of the transistor can be reduced.
For example, as the oxide 230, a metal oxide such as an in—m—zn oxide containing indium, an element M, and zinc (the element M is one or more selected from aluminum, gallium, yttrium, tin, copper, vanadium, beryllium, boron, titanium, iron, nickel, germanium, zirconium, molybdenum, lanthanum, cerium, neodymium, hafnium, tantalum, tungsten, magnesium, and the like) is preferably used. Further, as the oxide 230, in—ga oxide, in—zn oxide, or indium oxide can also be used.
The oxide 230 preferably has a stacked structure of a plurality of oxide layers having different chemical compositions from each other. For example, the atomic number ratio of the element M of the metal element with respect to the main component in the metal oxide for the oxide 230a is preferably larger than the atomic number ratio of the element M of the metal element with respect to the main component in the metal oxide for the oxide 230 b. Further, the atomic number ratio of In to the element M In the metal oxide for the oxide 230a is preferably larger than the atomic number ratio of In to the element M In the metal oxide for the oxide 230 b. By adopting such a structure, diffusion of impurities and oxygen from a structure formed below the oxide 230a to the oxide 230b can be suppressed.
Here, it is preferable that the atomic ratio of In to the element M In the metal oxide used for the oxide 230b is larger than the atomic ratio of In to the element M In the metal oxide used for the oxide 230 a. By adopting this structure, the transistor 200 can obtain a high on-state current and a high frequency characteristic.
Further, since the oxide 230a and the oxide 230b contain a common element as a main component in addition to oxygen, the defect state density at the interface between the oxide 230a and the oxide 230b can be reduced. Therefore, the influence of interface scattering on carrier conduction is reduced, and thus the transistor 200 can obtain high on-state current and high frequency characteristics.
Specifically, as the oxide 230a, a metal oxide having a composition of In: M: zn=1:3:4 [ atomic number ratio ] or the vicinity thereof or a composition of In: M: zn=1:1:0.5 [ atomic number ratio ] or the vicinity thereof may be used. As the oxide 230b, a metal oxide having a composition of In: M: zn=1:1:1 [ atomic number ratio ] or a vicinity thereof, a composition of In: M: zn=1:1:1.2 [ atomic number ratio ] or a vicinity thereof, a composition of In: M: zn=1:1:2 [ atomic number ratio ] or a vicinity thereof, or a composition of In: M: zn=4:2:3 [ atomic number ratio ] or a vicinity thereof may be used. Note that the nearby composition includes a range of ±30% of the desired atomic number ratio. Further, gallium is preferably used as the element M. In addition, when a single layer of the oxide 230b is provided as the oxide 230, a metal oxide which can be used for the oxide 230a can be used as the oxide 230 b.
In the case of depositing a metal oxide by a sputtering method, the atomic ratio is not limited to the atomic ratio of the deposited metal oxide, and may be an atomic ratio of a sputtering target used for the deposition of the metal oxide.
The oxide 230b preferably has crystallinity. In particular, CAAC-OS (c-axis ALIGNED CRYSTALLINE oxide semiconductor: c-axis oriented crystalline oxide semiconductor) is preferably used as the oxide 230b.
The CAAC-OS has a dense structure with high crystallinity and is a metal oxide with few impurities and defects (e.g., oxygen vacancies, etc.). In particular, the CAAC-OS can have a dense structure with higher crystallinity by performing a heat treatment at a temperature (for example, 400 ℃ or more and 600 ℃ or less) at which the metal oxide is not polycrystallized after the metal oxide is formed. Thus, by further increasing the density of the CAAC-OS, the diffusion of impurities or oxygen in the CAAC-OS can be further reduced.
In addition, since a clear grain boundary is not easily observed in CAAC-OS, a decrease in electron mobility due to the grain boundary is not easily generated. Thus, the metal oxide containing CAAC-OS is stable in physical properties. Therefore, the metal oxide having the CAAC-OS has heat resistance and high reliability.
In addition, when an oxide having crystallinity such as CAAC-OS is used as the oxide 230b, extraction of oxygen from the oxide 230b by the source electrode or the drain electrode can be suppressed. Therefore, oxygen extraction from the oxide 230b can be reduced even by heat treatment, so that the transistor 200 is stable to a high temperature (so-called thermal budget) in the manufacturing process.
In a transistor using an oxide semiconductor, if impurities and oxygen vacancies exist in a region of the oxide semiconductor where a channel is formed, electrical characteristics tend to be changed, and reliability may be lowered. In addition, hydrogen in the vicinity of the oxygen vacancy forms a defect (hereinafter sometimes referred to as V O H) in which hydrogen enters the oxygen vacancy, and electrons that become carriers may be generated. Therefore, when oxygen vacancies are included in a region of the oxide semiconductor where a channel is formed, the transistor has normally-on characteristics (characteristics that a channel exists and a current flows in the transistor even if a voltage is not applied to the gate electrode). Accordingly, in the region where the channel is formed of the oxide semiconductor, impurities, oxygen vacancies, and V O H are preferably reduced as much as possible. In other words, it is preferable that the carrier concentration of the region forming the channel in the oxide semiconductor is reduced and is i-type (intrinsic) or substantially i-type.
In contrast, by providing an insulator containing oxygen (hereinafter, sometimes referred to as excess oxygen) which is desorbed by heating in the vicinity of the oxide semiconductor and performing heat treatment, oxygen can be supplied from the insulator to the oxide semiconductor, so that oxygen vacancies and V O H can be reduced. Note that when too much oxygen is supplied to the source region or the drain region, there is a possibility that on-state current of the transistor 200 is lowered or field-effect mobility is lowered. Also, when the amount of oxygen supplied to the source region or the drain region is uneven in the substrate surface, the characteristics of the semiconductor device including the transistor are uneven. Further, when oxygen supplied from the insulator to the oxide semiconductor diffuses into conductors such as a gate electrode, a source electrode, and a drain electrode, the conductors may be oxidized, which may cause a loss of conductivity, and thus adversely affect the electrical characteristics and reliability of the transistor.
Therefore, in the oxide semiconductor, it is preferable that the carrier concentration of the region 230bc serving as the channel formation region is reduced and is i-shaped or substantially i-shaped. On the other hand, it is preferable that the regions 230ba and 230bb serving as the source region or the drain region have a high carrier concentration and are n-type. In other words, it is preferable that oxygen vacancies and V O H of the region 230bc and the region 230ba and the region 230bb of the oxide semiconductor are reduced and that excessive oxygen is not supplied. Further, it is preferable to use a structure that suppresses oxidation of the conductor 260, the conductor 242a, the conductor 242b, and the like.
In this embodiment mode, the semiconductor device has a structure in which oxygen is efficiently supplied to the region 230bc and oxidation of the conductors 242a, 242b, and 260 is suppressed.
In order to supply oxygen to the region 230bc, an insulator which is easily permeable to oxygen is preferably used as the insulator 250. Further, as the insulator 280, an insulator containing excess oxygen is preferably used. By adopting this structure, oxygen contained in the insulator 280 can be supplied to the region 230bc through the insulator 250.
Further, in order to suppress oxidation of the conductors 242a, 242b, and 260, an insulator having a function of suppressing diffusion of oxygen is preferably provided in the vicinity of each of the conductors 242a, 242b, and 260. In the semiconductor device described in this embodiment, the insulator is, for example, the insulator 252, the insulator 254, or the insulator 275.
Insulator 252 preferably has oxygen barrier properties. Insulator 252 is disposed between insulator 250 and conductor 242a and between insulator 250 and conductor 242 b. Accordingly, oxygen included in the insulator 250 can be prevented from diffusing to the conductors 242a and 242b, whereby the conductors 242a and 242b can be suppressed from being oxidized. Or the amount of oxygen contained in the insulator 250 that diffuses into the conductors 242a and 242b is reduced, whereby the layers (corresponding to the layers 244a and 244b described later) formed on the side surfaces of the conductors 242a and 242b can be thinned. Further, an insulator 252 is disposed between the insulator 250 and the oxide 230 b. Therefore, oxygen desorption from the region 230bc of the oxide 230b can be suppressed when the heat treatment or the like is performed.
Note that the thickness of the insulator 252 is preferably thin. For example, insulator 252 preferably includes a region having a thickness less than the thickness of insulator 250. Insulator 250 includes a region that contacts the top surface of oxide 230 b. By reducing the thickness of the insulator 252, oxygen contained in the insulator 250 can be supplied to the region 230bc of the oxide 230b and supply excess of oxygen contained in the insulator 250 can be suppressed. Further, the insulator 252 is disposed between the insulator 280 and the insulator 250, and includes a region that contacts a sidewall of an opening included in the insulator 280. By thinning the thickness of the insulator 252, oxygen contained in the insulator 280 can be supplied to the insulator 250 and supply excess of oxygen contained in the insulator 280 can be suppressed.
The insulator 254 preferably has oxygen barrier properties. The insulator 254 is disposed between the insulator 250 and the electrical conductor 260. Accordingly, oxygen contained in the insulator 250 can be prevented from diffusing to the conductor 260 and the conductor 260 can be suppressed from being oxidized. Note that the insulator 254 is at least less permeable to oxygen than the insulator 250.
As the insulator 275, an insulator having a function of suppressing oxygen permeation is preferably used. Insulator 275 is disposed between insulator 280 and conductors 242a and 242b. By adopting this structure, diffusion of oxygen contained in the insulator 280 to the conductors 242a and 242b can be suppressed. Accordingly, the on-state current can be reduced by suppressing oxidation of the conductors 242a and 242b due to oxygen contained in the insulator 280, which increases the resistivity. Note that, at least, the insulator 275 is less permeable to oxygen than the insulator 250.
By adopting the above structure, the region 230bc serving as a channel formation region can be i-type or substantially i-type and the region 230ba and the region 230bb serving as source or drain regions can be n-type, and a semiconductor device having excellent electrical characteristics can be provided. Further, by adopting the above structure, even if the semiconductor device is miniaturized or highly integrated, it is possible to have good electrical characteristics. For example, good electrical characteristics can be obtained even if the gate length is 20nm or less, 15nm or less, 10nm or less, or 7nm or less, and 2nm or more, 3nm or more, or 5nm or more. Note that the gate length is described later.
Further, the high frequency characteristics can be improved by miniaturizing the transistor 200. Specifically, the cutoff frequency can be increased. When the gate length is within the above range, for example, in a room temperature environment, the cut-off frequency of the transistor may be 50GHz or more or 100GHz or more.
Note that, as the conductor 242a, the conductor 242b, the conductor 260, a conductive material which is not easily oxidized, a conductive material having a function of suppressing oxygen diffusion, or the like is preferably used. Examples of the conductive material include a conductive material containing nitrogen and a conductive material containing oxygen. This can suppress a decrease in the conductivity of the conductors 242a, 242b, and 260. When a conductive material including metal and nitrogen is used for the conductors 242a, 242b, and 260, the conductors 242a, 242b, and 260 include at least metal and nitrogen.
Any one or more of the conductors 242a, 242b, and 260 may have a stacked structure. For example, when the conductor 242a and the conductor 242b have a stacked-layer structure, a conductive material which is not easily oxidized, a conductive material having a function of suppressing oxygen diffusion, or the like is preferably used as a layer in contact with the oxide 230 b. Further, for example, as shown in fig. 1B, when the conductor 260 has a stacked structure of the conductor 260a and the conductor 260B, a conductive material which is not easily oxidized, a conductive material having a function of suppressing oxygen diffusion, or the like can be used as the conductor 260 a.
As the oxide 230b, an oxide having crystallinity such as CAAC-OS is preferably used. As the oxide, the above-mentioned metal oxide which can be used for the oxide 230 is preferably used. Particularly preferred is the use of a metal oxide comprising indium, zinc and one or more selected from gallium, aluminum and tin. In addition, CAAC-OS is an oxide having crystals with c-axes that are substantially perpendicular to the surface or formed face of the oxide. This can suppress the extraction of oxygen from the oxide 230b by the conductor 242a or the conductor 242 b. Further, the decrease in conductivity of the conductors 242a and 242b can be suppressed.
The insulator 282 provided on the insulator 280 is preferably formed by a method capable of adding oxygen to the insulator 280. Thereby, the insulator 280 may be made to contain excess oxygen.
In this embodiment mode, the semiconductor device has a structure for suppressing the mixing of hydrogen into the transistor 200 in addition to the above-described structure. For example, an insulator having a function of suppressing diffusion of hydrogen is provided so as to cover the transistor 200. In the semiconductor device described in this embodiment mode, the insulator is, for example, the insulator 212 or the insulator 283.
As the insulator 212, an insulator having a function of suppressing diffusion of hydrogen is preferably used. This can suppress diffusion of hydrogen from below the insulator 212 to the transistor 200.
As the insulator 283, an insulator having a function of suppressing diffusion of hydrogen is preferably used. This can suppress diffusion of hydrogen from above the insulator 283 to the transistor 200. Further, the hydrogen diffusion transistor 200 included in the insulator 274 can be suppressed.
Fig. 3 shows an enlarged view of the vicinity of the channel formation region in fig. 1B. The solid arrows shown in fig. 3 are visible as they diffuse oxygen. Further, the arrows of the broken lines shown in fig. 3 are visible as if hydrogen diffuses. With the above structure, oxygen can be efficiently supplied to the region 230bc, and oxidation of the conductor 242a, the conductor 242b, and the conductor 260 can be suppressed. Further, the mixing of hydrogen into the transistor 200 can be suppressed.
Here, the gate length is described.
Fig. 4A shows an enlarged view of the vicinity of the channel formation region in fig. 1B. Fig. 4A is a cross-sectional view of the transistor 200 in the channel length direction. As described above, the insulator 252, the insulator 250, and the insulator 254 are used as the first gate insulator.
Insulator 252, insulator 250, and insulator 254 are sometimes collectively referred to hereinafter as insulator 256. At this time, the insulator 256 includes the insulator 252, the insulator 250 on the insulator 252, and the insulator 254 on the insulator 250. Further, an insulator 256 is used as the first gate insulator.
Fig. 4B shows a cross-sectional view of the insulator 252, the insulator 250, and the insulator 254 included in fig. 4A replaced with an insulator 256. In fig. 4B, a single-layer conductor 260 is shown for simplicity of drawing. Note that, as described above, the conductor 260 may have a stacked structure of the conductor 260a and the conductor 260b or a stacked structure of three or more layers.
The width Lg shown in fig. 4A and 4B is a width of the bottom surface of the conductor 260 in a region overlapping the oxide 230B in a cross section in the channel length direction. The bottom surface of the conductor 260 in the region overlapping the oxide 230b in the cross section in the channel length direction is sometimes simply referred to as the bottom surface of the conductor 260 in the region overlapping the oxide 230 b. That is, the bottom surface of the conductor 260 in the region overlapping with the oxide 230b, which will be described later, may be sometimes referred to as the bottom surface of the conductor 260 in the region overlapping with the oxide 230b in the cross section in the channel length direction.
The gate length is the length of the gate electrode in the direction in which carriers move inside the channel formation region when the transistor is in operation, and is the width of the bottom surface of the gate electrode in a top view of the transistor. In this specification and the like, the gate length is the width of the bottom surface of the conductor 260 in the region overlapping the oxide 230b in the cross section in the channel length direction. That is, the gate length is the width Lg shown in fig. 4A and 4B. Note that the conductor 260 is provided inside the opening included in the insulator 275 and the insulator 280. Furthermore, the sidewalls of the opening are perpendicular or oblique to the substrate face. In particular, when the angle formed between the sidewall of the opening and the substrate surface is 90 ° or less, the minimum width of the conductor 260 in the region overlapping with the oxide 230b is the width Lg. Therefore, the conductor 260 may also include a region having a width Lg in the cross section in the channel length direction.
The bottom surface of the conductor 260 in the region overlapping with the oxide 230b preferably has a flat region. As shown in fig. 4A and 4B, when the bottom surface of the conductor 260 in the region overlapping with the oxide 230B has a flat region, the width Lg is the width of the flat region. By having a flat region on the bottom surface of the conductor 260 in the region overlapping with the oxide 230b, an electric field can be uniformly generated in the channel formation region of the oxide 230.
Fig. 4A and 4B show a structure in which the bottom surface of the conductor 260 in the region overlapping with the oxide 230B has a flat region, but the present invention is not limited thereto. The bottom surface of the conductor 260 in the region overlapping with the oxide 230b in the cross section in the channel length direction may have a curved line.
Fig. 4C shows a modified example of the transistor 200 shown in fig. 4B. Fig. 4C is a cross-sectional view of the transistor 200 in the channel length direction. For example, as shown in fig. 4C, the bottom surface of the conductor 260 in the region overlapping with the oxide 230b may include a flat region and a region having a curve. Note that the areas with curves are located at the ends of both sides of the bottom surface. Here, the point at which the curve on the side of the conductor 242a on the bottom surface contacts the side surface on the side of the conductor 242a of the conductor 260 is the point Qa. The point at which the curve on the side of conductor 242b on the bottom surface contacts the side of conductor 242b on the side of conductor 260 is point Qb. In this structure, the width Lg is the line segment length connecting the point Qa and the point Qb.
Fig. 4D shows a modified example of the transistor 200 shown in fig. 4B. Fig. 4D is a cross-sectional view of the transistor 200 in the channel length direction. For example, as shown in fig. 4D, the conductor 260 may have an arc-shaped bottom surface. Note that this arc is an arc whose center of curvature P is located within the conductor 260 and whose radius r. In this structure, the width Lg is a width of a region where a straight line including the center of curvature P and parallel to the bottom surface of the oxide 230b overlaps with the conductor 260 in a cross section in the channel length direction. In other words, the width Lg is 2 times the radius r. Note that the straight line indicated by a broken line in fig. 4D is a straight line including the curvature center P and parallel to the bottom surface of the oxide 230 b.
Note that, in the bottom surface shape of the conductor 260 shown in fig. 4D, when the radius r is large (for example, when the radius r is larger than the channel length), the distance from the curvature center P to the channel formation region of the oxide 230b also becomes large. In this case, the gate length of this shape may be the width Lg shown in fig. 4C. That is, the width Lg may be calculated from the shape determination points Qa and Qb of the bottom surface of the conductor 260 shown in fig. 4D.
In the bottom surface shape of the conductor 260 shown in fig. 4C, it is sometimes difficult to determine the points Qa and Qb. In this case, the gate length of this shape may be the width Lg shown in fig. 4D. That is, the width Lg may be calculated by determining the curvature center P based on the shape of the bottom surface of the conductor 260 shown in fig. 4C.
The gate length is described above. Next, the channel length is described.
As shown in fig. 4A to 4D, the distance between the lower end portion of the conductor 242a and the lower end portion of the conductor 242b is L. The distance L corresponds to the channel length of the transistor 200.
In the above structure, the channel length is set according to the material for the conductor 260, the gate length, the material and thickness for the first gate insulator, and the like. In any of the above ranges, the channel length may be, for example, 60nm or less, 50nm or less, 40nm or less, or 30nm or less, and 10nm or more, 15nm or more, or 20nm or more.
When openings are formed in the insulator 280 and the insulator 275, the upper portion of the oxide 230b in a region overlapping with the openings is sometimes removed, and details thereof will be described later. At this time, as shown in fig. 4E, the thickness of the region of the oxide 230b overlapping the conductor 260 is thinner than the thickness of the region of the oxide 230b overlapping the conductor 242 a. Note that the transistor 200 shown in fig. 4E is a modified example of the transistor 200 shown in fig. 4B. Fig. 4E is a cross-sectional view of the transistor 200 in the channel length direction.
Here, the difference Lt between the thickness of the region of the oxide 230b overlapping the conductor 260 and the thickness of the region of the oxide 230b overlapping the conductor 242a is the difference Lt (see fig. 4E). The difference Lt is small and the distance L can be regarded as the channel length.
In addition, as described above, when the thin insulator 252 is formed, as shown in fig. 4F, the layer 244a may be formed between the conductor 242a and the insulator 256. Likewise, layer 244b is sometimes formed between conductor 242b and insulator 256. In other words, transistor 200 sometimes includes layer 244a between conductor 242a and insulator 256 and layer 244b between conductor 242b and insulator 256. Note that the transistor 200 shown in fig. 4F is a modified example of the transistor 200 shown in fig. 4E. Fig. 4F is a cross-sectional view of the transistor 200 in the channel length direction.
The layers 244a and 244b are formed by oxidizing the side surfaces of the conductors 242a and 242b, respectively. Thus, the layer 244a contains an element and oxygen included in the conductor 242 a. In addition, the layer 244b includes an element and oxygen included in the conductor 242 b. For example, when both the conductor 242a and the conductor 242b have metal and nitrogen, both the layer 244a and the layer 244b have the metal and oxygen.
Layer 244a is less conductive than conductor 242 a. Further, layer 244b has lower conductivity than conductor 242 b. Therefore, when the transistor 200 includes the layers 244a and 244b, the distance between the lower end portion of the conductor 242a and the lower end portion of the conductor 242b may be referred to as a distance L, and the distance L may be referred to as a channel length. That is, by forming the layers 244a and 244b, the channel length can be increased. Therefore, the source-drain withstand voltage of the transistor 200 can be improved to realize a transistor with high reliability.
Note that in the cross section in the channel length direction, the length in the channel length direction of the layer 244a is the length Lo (see fig. 4F). Note that the length of the layer 244b in the channel length direction is equal to or substantially equal to the length Lo. The length Lo is preferably small. For example, the length Lo is preferably smaller than the width Lg. Specifically, the length Lo is preferably 1nm or more and less than 8nm, more preferably 2nm or more and less than 5nm. By adopting this structure, even if the gate length is in any of the above ranges, the transistor 200 can obtain good electrical characteristics.
Thus, a semiconductor device having excellent electrical characteristics can be provided. Further, a semiconductor device capable of achieving miniaturization or high integration can be provided. In addition, a semiconductor device having excellent electrical characteristics and capable of achieving miniaturization or high integration can be provided.
In this embodiment, the oxide 230b is subjected to a microwave treatment in an oxygen-containing atmosphere in a state where the conductor 242a and the conductor 242b are provided over the oxide 230b, so that oxygen vacancies and V O H in the region 230bc are reduced. Here, the microwave treatment refers to, for example, treatment using an apparatus including a power source for generating high-density plasma by microwaves.
By performing the microwave treatment in an atmosphere containing oxygen, the oxygen gas can be plasmatized using high Frequency such as microwave or RF (Radio Frequency) to cause the oxygen plasma to act. At this time, a high frequency such as microwave or RF may be irradiated to the region 230bc. V O H of region 230bc can be separated into oxygen vacancies and hydrogen by the action of plasma, microwaves, or the like, which can be removed from region 230bc to fill the oxygen vacancies with oxygen. This reduces the hydrogen concentration, oxygen vacancies, and V O H in the region 230bc, thereby reducing the carrier concentration.
When the microwave treatment is performed in an oxygen-containing atmosphere, the action of high frequency such as microwaves and RF, oxygen plasma, and the like is shielded by the conductors 242a and 242b, and does not relate to the regions 230ba and 230bb. Further, the effect of oxygen plasma can be reduced by the insulator 271 and the insulator 280 covering the oxide 230b and the conductor 242. Thus, the decrease in V O H and the excessive supply of oxygen do not occur in the region 230ba and the region 230bb during the microwave treatment, and therefore, the decrease in carrier concentration can be prevented.
In addition, it is preferable to perform microwave treatment with an oxygen-containing atmosphere after depositing the insulating film to be the insulator 252 or after depositing the insulating film to be the insulator 250. In this manner, by performing microwave treatment in an oxygen-containing atmosphere through the insulator 252 or the insulator 250, oxygen can be efficiently injected into the region 230 bc. Further, by disposing the insulator 252 so as to be in contact with the side surface of the conductor 242 and the surface of the region 230bc, the region 230bc can be prevented from being injected with unnecessary oxygen, and therefore oxidation of the side surface of the conductor 242 can be prevented. In addition, the side surface of the conductor 242 can be suppressed from being oxidized when the insulating film serving as the insulator 250 is deposited.
As oxygen injected into the region 230bc, there are various types of oxygen atoms, oxygen molecules, oxygen radicals (also referred to as O radicals, including atoms, molecules, or ions of unpaired electrons), and the like. Oxygen injected into region 230bc may be any one or more of the ways described above, with oxygen radicals being particularly preferred. In addition, since the film quality of the insulator 252 and the insulator 250 can be improved, the reliability of the transistor 200 can be improved.
As described above, oxygen vacancies and V O H can be selectively removed in the region 230bc of the oxide semiconductor to make the region 230bc i-type or substantially i-type. Further, the region 230ba and the region 230bb serving as the source region or the drain region can be kept from being supplied with excessive oxygen, and the n-type region before the microwave treatment can be kept in a state. This suppresses variation in the electrical characteristics of the transistor 200, and suppresses variation in the electrical characteristics of the transistor 200 in the substrate plane.
By adopting the above structure, a semiconductor device with small non-uniformity of transistor characteristics can be provided. Further, a semiconductor device with good reliability can be provided. Further, a semiconductor device having good electrical characteristics can be provided. In addition, a semiconductor device which can be miniaturized or highly integrated can be provided.
As shown in fig. 1C, a curved surface may be provided between the side surface of the oxide 230b and the top surface of the oxide 230b when viewed in cross section of the channel width of the transistor 200. That is, the end portions of the side surfaces and the end portions of the top surface may also be curved (hereinafter, also referred to as rounded).
The radius of curvature of the curved surface is preferably greater than 0nm and less than the thickness of the oxide 230b in the region overlapping the conductor 242 or less than half the length of the region without the curved surface. Specifically, the radius of curvature of the curved surface is greater than 0nm and 20nm or less, preferably 1nm or more and 15nm or less, and more preferably 2nm or more and 10nm or less. By adopting the above-described shape, the coverage of the insulator 252, the insulator 250, the insulator 254, and the oxide 230b of the conductor 260 can be improved.
Further, as shown in fig. 1C and the like, since the insulator 252 formed of aluminum oxide or the like is provided so as to be in contact with the top surface and the side surface of the oxide 230, indium contained in the oxide 230 may be distributed at and near the interface between the oxide 230 and the insulator 252. Therefore, the surface vicinity of the oxide 230 has an atomic number ratio close to that of indium oxide or an atomic number ratio close to that of in—zn oxide. When the atomic number of indium in the vicinity of the surface of the oxide 230, particularly the oxide 230b is relatively large, the field-effect mobility of the transistor 200 can be improved.
At least one of the insulator 212, the insulator 214, the insulator 271, the insulator 275, the insulator 282, the insulator 283, and the insulator 285 is preferably used as a blocking insulating film which suppresses diffusion of impurities such as water, hydrogen, or the like from the substrate side or over the transistor 200 to the transistor 200. Therefore, at least one of the insulator 212, the insulator 214, the insulator 271, the insulator 275, the insulator 282, the insulator 283, and the insulator 285 preferably uses an insulating material having a function of suppressing diffusion of impurities such as hydrogen atoms, hydrogen molecules, water molecules, nitrogen atoms, nitrogen molecules, nitrogen oxide molecules (N 2O、NO、NO2 or the like), copper atoms or the like (the impurities are not easily permeated). Further, an insulating material having a function of suppressing diffusion of oxygen (for example, at least one of an oxygen atom and an oxygen molecule) is preferably used (the oxygen is not easily transmitted).
In this specification, the barrier insulating film means an insulating film having barrier properties. In the present specification, the barrier property means a function of suppressing diffusion of a corresponding substance (also referred to as low permeability). Or refers to the function of capturing and immobilizing the corresponding substance (also referred to as gettering).
As the insulator 212, the insulator 214, the insulator 271, the insulator 275, the insulator 282, the insulator 283, and the insulator 285, an insulator having a function of suppressing diffusion of impurities such as water and hydrogen, oxygen, and the like is preferably used, and for example, aluminum oxide, magnesium oxide, hafnium oxide, gallium oxide, indium gallium zinc oxide, silicon nitride, silicon oxynitride, or the like can be used. For example, silicon nitride or the like having higher hydrogen barrier property is preferably used as the insulator 212, the insulator 275, and the insulator 283. For example, as the insulator 214, the insulator 271, the insulator 282, and the insulator 285, alumina, magnesia, or the like having high hydrogen capturing and fixing performance is preferably used. This can suppress diffusion of impurities such as water and hydrogen from the substrate side to the transistor 200 side through the insulator 212 and the insulator 214. Or diffusion of impurities such as water and hydrogen from an interlayer insulating film or the like disposed outside the insulator 285 to the transistor 200 side can be suppressed. Or diffusion of oxygen contained in the insulator 224 or the like to the substrate side through the insulator 212 and the insulator 214 may be suppressed. Or oxygen contained in the insulator 280 or the like can be suppressed from diffusing upward of the transistor 200 through the insulator 282 or the like. As described above, the transistor 200 is preferably surrounded by the insulator 212, the insulator 214, the insulator 271, the insulator 275, the insulator 282, the insulator 283, and the insulator 285, which have a function of suppressing diffusion of impurities such as water and hydrogen, and oxygen.
Here, as the insulator 212, the insulator 214, the insulator 271, the insulator 275, the insulator 282, the insulator 283, and the insulator 285, an oxide having an amorphous structure is preferably used. For example, a metal oxide such as AlO x (x is an arbitrary number greater than 0) or MgO y (y is an arbitrary number greater than 0) is preferably used. The above metal oxide having an amorphous structure sometimes has a property that an oxygen atom has a dangling bond and hydrogen is trapped or immobilized by the dangling bond. By using the metal oxide having the amorphous structure described above as a constituent element of the transistor 200 or disposing the metal oxide around the transistor 200, hydrogen contained in the transistor 200 or hydrogen existing around the transistor 200 can be trapped or fixed. In particular, hydrogen contained in a channel formation region in the transistor 200 is preferably trapped or fixed. By using a metal oxide having an amorphous structure as a constituent element of the transistor 200 or by providing the metal oxide around the transistor 200, the transistor 200 and the semiconductor device having favorable characteristics and high reliability can be manufactured.
The insulator 212, the insulator 214, the insulator 271, the insulator 275, the insulator 282, the insulator 283, and the insulator 285 preferably have an amorphous structure, but a region having a polycrystalline structure may be formed in a part thereof. The insulator 212, the insulator 214, the insulator 271, the insulator 275, the insulator 282, the insulator 283, and the insulator 285 may have a multilayer structure in which an amorphous layer and a polycrystalline layer are stacked. For example, a stacked structure in which a layer having a polycrystalline structure is formed over a layer having an amorphous structure may be used.
The insulator 212, the insulator 214, the insulator 271, the insulator 275, the insulator 282, the insulator 283, and the insulator 285 may be deposited by sputtering, for example. The sputtering method does not require the use of molecules containing hydrogen as a deposition gas, and therefore, the hydrogen concentration of the insulator 212, the insulator 214, the insulator 271, the insulator 275, the insulator 282, the insulator 283, and the insulator 285 can be reduced. As the deposition method, a Chemical Vapor Deposition (CVD) method, a molecular beam epitaxy (MBE: molecular Beam Epitaxy) method, a pulsed laser deposition (PLD: pulsed Laser Deposition) method, an atomic layer deposition (ALD: atomic Layer Deposition) method, or the like can be suitably used in addition to the sputtering method.
In addition, it is sometimes preferable to reduce the resistivity of the insulator 212, the insulator 275, and the insulator 283. For example, by making the resistivity of the insulator 212, the insulator 275, and the insulator 283 approximately 1×10 13 Ω cm, charge accumulation of the conductor 205, the conductor 242, the conductor 260, or the conductor 246 may be alleviated by the insulator 212, the insulator 275, and the insulator 283 in a process using plasma or the like in a semiconductor device manufacturing process. The resistivity of the insulator 212, the insulator 275, and the insulator 283 is preferably 1×10 10 Ω cm or more and 1×10 15 Ω cm or less.
Further, dielectric constants of the insulator 216, the insulator 274, the insulator 280, and the insulator 285 are preferably lower than those of the insulator 214. By using a material having a low dielectric constant for the interlayer film, parasitic capacitance generated between wirings can be reduced. For example, as the insulator 216, the insulator 274, the insulator 280, and the insulator 285, silicon oxide, silicon oxynitride, silicon oxide added with fluorine, silicon oxide added with carbon and nitrogen, silicon oxide having voids, or the like may be appropriately used.
The conductor 205 is arranged so as to overlap with the oxide 230 and the conductor 260. Here, the conductor 205 is preferably provided so as to be fitted into an opening formed in the insulator 216. In addition, a portion of the conductor 205 is sometimes embedded in the insulator 214.
The conductor 205 includes a conductor 205a and a conductor 205b. The conductor 205a is provided so as to contact the bottom surface and the side wall of the opening. The conductor 205b is provided so as to be fitted into a recess formed in the conductor 205 a. Here, the height of the top surface of the conductor 205b is substantially equal to the height of the top surface of the conductor 205a and the height of the top surface of the insulator 216.
Here, as the conductor 205a, a conductive material having a function of suppressing diffusion of impurities such as hydrogen atoms, hydrogen molecules, water molecules, nitrogen atoms, nitrogen molecules, nitrogen oxide molecules (N 2O、NO、NO2 or the like), copper atoms, or the like is preferably used. Or a conductive material having a function of suppressing diffusion of oxygen (for example, at least one of an oxygen atom and an oxygen molecule or the like) is preferably used.
By using a conductive material having a function of reducing diffusion of hydrogen as the conductive body 205a, impurities such as hydrogen contained in the conductive body 205b can be prevented from diffusing to the oxide 230 through the insulator 216, the insulator 224, and the like. Further, by using a conductive material having a function of suppressing diffusion of oxygen as the conductive body 205a, the conductive body 205b can be suppressed from being oxidized and the conductivity can be reduced. As the conductive material having a function of suppressing oxygen diffusion, for example, titanium nitride, tantalum nitride, ruthenium oxide, or the like can be used. Therefore, the conductive material may be used as the conductive body 205a in a single layer or a stacked layer. For example, titanium nitride may be used as the conductor 205 a.
Further, the conductor 205b is preferably made of a conductive material containing tungsten, copper, or aluminum as a main component. For example, tungsten may be used for the conductor 205 b.
The conductor 205 is sometimes used as a second gate electrode. In this case, the threshold voltage (Vth) of the transistor 200 can be controlled by independently changing the potential applied to the conductor 205 without interlocking with the potential applied to the conductor 260. In particular, by applying a negative potential to the conductor 205, vth of the transistor 200 can be increased and off-state current can be reduced. Thus, when a negative potential is applied to the conductor 205, the drain current when the potential applied to the conductor 260 is 0V can be reduced as compared with the case where a negative potential is not applied to the conductor 205.
Further, the resistivity of the conductor 205 is designed in consideration of the above-described potential applied to the conductor 205, and the thickness of the conductor 205 is set in accordance with the resistivity. The thickness of the insulator 216 is substantially the same as that of the conductor 205. Here, the thickness of the conductor 205 and the insulator 216 is preferably reduced within a range allowed by the design of the conductor 205. By reducing the thickness of the insulator 216, the absolute amount of impurities such as hydrogen contained in the insulator 216 can be reduced, so that diffusion of the impurities into the oxide 230 can be reduced.
As shown in fig. 1A, the conductor 205 is preferably larger than a region of the oxide 230 that does not overlap with the conductor 242a and the conductor 242 b. In particular, as shown in fig. 1C, the conductor 205 preferably extends to a region outside the channel width direction end portions of the oxide 230a and the oxide 230 b. That is, it is preferable that the conductor 205 and the conductor 260 overlap each other with an insulator therebetween on the outer side of the side surface in the channel width direction of the oxide 230. By having the above-described structure, the channel formation region of the oxide 230 can be electrically surrounded by the electric field of the conductor 260 serving as the first gate electrode and the electric field of the conductor 205 serving as the second gate electrode. In this specification, a transistor structure in which a channel formation region is electrically surrounded by electric fields of a first gate electrode and a second gate electrode is referred to as a surrounded channel (S-channel) structure.
In this specification and the like, a transistor of an S-channel structure refers to a structure in which a channel formation region is electrically surrounded by an electric field of one of a pair of gate electrodes and the other. The S-channel structure disclosed in the present specification and the like is different from the Fin-type structure and the planar structure. By adopting the S-channel structure, a transistor having improved resistance to short channel effects, in other words, a transistor in which short channel effects are unlikely to occur can be realized.
The channel formation region may be electrically surrounded by the transistor 200 being normally off and having the S-channel structure described above. Thus, the transistor 200 can also be said to have a GAA (GateAllAround: fully-surrounding gate) structure or a LGAA (Lateral GateAllAround: laterally fully-surrounding gate) structure. By providing the transistor 200 with an S-channel structure, a GAA structure, or a LGAA structure, a channel formation region formed at or near the interface of the oxide 230 and the gate insulator can be provided over the entire bulk of the oxide 230. Therefore, the current density flowing through the transistor can be increased, and thus an on-state current of the transistor or an improvement in field-effect mobility of the transistor can be expected.
Further, as shown in fig. 1C, the conductor 205 is extended to serve as a wiring. However, the present invention is not limited to this, and an electric conductor used as a wiring may be provided under the electric conductor 205. Furthermore, one conductor 205 need not be provided in each transistor. For example, the conductor 205 may be commonly used in a plurality of transistors.
Note that although the structure in which the conductor 205a and the conductor 205b are stacked as the conductor 205 in the transistor 200 is shown, the present invention is not limited to this. For example, the conductor 205 may have a single-layer structure or a stacked structure of three or more layers.
Insulator 222 and insulator 224 are used as gate insulators.
The insulator 222 preferably has a function of suppressing diffusion of hydrogen (e.g., at least one of hydrogen atoms and hydrogen molecules, etc.). Further, the insulator 222 preferably has a function of suppressing diffusion of oxygen (for example, at least one of an oxygen atom and an oxygen molecule, or the like). For example, the insulator 222 preferably has a function of suppressing diffusion of one or both of hydrogen and oxygen, as compared with the insulator 224.
As the insulator 222, an insulator containing an oxide of one or both of aluminum and hafnium is preferably used as an insulating material. As the insulator, aluminum oxide, hafnium oxide, an oxide containing aluminum and hafnium (hafnium aluminate), or the like is preferably used. Or preferably oxides comprising hafnium and zirconium, for example hafnium zirconium oxide. When the insulator 222 is formed using such a material, the insulator 222 is used as a layer which suppresses release of oxygen from the oxide 230 to the substrate side and diffusion of impurities such as hydrogen from the peripheral portion of the transistor 200 to the oxide 230. Therefore, by providing the insulator 222, diffusion of impurities such as hydrogen to the inside of the transistor 200 can be suppressed, and generation of oxygen vacancies in the oxide 230 can be suppressed. Further, the reaction of the conductor 205 with oxygen contained in the insulator 224 and the oxide 230 can be suppressed.
Alternatively, for example, alumina, bismuth oxide, germanium oxide, niobium oxide, silicon oxide, titanium oxide, tungsten oxide, yttrium oxide, or zirconium oxide may be added to the insulator. Alternatively, the insulator may be subjected to nitriding treatment. Further, as the insulator 222, silicon oxide, silicon oxynitride, or silicon nitride may be stacked over the insulator.
As the insulator 222, for example, an insulator containing a so-called high-k material such as aluminum oxide, hafnium oxide, tantalum oxide, zirconium oxide, hafnium zirconium oxide, or the like may be used in a single layer or a stacked layer. When miniaturization and high integration of transistors are performed, problems such as leakage current may occur due to thinning of the gate insulator. By using a high-k material as an insulator to be used as a gate insulator, the gate potential of the transistor when operating can be reduced while maintaining physical thickness. As the insulator 222, a substance having a high dielectric constant such as lead zirconate titanate (PZT) or strontium titanate (SrTiO 3)、(Ba,Sr)TiO3 (BST) may be used.
As the insulator 224 in contact with the oxide 230, for example, silicon oxide, silicon oxynitride, or the like may be appropriately used.
In the manufacturing process of the transistor 200, the heat treatment is preferably performed in a state where the surface of the oxide 230 is exposed. The heat treatment is preferably performed at 100 ℃ or more and 600 ℃ or less, more preferably 350 ℃ or more and 550 ℃ or less, for example. The heat treatment is performed in a nitrogen gas or inert gas atmosphere or an atmosphere containing 10ppm or more, 1% or more, or 10% or more of an oxidizing gas. For example, the heat treatment is preferably performed under an oxygen atmosphere. Thereby, oxygen is supplied to the oxide 230, so that oxygen vacancies can be reduced. The heat treatment may be performed under reduced pressure. The heat treatment may be performed under an atmosphere of nitrogen gas or inert gas, and then under an atmosphere containing 10ppm or more, 1% or more, or 10% or more of oxidizing gas in order to fill out the detached oxygen. The heat treatment may be performed in an atmosphere containing 10ppm or more, 1% or more, or 10% or more of an oxidizing gas, and then the heat treatment may be performed continuously in an atmosphere of nitrogen gas or an inert gas.
By subjecting the oxide 230 to the oxidation treatment, oxygen vacancies in the oxide 230 can be filled with supplied oxygen. Further, the hydrogen remaining in the oxide 230 reacts with the supplied oxygen, and the hydrogen can be removed (dehydrated) as H 2 O. This can suppress recombination of hydrogen and oxygen vacancies remaining in oxide 230 to form V O H.
The insulator 222 and the insulator 224 may have a laminated structure of two or more layers. In this case, the stacked structure is not limited to the stacked structure using the same material, and may be a stacked structure using a different material. Further, the insulator 224 may be formed in an island shape and overlap with the oxide 230 a. In this case, the insulator 275 is in contact with the side surface of the insulator 224 and the top surface of the insulator 222. Note that in this specification and the like, an island shape refers to a state in which two or more layers formed in the same process and using the same material are physically separated.
Conductors 242a and 242b contact the top surface of oxide 230 b. The conductors 242a and 242b are used as a source electrode or a drain electrode of the transistor 200, respectively.
As the conductor 242 (the conductor 242a and the conductor 242 b), for example, a nitride containing tantalum, a nitride containing titanium, a nitride containing molybdenum, a nitride containing tungsten, a nitride containing tantalum and aluminum, a nitride containing titanium and aluminum, or the like is preferably used. In one embodiment of the present invention, a nitride containing tantalum is particularly preferably used. Further, for example, ruthenium oxide, ruthenium nitride, an oxide containing strontium and ruthenium, an oxide containing lanthanum and nickel, or the like can also be used. These materials are preferably conductive materials that do not oxidize easily or materials that maintain conductivity even when oxygen is absorbed.
Note that hydrogen contained in the oxide 230b or the like sometimes diffuses into the conductor 242a or the conductor 242b. In particular, when a nitride containing tantalum is used as the conductor 242a and the conductor 242b, hydrogen contained in the oxide 230b or the like may be easily diffused into the conductor 242a or the conductor 242b, and the diffused hydrogen is bonded to nitrogen contained in the conductor 242a or the conductor 242b. That is, hydrogen contained in the oxide 230b or the like is sometimes absorbed by the conductor 242a or the conductor 242b.
Further, it is preferable that a curved surface is not formed between the side surface of the conductor 242 and the top surface of the conductor 242. By making the conductor 242 not have such a curved surface, as shown in fig. 1D, the cross-sectional area of the conductor 242 in the cross-section in the channel width direction can be increased. This increases the conductivity of the conductor 242, and thus the on-state current of the transistor 200 can be increased.
When the heat treatment is performed in a state where the conductor 242a (conductor 242 b) is in contact with the oxide 230b, the sheet resistance of the oxide 230b in the region overlapping with the conductor 242a (conductor 242 b) may be reduced. In addition, the carrier concentration may increase. Accordingly, the oxide 230b in the region overlapping with the conductor 242a (conductor 242 b) can be self-aligned to have low resistance.
Although the conductor 242 has a single-layer structure in fig. 1A to 1D, the present invention is not limited to this, and a stacked structure of two or more layers may be used. For example, as shown in fig. 5B, a two-layer laminated structure of the conductor 242a1 and the conductor 242a2 on the conductor 242a1 may be used as the conductor 242a, and a two-layer laminated structure of the conductor 242B1 and the conductor 242B2 on the conductor 242B1 may be used as the conductor 242B. At this time, the conductors 242a1 and 242b1 are arranged on the side in contact with the oxide 230 b.
Note that, hereinafter, the conductor 242a1 and the conductor 242b1 are sometimes collectively referred to as a lower layer of the conductor 242. In addition, the conductors 242a2 and 242b2 may be collectively referred to as an upper layer of the conductor 242.
The lower layer of the conductor 242 (the conductor 242a1 and the conductor 242b 1) is preferably made of a conductive material having a property of being less susceptible to oxidation. This can suppress the decrease in conductivity of the conductor 242 due to oxidation of the lower layer of the conductor 242. Further, the lower layer of the conductor 242 may have a property of easily absorbing (extracting) hydrogen. Thus, hydrogen of the oxide 230 diffuses into the lower layer of the conductor 242, and the hydrogen concentration of the oxide 230 can be reduced. Therefore, the transistor 200 can have stable electrical characteristics.
The upper layer of the conductor 242 (the conductor 242a2 and the conductor 242b 2) is preferably made of a conductive material having higher conductivity than the lower layer of the conductor 242 (the conductor 242a1 and the conductor 242b 1). At this time, at least a part of the upper layer of the conductor 242 may have a region having higher conductivity than the lower layer of the conductor 242. Or the upper layer of the conductive body 242 is preferably composed of a conductive material having a lower resistivity than the lower layer of the conductive body 242. Thus, a semiconductor device with suppressed wiring delay can be manufactured.
The upper layer of the conductor 242 may have a property of easily absorbing hydrogen. Thereby, the hydrogen absorbed by the lower layer of the conductor 242 also diffuses to the upper layer of the conductor 242, and the hydrogen concentration in the oxide 230 can be further reduced. Therefore, the transistor 200 can have stable electrical characteristics.
Here, it is preferable to use conductive materials having the same constituent elements and different chemical compositions for the lower layer of the conductor 242 and the upper layer of the conductor 242. At this time, the lower layer of the conductor 242 and the upper layer of the conductor 242 may be continuously formed without being exposed to the atmosphere. By forming the film so as not to be exposed to the atmosphere, it is possible to prevent impurities or moisture from the atmosphere from adhering to the surface of the lower layer of the conductor 242, whereby the vicinity of the interface of the lower layer of the conductor 242 and the upper layer of the conductor 242 can be kept clean.
Further, it is preferable that a tantalum-containing nitride having a high atomic number ratio to tantalum nitrogen be used as the lower layer of the conductor 242, and a tantalum-containing nitride having a low atomic number ratio to tantalum nitrogen be used as the upper layer of the conductor 242. For example, as the lower layer of the conductor 242, the following tantalum-containing nitride is used: the atomic number ratio of nitrogen to tantalum is 1.0 to 2.0, preferably 1.1 to 1.8, more preferably 1.2 to 1.5. For example, as the upper layer of the conductor 242, the following tantalum-containing nitride is used: the atomic number ratio of nitrogen to tantalum is 0.3 to 1.5, preferably 0.5 to 1.3, more preferably 0.6 to 1.0.
In addition, by increasing the atomic number ratio of nitrogen to tantalum in the tantalum-containing nitride, oxidation of the tantalum-containing nitride can be suppressed. In addition, the oxidation resistance of tantalum-containing nitrides can be improved. Oxygen diffusion into tantalum-containing nitrides can be suppressed. Therefore, as the lower layer of the conductor 242, a tantalum-containing nitride having a high atomic number ratio with respect to nitrogen of tantalum is preferably used. Thereby, an oxide layer can be prevented from being formed between the lower layer of the conductor 242 and the oxide 230, or the thickness of the oxide layer can be reduced.
Further, by reducing the atomic number ratio of nitrogen to tantalum in a tantalum-containing nitride, the resistivity of the nitride can be reduced. Therefore, as the upper layer of the conductor 242, a tantalum-containing nitride having a lower atomic number ratio of nitrogen to tantalum is preferably used. Thus, a semiconductor device with suppressed wiring delay can be manufactured.
Note that in the conductor 242, the boundary between the upper layer and the lower layer may be difficult to clearly observe. In the case where a nitride containing tantalum is used for the conductor 242, the concentrations of tantalum and nitrogen detected in each layer are not limited to being changed stepwise for each layer, and may be changed gradually (also referred to as gradation) in a region between an upper layer and a lower layer. That is, the closer to the region of the oxide 230, the higher the atomic number ratio of nitrogen to tantalum in the conductor 242 is. Therefore, the atomic ratio of nitrogen to tantalum in the region below the conductor 242 is preferably higher than the atomic ratio of nitrogen to tantalum in the region above the conductor 242.
The film thickness of the lower layer of the conductor 242 is 0.1nm or more and 5.0nm or less, preferably 0.5nm or more and 3.0nm or less, and more preferably 1.0nm or more and 3.0nm or less. At this time, at least a part of the lower layer of the conductor 242 may have a region having the film thickness. Further, the film thickness of the lower layer of the conductor 242 is preferably thinner than the film thickness of the upper layer of the conductor 242. At this time, at least a part of the lower layer of the conductor 242 may have a region having a thinner film thickness than the upper layer of the conductor 242.
Although the example in which the lower layer of the conductor 242 and the upper layer of the conductor 242 are made of the same conductive material having the same constituent elements and different chemical compositions is described here, the present invention is not limited thereto, and the lower layer of the conductor 242 and the upper layer of the conductor 242 may be made of different conductive materials.
Note that the structure of the lower layer of the conductor 242 and the upper layer of the conductor 242 is not limited to the above-described structure. For example, one or more of constituent elements, chemical compositions, and deposition conditions of the lower layer of the conductor 242 and the upper layer of the conductor 242 may be different. For example, a nitride containing tantalum may be used as the lower layer of the conductor 242 and a nitride containing titanium may be used as the upper layer of the conductor 242.
Insulator 271a is in contact with the top surface of conductor 242a and insulator 271b is in contact with the top surface of conductor 242 b. The insulator 271 is preferably used as an insulating film having at least barrier property against oxygen. Therefore, the insulator 271 preferably has a function of suppressing oxygen diffusion. For example, the insulator 271 preferably has a function of further suppressing oxygen diffusion as compared with the insulator 280. As the insulator 271, for example, an insulator such as silicon nitride, aluminum oxide, or magnesium oxide can be used.
Insulator 275 is provided so as to cover insulator 224, oxide 230a, oxide 230b, conductor 242, and insulator 271. Specifically, insulator 275 includes regions that contact the sides of oxide 230b, the sides of conductor 242a, and the sides of conductor 242 b. The insulator 275 preferably has a function of capturing and fixing hydrogen. In this case, the insulator 275 preferably includes silicon nitride or a metal oxide having an amorphous structure, for example, an insulator such as aluminum oxide or magnesium oxide. For example, a stacked film of aluminum oxide and silicon nitride over the aluminum oxide may be used as the insulator 275.
By providing the insulator 271 and the insulator 275, the insulator having a barrier property against oxygen can surround the conductor 242. In other words, oxygen contained in the insulator 224 and the insulator 280 can be suppressed from diffusing into the conductor 242. This can suppress the on-state current from decreasing due to the increase in resistivity caused by the direct oxidation of the conductor 242 by oxygen contained in the insulator 224 and the insulator 280.
The insulator 252 is used as part of a gate insulator. An oxygen barrier insulating film is preferably used as the insulator 252. As the insulator 252, an insulator usable for the insulator 282 may be used. As the insulator 252, an insulator containing an oxide of one or both of aluminum and hafnium is preferably used. As the insulator, aluminum oxide, hafnium oxide, an oxide containing aluminum and hafnium (hafnium aluminate), an oxide containing hafnium and silicon (hafnium silicate), or the like can be used. In this embodiment, alumina is used as the insulator 252. At this time, the insulator 252 contains at least oxygen and aluminum.
As shown in fig. 1C, the insulator 252 is provided in contact with the top and side surfaces of the oxide 230b, the side surface of the oxide 230a, the side surface of the insulator 224, and the top surface of the insulator 222. That is, the region of the oxide 230a, the oxide 230b, and the insulator 224 overlapping the conductor 260 in the cross section in the channel width direction is covered with the insulator 252. Accordingly, oxygen in the oxide 230a and the oxide 230b can be prevented from being removed by the insulator 252 having oxygen barrier property during heat treatment or the like. Therefore, oxygen vacancies formed in the oxide 230a and the oxide 230b can be reduced. Thereby, oxygen vacancies and V O H formed in the region 230bc can be reduced. Therefore, the electrical characteristics and reliability of the transistor 200 can be improved.
In addition, conversely, even if the insulator 280, the insulator 250, or the like contains excessive oxygen, the oxygen can be prevented from being excessively supplied to the oxide 230a and the oxide 230b. Therefore, the region 230ba and the region 230bb are excessively oxidized by the region 230bc, and thus, the on-state current of the transistor 200 is prevented from being reduced or the field-effect mobility is prevented from being reduced.
As shown in fig. 1B, the insulator 252 is provided so as to contact the side surfaces of the conductors 242, 271, 275, and 280. Therefore, the side surface of the conductor 242 can be reduced from being oxidized and an oxide film can be formed on the side surface. Therefore, a decrease in on-state current or a decrease in field-effect mobility of the transistor 200 can be suppressed.
In addition, the insulator 252 needs to be provided in an opening formed in the insulator 280 or the like together with the insulator 254, the insulator 250, and the conductor 260. To achieve miniaturization of the transistor 200, the thickness of the insulator 252 is preferably small. The thickness of the insulator 252 is 0.1nm or more and 5.0nm or less, preferably 0.5nm or more and 3.0nm or less, and more preferably 1.0nm or more and less than 3.0nm. At this time, at least a part of the insulator 252 may be a region having the above thickness. In addition, the thickness of insulator 252 is preferably smaller than the thickness of insulator 250. At this time, at least a part of the insulator 252 may be a region having a smaller thickness than the insulator 250.
To deposit the insulator 252 thin as described above, the insulator 252 is preferably deposited using an ALD method. Examples of the ALD method include a thermal ALD (Thermal ALD) method in which a precursor and a reactant are reacted only by thermal energy, and a PEALD (Plasma Enhanced ALD) method in which a reactant excited by plasma is used. In the PEALD method, deposition can be performed at a lower temperature by using plasma, so that it is sometimes preferable.
The ALD method can deposit atoms in layers, and thus has effects such as being capable of depositing extremely thin films, being capable of depositing structures having a high aspect ratio, being capable of depositing with few defects such as pinholes, being capable of depositing with excellent coverage, and being capable of depositing at low temperatures. Accordingly, the insulator 252 can be deposited with the above-described small thickness and high coverage on the side surface or the like of the opening formed in the insulator 280 or the like.
The precursor used in the ALD method sometimes contains carbon or the like. Therefore, the film formed by the ALD method may contain more impurities such as carbon than the film formed by other deposition methods. Further, the quantification of impurities can be measured by secondary ion mass spectrometry (SIMS: secondary Ion Mass Spectrometry), X-ray photoelectron spectroscopy (XPS: X-ray Photoelectron Spectroscopy) or Auger electron spectroscopy (AES: auger Electron Spectroscopy).
Further, by appropriately adjusting the deposition conditions of the insulating film to be the insulator 250, the conditions of the microwave treatment in the oxygen-containing atmosphere, the oxygen added to the insulator 280 due to the deposition of the insulator 282, and the like, oxygen vacancies and V O H formed in the region 230bc can be reduced in some cases, and excessive oxidation of the regions 230ba and 230bb can be suppressed. In this case, by adopting a structure in which the insulator 252 is not provided, the manufacturing process of the semiconductor device can be simplified, and improvement in productivity can be achieved.
The insulator 250 is used as part of a gate insulator. Insulator 250 is preferably configured to contact the top surface of insulator 252. As the insulator 250, silicon oxide, silicon oxynitride, silicon nitride oxide, silicon nitride, silicon oxide to which fluorine is added, silicon oxide to which carbon and nitrogen are added, silicon oxide having voids, or the like can be used. In particular, silicon oxide and silicon oxynitride are preferable because they have thermal stability. At this time, the insulator 250 is an insulator containing at least oxygen and silicon.
Like the insulator 224, the concentration of impurities such as water and hydrogen in the insulator 250 is preferably reduced. The thickness of the insulator 250 is preferably 0.1nm or more and 20nm or less, more preferably 0.5nm or more and 15nm or less. In particular, in order to manufacture a micro transistor (for example, a transistor having a gate length of 10nm or less), the thickness of the insulator 250 is preferably 0.5nm or more and 10nm or less, more preferably 0.5nm or more and 5nm or less. In the above case, at least a part of the insulator 250 may be a region having the above thickness.
In fig. 1A to 1D, etc., the insulator 250 is shown as having a single-layer structure, but the present invention is not limited thereto, and a stacked structure of two or more layers may be employed. For example, as shown in fig. 2B, the insulator 250 may have a laminated structure of two layers, that is, an insulator 250a and an insulator 250B on the insulator 250 a.
As shown in fig. 2B, in the case where the insulator 250 has a two-layered structure, it is preferable that the insulator 250a of the lower layer is formed using an insulator that easily transmits oxygen, and the insulator 250B of the upper layer is formed using an insulator that has a function of suppressing diffusion of oxygen. By adopting such a structure, diffusion of oxygen contained in the insulator 250a to the conductor 260 can be suppressed. In other words, a decrease in the amount of oxygen supplied to the oxide 230 can be suppressed. Further, oxidation of the conductor 260 due to oxygen contained in the insulator 250a can be suppressed. For example, the insulator 250a may be made of the material that can be used for the insulator 250, and the insulator 250b may be made of an insulator containing an oxide of one or both of aluminum and hafnium. As the insulator, aluminum oxide, hafnium oxide, an oxide containing aluminum and hafnium (hafnium aluminate), an oxide containing hafnium and silicon (hafnium silicate), or the like can be used. In this embodiment, hafnium oxide is used as the insulator 250 b. At this time, the insulator 250b contains at least oxygen and hafnium. The thickness of the insulator 250b is 0.5nm or more and 5.0nm or less, preferably 1.0nm or more and 5.0nm or less, and more preferably 1.0nm or more and 3.0nm or less. At this time, at least a part of the insulator 250b may be a region having the above thickness.
Note that when silicon oxide, silicon oxynitride, or the like is used for the insulator 250a, the insulator 250b may be formed using an insulating material of a high-k material having a high relative dielectric constant. By using a stacked structure of the insulator 250a and the insulator 250b as a gate insulator, a stacked structure having high thermal stability and a high relative dielectric constant can be formed. Accordingly, the gate potential applied when the transistor operates can be reduced while maintaining the physical thickness of the gate insulator. In addition, the Equivalent Oxide Thickness (EOT) of the insulator used as the gate insulator can be reduced. Therefore, the dielectric breakdown voltage of the insulator 250 can be improved.
The insulator 254 is used as part of the gate insulator. A hydrogen blocking insulating film is preferably used as the insulator 254. This prevents impurities such as hydrogen contained in the conductor 260 from diffusing into the insulator 250 and the oxide 230b. The insulator 254 may be the insulator 283. For example, silicon nitride deposited by PEALD method may be used as the insulator 254. At this time, the insulator 254 contains at least nitrogen and silicon.
The insulator 254 may also have oxygen barrier properties. Thereby, diffusion of oxygen contained in the insulator 250 to the conductor 260 can be suppressed.
In addition, the insulator 254 needs to be provided in an opening formed in the insulator 280 or the like together with the insulator 252, the insulator 250, the conductor 260. To achieve miniaturization of the transistor 200, the thickness of the insulator 254 is preferably small. The thickness of the insulator 254 is 0.1nm or more and 5.0nm or less, preferably 0.5nm or more and 3.0nm or less, and more preferably 1.0nm or more and 3.0nm or less. At this time, at least a part of the insulator 254 may be a region having the above thickness. In addition, the thickness of the insulator 254 is preferably smaller than the thickness of the insulator 250. At this time, at least a part of the insulator 254 may be a region having a smaller thickness than the insulator 250.
Further, as shown in fig. 2B, when the insulator 250 has a two-layer stacked structure, the insulator 250B can also have the function of the insulator 254 by using an insulator such as hafnium oxide having a function of suppressing permeation of impurities such as hydrogen and oxygen as the insulator 250B. In this case, by adopting a structure in which the insulator 254 is not provided, the manufacturing process of the semiconductor device can be simplified, and improvement in productivity can be achieved.
The conductor 260 is used as a first gate electrode of the transistor 200. The conductor 260 preferably includes a conductor 260a and a conductor 260b disposed on the conductor 260a. For example, the conductor 260a is preferably disposed so as to surround the bottom surface and the side surfaces of the conductor 260b. Further, as shown in fig. 1B and 1C, the top surface of the conductor 260 is substantially aligned with the top surface of the insulator 250. Although the conductor 260 has a two-layer structure of the conductor 260a and the conductor 260B in fig. 1B and 1C, a single-layer structure or a stacked structure of three or more layers may be used.
As the conductor 260a, a conductive material having a function of suppressing diffusion of impurities such as hydrogen atoms, hydrogen molecules, water molecules, nitrogen atoms, nitrogen molecules, nitrogen oxide molecules, and copper atoms is preferably used. Further, a conductive material having a function of suppressing diffusion of oxygen (for example, at least one of an oxygen atom and an oxygen molecule or the like) is preferably used.
Further, when the conductor 260a has a function of suppressing diffusion of oxygen, oxygen contained in the insulator 250 can be suppressed from oxidizing the conductor 260b, resulting in a decrease in conductivity. As the conductive material having a function of suppressing oxygen diffusion, for example, titanium nitride, tantalum nitride, ruthenium oxide, or the like can be used.
Further, since the conductor 260 is also used as a wiring, a conductor having high conductivity is preferably used. For example, a conductive material containing tungsten, copper, or aluminum as a main component can be used for the conductor 260 b. The conductor 260b may have a stacked structure, for example, a stacked structure of titanium or titanium nitride and the above-described conductive material.
In the transistor 200, the conductor 260 is formed in a self-aligned manner so as to fill an opening formed in the insulator 280 or the like. By forming the conductor 260 in this manner, the conductor 260 can be surely arranged without alignment in the region between the conductor 242a and the conductor 242 b.
As shown in fig. 1C, the height of the bottom surface of the region of the conductor 260 where the conductor 260 does not overlap with the oxide 230b is preferably lower than the height of the bottom surface of the oxide 230b with respect to the bottom surface of the insulator 222 in the channel width direction of the transistor 200. By adopting a structure in which the conductor 260 serving as a gate electrode covers the side surface and the top surface of the channel formation region of the oxide 230b with the insulator 250 or the like interposed therebetween, the electric field of the conductor 260 can be easily applied to the entire channel formation region of the oxide 230 b. This can improve the on-state current and frequency characteristics of the transistor 200. The difference between the height of the bottom surface of the conductor 260 and the height of the bottom surface of the oxide 230b in the region where the oxide 230a and the oxide 230b do not overlap the conductor 260 when the bottom surface of the insulator 222 is the reference is 0nm or more and 100nm or less, preferably 3nm or more and 50nm or less, and more preferably 5nm or more and 20nm or less.
Insulator 280 is disposed on insulator 275, and openings are formed in the areas where insulator 250 and conductor 260 are disposed. In addition, the top surface of insulator 280 may also be planarized.
It is preferable that the dielectric constant of the insulator 280 used as the interlayer film is low. By using a material having a low dielectric constant for the interlayer film, parasitic capacitance generated between wirings can be reduced. Insulator 280 is preferably formed of the same material as insulator 216, for example. In particular, silicon oxide and silicon oxynitride are preferable because they have thermal stability. Or, a material such as silicon oxide, silicon oxynitride, or silicon oxide having voids is particularly preferable because a region containing oxygen which is desorbed by heating is easily formed.
The concentration of impurities such as water and hydrogen in insulator 280 is preferably reduced. For example, an oxide containing silicon such as silicon oxide or silicon oxynitride may be appropriately used as the insulator 280.
The insulator 282 is preferably used as a barrier insulating film for suppressing diffusion of impurities such as water and hydrogen from above to the insulator 280, and has a function of trapping impurities such as hydrogen. Further, the insulator 282 is preferably used as a blocking insulating film that suppresses oxygen permeation. As the insulator 282, a metal oxide having an amorphous structure, for example, an insulator such as aluminum oxide may be used. The insulator 282 at this time contains at least oxygen and aluminum. By providing the insulator 282 which is in contact with the insulator 280 and has a function of capturing impurities such as hydrogen in the region sandwiched between the insulator 212 and the insulator 283, the impurities such as hydrogen contained in the insulator 280 and the like can be captured, and the amount of hydrogen in the region can be kept constant. In particular, the insulator 282 preferably uses alumina having an amorphous structure, because hydrogen can be trapped or fixed more effectively in some cases. Thus, the transistor 200 and the semiconductor device having good characteristics and high reliability can be manufactured.
As the insulator 282, aluminum oxide is preferably deposited by a sputtering method, and more preferably aluminum oxide is deposited by a pulsed DC sputtering method using an aluminum target in an atmosphere containing oxygen gas. By using the pulsed DC sputtering method, the film thickness distribution can be made more uniform to improve the sputtering rate and film quality. Here, RF (Radio Frequency) power may be applied to the substrate. The amount of oxygen implanted into the lower layer of insulator 282 may be controlled according to the amount of RF power applied to the substrate. For example, the smaller the RF power, the less oxygen is injected into the underlying layer of insulator 282, which is susceptible to saturation even if insulator 282 is thinner. In addition, the greater the RF power, the greater the amount of oxygen injected into the underlying layers of insulator 282.
The RF power is set to, for example, 0W/cm 2 or more and 1.86W/cm 2 or less. In other words, the oxygen amount may be changed to an amount suitable for the characteristics of the transistor according to the RF power at the time of forming the insulator 282 and injected. Accordingly, oxygen in an amount suitable for improving the reliability of the transistor can be injected.
The frequency of RF is preferably 10MHz or more. Typically 13.56MHz. The higher the frequency of RF, the less damage can be done to the substrate.
In fig. 1A to 1D, etc., the insulator 282 is shown as having a single-layer structure, but the present invention is not limited to this, and a stacked structure of two or more layers may be employed. For example, as shown in fig. 5A, the insulator 282 may have a two-layered structure of the insulator 282a and the insulator 282b on the insulator 282 a.
Preferably, the insulator 282a and the insulator 282b are formed in different ways using the same material. For example, in the case where aluminum oxide is deposited as the insulator 282 by a pulsed DC sputtering method using an aluminum target in an atmosphere containing oxygen, it is preferable that the RF power applied to the substrate when the insulator 282a is deposited is different from the RF power applied to the substrate when the insulator 282b is deposited, and more preferable that the RF power applied to the substrate when the insulator 282a is deposited is lower than the RF power applied to the substrate when the insulator 282b is deposited. Specifically, the RF power applied to the substrate is set to 0W/cm 2 or more and 0.62W/cm 2 or less to deposit the insulator 282a, and the RF power applied to the substrate is set to 1.86W/cm 2 or less to deposit the insulator 282b. More specifically, the RF power applied to the substrate was set to 0W/cm 2 to deposit the insulator 282a, and the RF power applied to the substrate was set to 0.31W/cm 2 to deposit the insulator 282b. By adopting this structure, the insulator 282 can be made amorphous and the amount of oxygen supplied to the insulator 280 can be adjusted.
Note that the RF power applied to the substrate when insulator 282a is deposited may also be higher than the RF power applied to the substrate when insulator 282b is deposited. Specifically, the RF power applied to the substrate is set to 1.86W/cm 2 or less to deposit the insulator 282a, and the RF power applied to the substrate is set to 0W/cm 2 or more and 0.62W/cm 2 or less to deposit the insulator 282b. More specifically, the RF power applied to the substrate was set to 1.86W/cm 2 to deposit the insulator 282a, and the RF power applied to the substrate was set to 0.62W/cm 2 to deposit the insulator 282b. By adopting this structure, the amount of oxygen supplied to the insulator 280 can be increased.
The film thickness of the insulator 282a is 1nm to 20nm, preferably 1.5nm to 15nm, more preferably 2nm to 10nm, still more preferably 3nm to 8 nm. By adopting this structure, the insulator 282a can be made amorphous regardless of the magnitude of RF power. Further, by making the insulator 282a amorphous, the insulator 282b can be easily made amorphous, and the insulator 282 can be made amorphous.
The insulator 282a and the insulator 282b have a laminated structure made of the same material, but the present invention is not limited thereto. The insulator 282a and the insulator 282b may have a stacked structure formed of different materials.
Insulator 283 is in contact with a portion of the top surface of insulator 214, the side surface of insulator 216, the side surface of insulator 222, the side surface of insulator 275, the side surface of insulator 280, and the side and top surfaces of insulator 282.
The insulator 283 serves as a barrier insulating film that suppresses diffusion of impurities such as water, hydrogen, and the like from above to the insulator 280. Insulator 283 is disposed on insulator 282. As the insulator 283, a nitride containing silicon such as silicon nitride or silicon oxynitride is preferably used. For example, silicon nitride deposited by a sputtering method may be used as the insulator 283. By depositing the insulator 283 using a sputtering method, a silicon nitride film with high density can be formed. Further, as the insulator 283, silicon nitride deposited by a PEALD method or a CVD method may be further stacked on silicon nitride deposited by a sputtering method.
The conductors 240a and 240b are preferably made of a conductive material containing tungsten, copper, or aluminum as a main component. The conductor 240a and the conductor 240b may have a stacked structure.
When a stacked structure is used as the conductor 240, a conductive material having a function of suppressing permeation of impurities such as water and hydrogen is preferably used as the first conductor disposed in the vicinity of the insulator 285, the insulator 283, the insulator 282, the insulator 280, the insulator 275, and the insulator 271. For example, tantalum nitride, titanium nitride, ruthenium oxide, or the like is preferably used. The conductive material having a function of suppressing permeation of impurities such as water and hydrogen can be used in a single layer or a stacked layer. Further, impurities such as water and hydrogen contained in a layer over the insulator 283 can be prevented from being mixed into the oxide 230 through the conductors 240a and 240 b.
As the insulator 241a and the insulator 241b, a block insulating film which can be used for the insulator 275 or the like may be used. As the insulator 241a and the insulator 241b, for example, an insulator such as silicon nitride, aluminum oxide, or silicon oxynitride can be used. Since the insulator 241a and the insulator 241b are provided in contact with the insulator 283, the insulator 282, and the insulator 271, impurities such as water and hydrogen contained in the insulator 280 and the like can be prevented from being mixed into the oxide 230 through the conductors 240a and 240 b. In particular, silicon nitride is preferable because it has high hydrogen barrier properties. Further, oxygen contained in the insulator 280 can be prevented from being absorbed by the conductors 240a and 240 b.
When the insulator 241a and the insulator 241B have a stacked structure as shown in fig. 1B, it is preferable to use an oxygen-blocking insulating film and a hydrogen-blocking insulating film in combination as a first insulator in contact with the inner wall of the opening of the insulator 280 or the like and a second insulator inside thereof.
For example, aluminum oxide deposited by an ALD method may be used as the first insulator, and silicon nitride deposited by a PEALD method may be used as the second insulator. By adopting such a structure, oxidation of the conductor 240 can be suppressed, and entry of hydrogen into the conductor 240 can be suppressed.
The conductors 246 (the conductors 246a and 246 b) used as wirings may be arranged so as to be in contact with the top surface of the conductor 240a and the top surface of the conductor 240 b. The conductor 246 is preferably made of a conductive material containing tungsten, copper, or aluminum as a main component. The conductor may have a laminated structure, for example, a laminated structure of titanium or titanium nitride and the above-mentioned conductive material. The conductor may be formed so as to be fitted into an opening formed in the insulator.
< Constituent Material of semiconductor device >
The constituent materials that can be used for the semiconductor device are described below.
Substrate
As a substrate for forming the transistor 200, an insulator substrate, a semiconductor substrate, or a conductor substrate can be used, for example. Examples of the insulator substrate include a glass substrate, a quartz substrate, a sapphire substrate, a stabilized zirconia substrate (yttria stabilized zirconia substrate, etc.), and a resin substrate. Examples of the semiconductor substrate include a semiconductor substrate made of silicon, germanium, or the like, and a compound semiconductor substrate made of silicon carbide, silicon germanium, gallium arsenide, indium phosphide, zinc oxide, or gallium oxide. Further, a semiconductor substrate having an insulator region inside the semiconductor substrate may be exemplified by an SOI (Silicon On Insulator; silicon on insulator) substrate or the like. Examples of the conductor substrate include a graphite substrate, a metal substrate, an alloy substrate, and a conductive resin substrate. Or a substrate containing a metal nitride, a substrate containing a metal oxide, or the like can be given. Further, an insulator substrate provided with a conductor or a semiconductor, a semiconductor substrate provided with a conductor or an insulator, a conductor substrate provided with a semiconductor or an insulator, or the like can be given. Alternatively, a substrate having elements provided over these substrates may be used. Examples of the element provided over the substrate include a capacitor, a resistor, a switching element, a light-emitting element, and a memory element.
Insulator
Examples of the insulator include insulating oxides, nitrides, oxynitrides, metal oxides, metal oxynitrides, and metal oxynitrides.
For example, when miniaturization and high integration of transistors are performed, problems such as leakage current may occur due to thinning of the gate insulator. By using a high-k material as an insulator used as a gate insulator, a low voltage at the time of transistor operation can be achieved while maintaining physical thickness. On the other hand, by using a material having a low relative dielectric constant for an insulator used as an interlayer film, parasitic capacitance generated between wirings can be reduced. Therefore, the material is preferably selected according to the function of the insulator.
Examples of the insulator having a relatively high dielectric constant include gallium oxide, hafnium oxide, zirconium oxide, oxides containing aluminum and hafnium, oxynitrides containing aluminum and hafnium, oxides containing silicon and hafnium, oxynitrides containing silicon and hafnium, and nitrides containing silicon and hafnium.
Examples of the insulator having a low relative dielectric constant include silicon oxide, silicon oxynitride, silicon nitride oxide, silicon nitride, silicon oxide added with fluorine, silicon oxide added with carbon and nitrogen, silicon oxide having voids, and resin.
Further, the transistor using a metal oxide is surrounded by an insulator having a function of suppressing permeation of impurities such as hydrogen and oxygen, whereby the electrical characteristics of the transistor can be stabilized. As an insulator having a function of suppressing permeation of impurities such as hydrogen and oxygen, for example, a single layer or a stacked layer of an insulator containing boron, carbon, nitrogen, oxygen, fluorine, magnesium, aluminum, silicon, phosphorus, chlorine, argon, gallium, germanium, yttrium, zirconium, lanthanum, neodymium, hafnium, or tantalum can be used. Specifically, as an insulator having a function of suppressing permeation of impurities such as hydrogen and oxygen, a metal oxide such as aluminum oxide, magnesium oxide, gallium oxide, germanium oxide, yttrium oxide, zirconium oxide, lanthanum oxide, neodymium oxide, hafnium oxide, tantalum oxide, or a metal nitride such as aluminum nitride, silicon oxynitride, or silicon nitride can be used.
Further, the insulator used as the gate insulator is preferably an insulator having a region containing oxygen which is desorbed by heating. For example, by using a structure in which silicon oxide or silicon oxynitride having a region containing oxygen which is desorbed by heating is in contact with the oxide 230, oxygen vacancies contained in the oxide 230 can be filled.
< Conductor >
As the conductor, a metal element selected from aluminum, chromium, copper, silver, gold, platinum, tantalum, nickel, titanium, molybdenum, tungsten, hafnium, vanadium, niobium, manganese, magnesium, zirconium, beryllium, indium, ruthenium, iridium, strontium, lanthanum, and the like, an alloy containing the above metal element as a component, an alloy in which the above metal element is combined, or the like is preferably used. For example, tantalum nitride, titanium nitride, tungsten, a nitride containing titanium and aluminum, a nitride containing tantalum and aluminum, ruthenium oxide, ruthenium nitride, an oxide containing strontium and ruthenium, an oxide containing lanthanum and nickel, or the like is preferably used. Further, tantalum nitride, titanium nitride, a nitride containing titanium and aluminum, a nitride containing tantalum and aluminum, ruthenium oxide, ruthenium nitride, an oxide containing strontium and ruthenium, an oxide containing lanthanum and nickel are conductive materials which are not easily oxidized or materials which absorb oxygen and maintain conductivity are preferable. Further, a semiconductor having high conductivity typified by polycrystalline silicon containing an impurity element such as phosphorus, and a silicide such as nickel silicide may be used.
In addition, a plurality of conductive layers formed of the above materials may be stacked. For example, a stacked-layer structure of a material containing the above metal element and a conductive material containing oxygen may be used. In addition, a stacked structure of a material containing the above metal element and a conductive material containing nitrogen may be used. In addition, a stacked-layer structure in which a material containing the above metal element, a conductive material containing oxygen, and a conductive material containing nitrogen are combined may also be employed.
In addition, in the case where an oxide is used for a channel formation region of a transistor, a stacked-layer structure in which a material containing the above-described metal element and a conductive material containing oxygen are combined is preferably used as a conductive body to be used as a gate electrode. In this case, it is preferable to provide a conductive material containing oxygen on the channel formation region side. By disposing the conductive material containing oxygen on the channel formation region side, oxygen detached from the conductive material is easily supplied to the channel formation region.
In particular, as the conductor used as the gate electrode, a conductive material containing a metal element and oxygen contained in a metal oxide in which a channel is formed is preferably used. In addition, a conductive material containing the above metal element and nitrogen may be used. For example, a conductive material containing nitrogen such as titanium nitride or tantalum nitride may be used. Further, indium tin oxide, indium oxide containing tungsten oxide, indium zinc oxide containing tungsten oxide, indium oxide containing titanium oxide, indium tin oxide containing titanium oxide, indium zinc oxide, indium tin oxide to which silicon is added may also be used. In addition, indium gallium zinc oxide containing nitrogen may also be used. By using the above material, hydrogen contained in the metal oxide forming the channel may be trapped in some cases. Or may trap hydrogen mixed from an insulator or the like outside.
Metal oxide
As the oxide 230, a metal oxide (oxide semiconductor) used as a semiconductor is preferably used. Next, a metal oxide which can be used for the oxide 230 according to the present invention will be described.
The metal oxide preferably contains at least indium or zinc. Particularly preferred are indium and zinc. In addition, aluminum, gallium, yttrium, tin, and the like are preferably contained. Further, one or more selected from boron, titanium, iron, nickel, germanium, zirconium, molybdenum, lanthanum, cerium, neodymium, hafnium, tantalum, tungsten, magnesium, cobalt, and the like may be contained.
Consider here the case where the metal oxide is an In-M-Zn oxide comprising indium, the element M and zinc. Note that element M is aluminum, gallium, yttrium, or tin. As other elements that can be applied to the element M, there are boron, titanium, iron, nickel, germanium, zirconium, molybdenum, lanthanum, cerium, neodymium, hafnium, tantalum, tungsten, magnesium, cobalt, and the like. Note that as the element M, a plurality of the above elements may be combined in some cases. In particular, the element M is preferably one or more selected from gallium, aluminum, yttrium and tin.
In particular, as a semiconductor layer of a transistor, an oxide containing indium (In), gallium (Ga), and zinc (Zn) (also referred to as IGZO) is preferably used. Alternatively, as a semiconductor layer of the transistor, an oxide containing indium (In), aluminum (Al), and zinc (Zn) (also referred to as IAZO) may be used. Alternatively, as the semiconductor layer, an oxide (IAGZO or IGAZO) containing indium (In), aluminum (Al), gallium (Ga), and zinc (Zn) may be used.
In this specification and the like, a metal oxide containing nitrogen may be referred to as a metal oxide (metal oxide). In addition, the metal oxide containing nitrogen may also be referred to as metal oxynitride (metal oxynitride).
Hereinafter, an oxide containing indium (In), gallium (Ga), and zinc (Zn) is described as an example of a metal oxide. Note that oxides containing indium (In), gallium (Ga), and zinc (Zn) are sometimes referred to as In-Ga-Zn oxides.
< Classification of Crystal Structure >
Examples of the crystalline structure of the oxide semiconductor include amorphous (including completely amorphous)、CAAC(c-axis-aligned crystalline)、nc(nanocrystalline)、CAC(cloud-aligned composite)、 single crystal (SINGLE CRYSTAL) and polycrystalline (poly crystal).
The crystalline structure of the film or substrate can be evaluated using X-Ray Diffraction (XRD) spectroscopy. For example, XRD spectra measured using GIXD (Grazing-INCIDENCE XRD) measurements can be used for evaluation. In addition, GIXD method is also called thin film method or Seemann-Bohlin method. Hereinafter, the XRD spectrum obtained by GIXD measurement may be simply referred to as XRD spectrum.
For example, the peak shape of the XRD spectrum of the quartz glass substrate is substantially bilaterally symmetrical. On the other hand, the peak shape of the XRD spectrum of the In-Ga-Zn oxide film having a crystal structure is not bilaterally symmetrical. The shape of the peaks of the XRD spectrum are left-right asymmetric to indicate the presence of crystals in the film or in the substrate. In other words, unless the XRD spectrum peak shape is bilaterally symmetrical, it cannot be said that the film or substrate is in an amorphous state.
In addition, the crystalline structure of the film or substrate can be evaluated using a diffraction pattern (also referred to as a nanobeam electron diffraction pattern) observed by a nanobeam electron diffraction method (NBED: nano Beam Electron Diffraction). For example, it can be confirmed that the quartz glass is in an amorphous state by observing a halo pattern in a diffraction pattern of the quartz glass substrate. In addition, a spot-like pattern was observed In the diffraction pattern of the In-Ga-Zn oxide film deposited at room temperature without the halo being observed. It is therefore speculated that an In-Ga-Zn oxide deposited at room temperature is In an intermediate state that is neither monocrystalline or polycrystalline nor amorphous, and it cannot be concluded that the In-Ga-Zn oxide is amorphous.
Structure of oxide semiconductor
Note that, when focusing attention on the structure of an oxide semiconductor, the classification of the oxide semiconductor may be different from the above. For example, oxide semiconductors can be classified into single crystal oxide semiconductors and non-single crystal oxide semiconductors other than the single crystal oxide semiconductors. Examples of the non-single crystal oxide semiconductor include the CAAC-OS and nc-OS described above. The non-single crystal oxide semiconductor includes a polycrystalline oxide semiconductor, an a-like OS (amorphorus-like oxide semiconductor), an amorphous oxide semiconductor, and the like.
Details of the CAAC-OS, nc-OS, and a-like OS will be described herein.
[CAAC-OS]
The CAAC-OS is an oxide semiconductor including a plurality of crystal regions, the c-axis of which is oriented in a specific direction. The specific direction refers to the thickness direction of the CAAC-OS film, the normal direction of the surface on which the CAAC-OS film is formed, or the normal direction of the surface of the CAAC-OS film. The crystallization region is a region having periodicity of atomic arrangement. Note that the crystal region is also a region in which lattice arrangements are uniform when the atomic arrangements are regarded as lattice arrangements. The CAAC-OS may have a region where a plurality of crystal regions are connected in the a-b plane direction, and the region may have distortion. In addition, distortion refers to a portion in which the direction of lattice arrangement changes between a region in which lattice arrangements are uniform and other regions in which lattice arrangements are uniform among regions in which a plurality of crystal regions are connected. In other words, CAAC-OS refers to an oxide semiconductor that is c-axis oriented and has no significant orientation in the a-b plane direction.
Each of the plurality of crystal regions is composed of one or more fine crystals (crystals having a maximum diameter of less than 10 nm). In the case where the crystal region is composed of one minute crystal, the maximum diameter of the crystal region is less than 10nm. In the case where the crystal region is composed of a plurality of fine crystals, the maximum diameter of the crystal region may be about several tens of nm.
In addition, the CAAC-OS has a layered crystal structure (also referred to as a layered structure) In which a layer containing indium (In) and oxygen (hereinafter, in layer), and a layer containing gallium (Ga), zinc (Zn) and oxygen (hereinafter, (Ga, zn) layer) are stacked In the In-Ga-Zn oxide. In addition, indium and gallium may be substituted for each other. Therefore, the (Ga, zn) layer sometimes contains indium. In addition, sometimes the In layer contains gallium. Note that sometimes the In layer contains zinc. The layered structure is observed as a lattice image, for example in a high resolution TEM (Transmission Electron Microscope) image.
For example, when structural analysis is performed on a CAAC-OS film using an XRD device, a peak representing c-axis orientation is detected at or near 2θ=31° in Out-of-plane XRD measurement using θ/2θ scanning. Note that the position (2θ value) of the peak representing the c-axis orientation may vary depending on the kind, composition, and the like of the metal element constituting the CAAC-OS.
Further, for example, a plurality of bright spots (spots) are observed in the electron diffraction pattern of the CAAC-OS film. In addition, when a spot of an incident electron beam (also referred to as a direct spot) passing through a sample is taken as a symmetry center, a certain spot and other spots are observed at a point-symmetrical position.
When the crystal region is observed from the above specific direction, the lattice arrangement in the crystal region is basically a hexagonal lattice, but the unit cell is not limited to a regular hexagon, and may be a non-regular hexagon. In addition, the distortion may have a lattice arrangement such as pentagonal or heptagonal. In addition, no clear grain boundary (grain boundary) was observed near the distortion of CAAC-OS. That is, distortion of the lattice arrangement suppresses the formation of grain boundaries. This is probably because CAAC-OS can accommodate distortion due to low density of arrangement of oxygen atoms in the a-b face direction or change in bonding distance between atoms due to substitution of metal atoms, or the like.
In addition, the crystal structure in which a clear grain boundary is confirmed is called "polycrystal". Since the grain boundary serves as a recombination center and carriers are trapped, there is a possibility that on-state current of the transistor is lowered, field effect mobility is lowered, or the like. Therefore, CAAC-OS, in which no clear grain boundaries are found, is one of crystalline oxides that give a semiconductor layer of a transistor an excellent crystalline structure. Note that, in order to constitute the CAAC-OS, a structure containing Zn is preferable. For example, in—zn oxide and in—ga—zn oxide are preferable because occurrence of grain boundaries can be further suppressed than In oxide.
CAAC-OS is an oxide semiconductor with high crystallinity and no clear grain boundary is confirmed. Therefore, it can be said that in the CAAC-OS, a decrease in electron mobility due to grain boundaries does not easily occur. Further, since crystallinity of an oxide semiconductor is sometimes lowered by contamination with impurities, generation of defects, or the like, CAAC-OS is said to be an oxide semiconductor having few impurities and defects (oxygen vacancies, or the like). Therefore, the physical properties of the oxide semiconductor including CAAC-OS are stable. Therefore, an oxide semiconductor including CAAC-OS has high heat resistance and high reliability. In addition, CAAC-OS is also stable to high temperatures (so-called thermal storage: thermalbudget) during the manufacturing process. Thus, by using CAAC-OS for a transistor including a metal oxide in a channel formation region (which is sometimes referred to as an OS transistor), the degree of freedom in manufacturing processes can be increased.
[nc-OS]
In nc-OS, atomic arrangements in minute regions (for example, regions of 1nm to 10nm, particularly, regions of 1nm to 3 nm) have periodicity. In other words, nc-OS has a minute crystal. For example, the size of the fine crystals is 1nm to 10nm, particularly 1nm to 3nm, and the fine crystals are called nanocrystals. Furthermore, the nc-OS did not observe regularity of crystal orientation between different nanocrystals. Therefore, the orientation was not observed in the whole film. Therefore, nc-OS is sometimes not different from a-like OS or amorphous oxide semiconductor in some analytical methods. For example, when the nc-OS film is subjected to structural analysis by using an XRD device, no peak indicating crystallinity is detected in the Out-of-plane XRD measurement using θ/2θ scanning. In addition, when an electron diffraction (also referred to as selective electron diffraction) using an electron beam having a beam diameter larger than that of nanocrystals (for example, 50nm or more) is performed on the nc-OS film, a diffraction pattern resembling a halo pattern is observed. On the other hand, when an electron diffraction (also referred to as a "nanobeam electron diffraction") using an electron beam having a beam diameter equal to or smaller than the size of a nanocrystal (for example, 1nm or more and 30nm or less) is performed on an nc-OS film, an electron diffraction pattern in which a plurality of spots are observed in an annular region centered on a direct spot may be obtained.
[a-like OS]
The a-like OS is an oxide semiconductor having a structure between nc-OS and an amorphous oxide semiconductor. a-likeOS contain voids or low density regions. That is, the crystallinity of a-likeOS is lower than that of nc-OS and CAAC-OS. The concentration of hydrogen in the film of a-like OS is higher than that in the films of nc-OS and CAAC-OS.
Structure of oxide semiconductor
Next, the details of the CAC-OS will be described. In addition, CAC-OS is related to material composition.
[CAC-OS]
The CAC-OS refers to, for example, a constitution in which elements contained in a metal oxide are unevenly distributed, wherein the size of a material containing unevenly distributed elements is 0.5nm or more and 10nm or less, preferably 1nm or more and 3nm or less or an approximate size. Note that a state in which one or more metal elements are unevenly distributed in a metal oxide and a region including the metal elements is mixed is also referred to as a mosaic shape or a patch shape hereinafter, and the size of the region is 0.5nm or more and 10nm or less, preferably 1nm or more and 3nm or less or an approximate size.
The CAC-OS is a structure in which a material is divided into a first region and a second region, and the first region is mosaic-shaped and distributed in a film (hereinafter also referred to as cloud-shaped). That is, CAC-OS refers to a composite metal oxide having a structure in which the first region and the second region are mixed.
Here, the atomic number ratios of In, ga and Zn with respect to the metal elements constituting the CAC-OS of the In-Ga-Zn oxide are each represented by [ In ], [ Ga ] and [ Zn ]. For example, in CAC-OS of In-Ga-Zn oxide, the first region is a region whose [ In ] is larger than that In the composition of the CAC-OS film. Further, the second region is a region whose [ Ga ] is larger than [ Ga ] in the composition of the CAC-OS film. Further, for example, the first region is a region whose [ In ] is larger than that In the second region and whose [ Ga ] is smaller than that In the second region. Further, the second region is a region whose [ Ga ] is larger than that In the first region and whose [ In ] is smaller than that In the first region.
Specifically, the first region is a region mainly composed of indium oxide, indium zinc oxide, or the like. The second region is a region mainly composed of gallium oxide, gallium zinc oxide, or the like. In other words, the first region may be referred to as a region mainly composed of In. The second region may be referred to as a region containing Ga as a main component.
Note that a clear boundary between the first region and the second region may not be observed.
The CAC-OS In the In-Ga-Zn oxide is constituted as follows: in the material composition containing In, ga, zn, and O, a region having a part of the main component Ga and a region having a part of the main component In are irregularly present In a mosaic shape. Therefore, it is presumed that the CAC-OS has a structure in which metal elements are unevenly distributed.
The CAC-OS can be formed by, for example, sputtering without heating the substrate. In the case of forming CAC-OS by the sputtering method, as the deposition gas, any one or more selected from inert gas (typically argon), oxygen gas, and nitrogen gas may be used. In addition, the lower the flow rate ratio of the oxygen gas in the total flow rate of the deposition gas at the time of deposition, the better. For example, the flow rate ratio of the oxygen gas in the total flow rate of the deposition gas at the time of deposition is set to 0% or more and less than 30%, preferably 0% or more and 10% or less.
For example, in the CAC-OS of the In-Ga-Zn oxide, it was confirmed that the structure In which the region mainly composed of In (first region) and the region mainly composed of Ga (second region) were unevenly distributed and mixed was obtained from an EDX-plane analysis (mapping) image obtained by an energy dispersive X-ray analysis method (EDX: ENERGY DISPERSIVE X-ray spectroscopy).
Here, the first region is a region having higher conductivity than the second region. That is, when carriers flow through the first region, conductivity as a metal oxide is exhibited. Thus, when the first region is distributed in a cloud in the metal oxide, high field effect mobility (μ) can be achieved.
On the other hand, the second region is a region having higher insulation than the first region. That is, when the second region is distributed in the metal oxide, off-state current can be suppressed.
In the case of using the CAC-OS for the transistor, the CAC-OS can be provided with a switching function (a function of controlling on/off) by a complementary effect of the conductivity due to the first region and the insulation due to the second region. In other words, the CAC-OS material has a conductive function in one part and an insulating function in the other part, and has a semiconductor function in the whole material. By separating the conductive function from the insulating function, each function can be improved to the maximum extent. Thus, by using CAC-OS for the transistor, high on-state current (I on), high field-effect mobility (μ), and good switching operation can be achieved.
Further, a transistor using CAC-OS has high reliability. Therefore, CAC-OS is most suitable for various semiconductor devices such as display devices.
Oxide semiconductors have various structures and various characteristics. The oxide semiconductor according to one embodiment of the present invention may include two or more of an amorphous oxide semiconductor, a polycrystalline oxide semiconductor, a-likeOS, CAC-OS, nc-OS, and CAAC-OS.
< Transistor including oxide semiconductor >
Next, a case where the above oxide semiconductor is used for a transistor will be described.
By using the oxide semiconductor described above for a transistor, a transistor with high field effect mobility can be realized. Further, a transistor with high reliability can be realized.
An oxide semiconductor having a low carrier concentration is preferably used for the transistor. For example, the carrier concentration of the oxide semiconductor may be 1×10 17cm-3 or less, preferably 1×10 15cm-3 or less, more preferably 1×10 13cm-3 or less, further preferably 1×10 11cm-3 or less, still more preferably less than 1×10 10cm-3, and 1×10 -9cm-3 or more. In the case of aiming at reducing the carrier concentration of the oxide semiconductor film, the impurity concentration in the oxide semiconductor film can be reduced to reduce the defect state density. In this specification and the like, a state in which the impurity concentration is low and the defect state density is low is referred to as a high-purity intrinsic or substantially high-purity intrinsic. Further, an oxide semiconductor having a low carrier concentration is sometimes referred to as a high-purity intrinsic oxide semiconductor or a substantially high-purity intrinsic oxide semiconductor.
Since the high-purity intrinsic or substantially high-purity intrinsic oxide semiconductor film has a low defect state density, it is possible to have a low trap state density.
Further, it takes a long time until the charge trapped in the trap state of the oxide semiconductor disappears, and the charge may act like a fixed charge. Therefore, the transistor in which the channel formation region is formed in the oxide semiconductor having a high trap state density may have unstable electrical characteristics.
Therefore, in order to stabilize the electrical characteristics of the transistor, it is effective to reduce the impurity concentration in the oxide semiconductor. In order to reduce the impurity concentration in the oxide semiconductor, it is preferable to also reduce the impurity concentration in a nearby film. Examples of impurities include hydrogen, nitrogen, alkali metals, alkaline earth metals, iron, nickel, silicon, and the like. Note that impurities in an oxide semiconductor refer to elements other than the main component constituting the oxide semiconductor, for example. For example, an element having a concentration of less than 0.1 atomic% can be said to be an impurity.
< Impurity >
Here, the influence of each impurity in the oxide semiconductor will be described.
When the oxide semiconductor contains silicon or carbon which is one of group 14 elements, a defect state is formed in the oxide semiconductor. Therefore, the concentration of silicon or carbon in the oxide semiconductor (concentration measured by secondary ion mass spectrometry (SIMS: secondaryIonMass Spectrometry)) is set to, for example, 2X 10 18atoms/cm3 or less, and preferably 2X 10 17atoms/cm3 or less.
In addition, when the oxide semiconductor contains an alkali metal or an alkaline earth metal, a defect state is sometimes formed to form carriers. Therefore, a transistor using an oxide semiconductor containing an alkali metal or an alkaline earth metal easily has normally-on characteristics. Thus, the concentration of the alkali metal or alkaline earth metal in the oxide semiconductor measured by SIMS is set to 1×10 18atoms/cm3 or less, preferably 2×10 16atoms/cm3 or less.
When the oxide semiconductor contains nitrogen, electrons are generated as carriers, and the carrier concentration is increased, so that n-type is easily performed. As a result, a transistor using an oxide semiconductor containing nitrogen for a semiconductor tends to have normally-on characteristics. Or when the oxide semiconductor contains nitrogen, a trap state is sometimes formed. As a result, the electrical characteristics of the transistor may be unstable. Therefore, the nitrogen concentration in the oxide semiconductor measured by SIMS is set to be less than 5×10 19atoms/cm3, preferably 5×10 18atoms/cm3 or less, more preferably 1×10 18atoms/cm3 or less, and still more preferably 5×10 17atoms/cm3 or less.
Hydrogen contained in the oxide semiconductor reacts with oxygen bonded to a metal atom to generate water, and thus oxygen vacancies are sometimes formed. When hydrogen enters the oxygen vacancy, electrons are sometimes generated as carriers. In addition, some of the hydrogen may be bonded to oxygen bonded to a metal atom, thereby generating electrons as carriers. Therefore, a transistor using an oxide semiconductor containing hydrogen easily has normally-on characteristics. Thus, it is preferable to reduce hydrogen in the oxide semiconductor as much as possible. Specifically, the hydrogen concentration of the oxide semiconductor measured by SIMS is set to be less than 1×10 20atoms/cm3, preferably less than 1×10 19atoms/cm3, more preferably less than 5×10 18atoms/cm3, and still more preferably less than 1×10 18atoms/cm3.
By using an oxide semiconductor whose impurity is sufficiently reduced for a channel formation region of a transistor, the transistor can have stable electrical characteristics.
< Other semiconductor materials >
Oxide 230 may be interchangeably referred to as a semiconductor layer that includes the channel formation region of transistor 200. Note that a semiconductor material that can be used for the semiconductor layer is not limited to the above metal oxide. As the semiconductor layer, a semiconductor material having a band gap (a semiconductor material other than a zero band gap semiconductor) may be used. For example, a semiconductor of a single element such as silicon, a compound semiconductor such as gallium arsenide, a layered substance (also referred to as an atomic layer substance, a two-dimensional material, or the like) used as a semiconductor, or the like is preferably used for the semiconductor material. In particular, a layered substance used as a semiconductor is preferably used for the semiconductor material.
In this specification and the like, a lamellar substance is a generic term for a group of materials having a lamellar crystal structure. The layered crystal structure is a structure in which layers formed of covalent bonds or ionic bonds are laminated by bonding weaker than covalent bonds and ionic bonds, such as van der waals forces. The layered substance has high conductivity in the unit layer, that is, has high two-dimensional conductivity. By using a material which is used as a semiconductor and has high two-dimensional conductivity for the channel formation region, a transistor with high on-state current can be provided.
As the layered substance, there are graphene, silylene, chalcogenide, and the like. Chalcogenides are compounds that contain an oxygen element. Further, the oxygen group element is a generic term for elements belonging to group 16, and includes oxygen, sulfur, selenium, tellurium, polonium. Examples of the chalcogenides include transition metal chalcogenides and group 13 chalcogenides.
As the semiconductor layer, for example, transition metal chalcogenide used as a semiconductor is preferably used. As the transition metal chalcogenide that can be used as the semiconductor layer, specifically, molybdenum sulfide (typically MoS 2), molybdenum selenide (typically MoSe 2), molybdenum telluride (typically MoTe 2), tungsten sulfide (typically WS 2), tungsten selenide (typically WSe 2), tungsten telluride (typically WTe 2), hafnium sulfide (typically HfS 2), hafnium selenide (typically HfSe 2), zirconium sulfide (typically ZrS 2), zirconium selenide (typically ZrSe 2), and the like can be cited.
< Method for manufacturing semiconductor device >
Next, a method for manufacturing a semiconductor device according to one embodiment of the present invention shown in fig. 1A to 1D will be described with reference to fig. 10A to 20D.
A in each drawing is a plan view. In addition, B in each drawing is a sectional view of a portion along a dash-dot line A1-A2 in a, which corresponds to a sectional view in the channel length direction of the transistor 200. C in each drawing is a sectional view of a portion along a dash-dot line A3 to A4 in a, which corresponds to a sectional view in the channel width direction of the transistor 200. Further, D in each drawing is a sectional view of a portion along a chain line A5 to A6 in a. For clarity, some constituent elements are omitted from the plan view of a in each drawing.
Hereinafter, an insulating material for forming an insulator, a conductive material for forming a conductor, or a semiconductor material for forming a semiconductor may be deposited by using a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like as appropriate.
Examples of the sputtering method include an RF sputtering method in which a high-frequency power source is used as a sputtering power source, a DC sputtering method in which a direct-current power source is used, and a pulsed DC sputtering method in which a voltage applied to an electrode is changed in a pulsed manner. The RF sputtering method is mainly used when depositing an insulating film, and the DC sputtering method is mainly used when depositing a metal conductive film. In addition, the pulsed DC sputtering method is mainly used when depositing compounds such as oxides, nitrides, carbides, and the like by a reactive sputtering method.
Note that the CVD method can be classified into a Plasma Enhanced CVD (PECVD) method using plasma, a thermal CVD (TCVD: THERMAL CVD) method using heat, a light CVD (Photo CVD) method using light, and the like. Further, the method may be classified into a metal CVD (MCVD: metal CVD) method and a metal organic CVD (MOCVD: metal Organic CVD) method according to the source gas used.
By using the plasma CVD method, a high-quality film can be obtained at a low temperature. In addition, since plasma is not used in the thermal CVD method, plasma damage to the object to be processed can be reduced. For example, a wiring, an electrode, an element (a transistor, a capacitor, or the like) and the like included in a semiconductor device may generate charge accumulation (charge up) by receiving charge from plasma. At this time, the wirings, electrodes, elements, and the like included in the semiconductor device may be damaged due to the accumulated charges. On the other hand, in the case of the thermal CVD method using no plasma, the plasma damage is not generated, and thus the yield of the semiconductor device can be improved. Further, in the thermal CVD method, plasma damage during deposition is not generated, and thus a film having fewer defects can be obtained.
As the ALD method, a thermal ALD method in which a precursor and a reactant are reacted only with thermal energy, a PEALD method in which a reactant excited by plasma is used, or the like is used.
CVD and ALD are different from sputtering in which particles released from a target or the like are deposited. Therefore, the CVD method and the ALD method are deposition methods that are not easily affected by the shape of the object to be processed and have good step coverage. In particular, the ALD method has excellent step coverage and thickness uniformity, and therefore, the ALD method is suitable for forming a film or the like covering the surface of an opening having a high aspect ratio. However, the ALD method may be used preferably in combination with other deposition methods such as a CVD method having a relatively low deposition rate.
Further, when the CVD method is used, a film of an arbitrary composition can be deposited by adjusting the flow ratio of the source gases. For example, when the CVD method is used, a film whose composition is continuously changed can be deposited by changing the flow ratio of the source gas while deposition is performed. When deposition is performed while changing the flow ratio of the source gases, since the time required for transferring or adjusting the pressure is not required, the deposition time can be shortened as compared with the case of forming using a plurality of deposition chambers. Therefore, the productivity of the semiconductor device may be improved.
When using ALD, films of arbitrary composition can be deposited by introducing different multiple precursors simultaneously. Alternatively, when a plurality of different precursors are introduced, films of arbitrary composition can be deposited by controlling the number of cycles of each precursor.
First, a substrate (not shown) is prepared, and an insulator 212 is deposited over the substrate (see fig. 10A to 10D). Insulator 212 is preferably deposited using a sputtering process. By using a sputtering method that does not require the use of a molecule containing hydrogen as a deposition gas, the hydrogen concentration in the insulator 212 can be reduced. Note that deposition of the insulator 212 is not limited to the sputtering method, and a CVD method, an MBE method, a PLD method, an ALD method, or the like may be appropriately used.
In this embodiment, silicon nitride is deposited as the insulator 212 by a pulsed DC sputtering method using a silicon target in a nitrogen-containing gas atmosphere. By using the pulsed DC sputtering method, particles generated by the arc arcing on the target surface can be suppressed, and therefore the thickness can be made more uniform. Further, by using the pulse voltage, the rise or fall at the time of discharge can be made sharp as compared with the high-frequency voltage. Thus, the power can be supplied to the electrode more efficiently, and the sputtering rate and the film quality can be improved.
Further, by using an insulator such as silicon nitride, which is not easily permeable to impurities such as water and hydrogen, diffusion of impurities such as water and hydrogen contained in a layer below the insulator 212 can be suppressed. Further, by using an insulator such as silicon nitride which does not easily allow copper to pass through as the insulator 212, even if a metal which easily diffuses such as copper is used as a conductor of a layer (not shown) below the insulator 212, the metal can be prevented from diffusing upward through the insulator 212.
Next, an insulator 214 is deposited on the insulator 212 (refer to fig. 10A to 10D). Insulator 214 is preferably deposited using a sputtering process. The concentration of hydrogen in the insulator 214 can be reduced by using a sputtering method that does not require the use of a molecule containing hydrogen as a deposition gas. Note that deposition of the insulator 214 is not limited to the sputtering method, and a CVD method, an MBE method, a PLD method, an ALD method, or the like may be appropriately used.
In the present embodiment, alumina is deposited as the insulator 214 by a pulsed DC sputtering method using an aluminum target in an oxygen-containing gas atmosphere. By using the pulsed DC sputtering method, the thickness can be made more uniform to improve the sputtering rate and film quality. Here, RF power may be applied to the substrate. The amount of oxygen implanted into the underlying layer of insulator 214 may be controlled according to the amount of RF power applied to the substrate. The RF power is set to be not less than 0W/cm 2 and not more than 1.86W/cm 2. In other words, the oxygen amount may be changed to an amount suitable for the characteristics of the transistor using RF power at the time of forming the insulator 214. Accordingly, oxygen in an amount suitable for improving the reliability of the transistor can be injected. The frequency of RF is preferably 10MHz or more. Typically 13.56MHz. The higher the frequency of RF, the less damage can be done to the substrate.
As the insulator 214, a metal oxide having an amorphous structure, such as aluminum oxide, which has high hydrogen capturing and fixing performance, is preferably used. Thereby, hydrogen contained in the insulator 216 or the like can be trapped or fixed to prevent the hydrogen from diffusing to the oxide 230. In particular, the insulator 214 particularly preferably uses alumina having an amorphous structure or alumina having an amorphous structure, because hydrogen can be trapped or fixed more effectively in some cases. Thus, the transistor 200 and the semiconductor device having good characteristics and high reliability can be manufactured.
Next, insulator 216 is deposited over insulator 214. Insulator 216 is preferably deposited using a sputtering process. The concentration of hydrogen in the insulator 216 can be reduced by using a sputtering method that does not require the use of a molecule containing hydrogen as a deposition gas. Note that deposition of the insulator 216 is not limited to the sputtering method, and a CVD method, an MBE method, a PLD method, an ALD method, or the like may be appropriately used.
In this embodiment, silicon oxide is deposited as the insulator 216 by a pulsed DC sputtering method using a silicon target in an atmosphere containing oxygen gas. By using the pulsed DC sputtering method, the thickness can be made more uniform to improve the sputtering rate and film quality.
Insulator 212, insulator 214, and insulator 216 are preferably deposited continuously in a manner that is not exposed to the atmosphere. For example, a multi-chamber deposition apparatus may be used. Thus, the insulator 212, the insulator 214, and the insulator 216 can be deposited with hydrogen in the film reduced, and hydrogen incorporation into the film between deposition steps can be reduced.
Next, an opening reaching the insulator 214 is formed in the insulator 216. The openings include, for example, grooves, slits, and the like. The region where the opening is formed is sometimes referred to as an opening. In forming the opening, a wet etching method may be used, but a dry etching method is preferable for micromachining. As the insulator 214, an insulator that is used as an etching stopper when etching the insulator 216 to form a groove is preferably selected. For example, when silicon oxide or silicon oxynitride is used as the insulator 216 for forming the groove, silicon nitride, aluminum oxide, or hafnium oxide is preferably used as the insulator 214.
As the dry etching apparatus, a capacitively coupled plasma (CCP: CAPACITIVELY COUPLED PLASMA) etching apparatus including parallel plate electrodes may be used. The capacitive coupling type plasma etching apparatus including parallel plate electrodes may be configured to apply a high-frequency voltage to one of the parallel plate electrodes. Alternatively, a plurality of different high-frequency voltages may be applied to one of the parallel plate electrodes. Alternatively, the parallel plate electrodes may be applied with a high-frequency voltage having the same frequency. Alternatively, a configuration may be adopted in which high-frequency voltages having different frequencies are applied to the parallel plate electrodes. Alternatively, a dry etching apparatus having a high-density plasma source may be used. For example, as a dry etching apparatus having a high-density plasma source, an inductively coupled plasma (ICP: inductively Coupled Plasma) etching apparatus or the like can be used.
After forming the opening, a conductive film to be the conductor 205a is deposited. The conductive film preferably includes a conductive body having a function of suppressing permeation of oxygen. For example, tantalum nitride, tungsten nitride, titanium nitride, or the like can be used. Alternatively, a laminate film of a conductor having a function of suppressing oxygen permeation and tantalum, tungsten, titanium, molybdenum, aluminum, copper, or a molybdenum-tungsten alloy may be used. The conductive film can be deposited by a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like.
In this embodiment mode, titanium nitride is deposited as a conductive film to be the conductor 205 a. By using the above metal nitride as the lower layer of the conductor 205b, oxidation of the conductor 205b by the insulator 216 or the like can be suppressed. Further, even if a metal which is easily diffused such as copper is used as the conductor 205b, the metal can be prevented from diffusing outward from the conductor 205 a.
Next, a conductive film to be the conductor 205b is deposited. As the conductive film, tantalum, tungsten, titanium, molybdenum, aluminum, copper, molybdenum-tungsten alloy, or the like can be used. The conductive film can be deposited by electroplating, sputtering, CVD, MBE, PLD, ALD, or the like. In this embodiment mode, tungsten is deposited as the conductive film.
Next, the conductive film to be the conductor 205a and a part of the conductive film to be the conductor 205b are removed by CMP processing, so that the insulator 216 is exposed (see fig. 10A to 10D). As a result, the conductors 205a and 205b remain only in the openings. In addition, a portion of the insulator 216 is sometimes removed by the CMP process.
Next, an insulator 222 is deposited over the insulator 216 and the conductor 205 (see fig. 11A to 11D). The insulator 222 is preferably an insulator in which an oxide containing one or both of aluminum and hafnium is deposited. As the insulator containing an oxide of one or both of aluminum and hafnium, aluminum oxide, hafnium oxide, an oxide containing aluminum and hafnium (hafnium aluminate), or the like is preferably used. Or preferably hafnium zirconium oxide is used. An insulator containing an oxide of one or both of aluminum and hafnium has a barrier property against oxygen, hydrogen, and water. When the insulator 222 has a barrier property against hydrogen and water, diffusion of hydrogen and water contained in the surrounding structure of the transistor 200 to the inside of the transistor 200 through the insulator 222 can be suppressed, and generation of oxygen vacancies in the oxide 230 can be suppressed.
The insulator 222 can be deposited by a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like. In this embodiment, hafnium oxide is deposited as the insulator 222 by an ALD method. In particular, a method for forming hafnium oxide with a reduced hydrogen concentration according to one embodiment of the present invention is preferably used.
Then, heat treatment is preferably performed. The heat treatment may be performed at 250 ℃ to 650 ℃, preferably 300 ℃ to 500 ℃, more preferably 320 ℃ to 450 ℃. The heat treatment is performed in a nitrogen gas or inert gas atmosphere or an atmosphere containing 10ppm or more, 1% or more, or 10% or more of an oxidizing gas. For example, when the heat treatment is performed in a mixed atmosphere of nitrogen gas and oxygen gas, the ratio of the oxygen gas may be set to about 20%. The heat treatment may be performed under reduced pressure. Alternatively, the heat treatment may be performed under a nitrogen gas or an inert gas atmosphere, and then the heat treatment may be performed under an atmosphere containing 10ppm or more, 1% or more, or 10% or more of an oxidizing gas in order to fill the detached oxygen.
The gas used in the heat treatment is preferably highly purified. For example, the amount of water contained in the gas used in the heat treatment may be 1ppb or less, preferably 0.1ppb or less, and more preferably 0.05ppb or less. By performing the heat treatment using the gas with high purity, moisture and the like can be prevented from being absorbed by the insulator 222 and the like as much as possible.
In this embodiment, after the insulator 222 is deposited as the heat treatment, the treatment is performed at a flow rate ratio of nitrogen gas to oxygen gas of 4:1 and a temperature of 400 ℃. By performing this heat treatment, impurities such as water and hydrogen contained in the insulator 222 can be removed. In the case of using a hafnium-containing oxide for the insulator 222, a part of the insulator 222 may be crystallized by performing the heat treatment. Further, the heat treatment may be performed at a timing such as after the insulator 224 is deposited.
Next, an insulating film 224A is deposited over the insulator 222 (see fig. 11A to 11D). The insulating film 224A can be deposited by a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like. In this embodiment mode, a silicon oxide film is deposited as the insulating film 224A by a sputtering method. The concentration of hydrogen in the insulating film 224A can be reduced by using a sputtering method which does not need to use a molecule containing hydrogen as a deposition gas. Since the insulating film 224A is in contact with the oxide 230a in a later process, it is preferable that the hydrogen concentration be reduced in this way.
Next, an oxide film 230A and an oxide film 230B are sequentially deposited over the insulating film 224A (see fig. 11A to 11D). The oxide films 230A and 230B are preferably deposited continuously without exposure to the atmospheric environment. By performing deposition without exposure to the atmosphere, impurities or moisture from the atmosphere can be prevented from adhering to the oxide film 230A and the oxide film 230B, and therefore the vicinity of the interface between the oxide film 230A and the oxide film 230B can be kept clean.
The oxide film 230A and the oxide film 230B can be deposited by a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like. The ALD method is preferable because a film having a uniform thickness can be formed even in a trench or an opening having a large aspect ratio by depositing the oxide film 230A and the oxide film 230B. Further, by using the PEALD method, the oxide film 230A and the oxide film 230B can be formed at a lower temperature than the thermal ALD method, which is preferable. In this embodiment, a sputtering method is used for depositing the oxide film 230A and the oxide film 230B.
For example, in the case where the oxide film 230A and the oxide film 230B are deposited by a sputtering method, oxygen or a mixed gas of oxygen and a noble gas is used as a sputtering gas. By increasing the proportion of oxygen contained in the sputtering gas, the excess oxygen in the deposited oxide film can be increased. In the case of depositing the oxide film by sputtering, for example, the in—m—zn oxide target material or the like may be used.
In particular, when the oxide film 230A is deposited, a part of oxygen contained in the sputtering gas may be supplied to the insulator 224. Accordingly, the ratio of oxygen contained in the sputtering gas may be 70% or more, preferably 80% or more, and more preferably 100%.
In the case where the oxide film 230B is formed by a sputtering method, an oxygen-excess oxide semiconductor can be formed by deposition under a condition that the ratio of oxygen contained in a sputtering gas exceeds 30% and is 100% or less, preferably 70% or more and 100% or less. A transistor using an oxygen-excess oxide semiconductor for a channel formation region can obtain relatively high reliability. Note that one mode of the present invention is not limited to this. In the case of forming the oxide film 230B by a sputtering method, when deposition is performed with the ratio of oxygen contained in the sputtering gas set to 1% or more and 30% or less, preferably 5% or more and 20% or less, an oxygen-deficient oxide semiconductor is formed. A transistor using an oxygen-deficient oxide semiconductor for a channel formation region can have higher field effect mobility. Further, by performing deposition while heating the substrate, crystallinity of the oxide film can be improved.
In this embodiment, the oxide film 230A is deposited by a sputtering method using an oxide target having In: ga: zn=1:3:4 [ atomic number ratio ]. The oxide film 230B was deposited by a sputtering method using an oxide target of In: ga: zn=4:2:4.1 [ atomic ratio ], an oxide target of In: ga: zn=1:1:1 [ atomic ratio ], an oxide target of In: ga: zn=1:1:1.2 [ atomic ratio ], or an oxide target of In: ga: zn=1:1:2 [ atomic ratio ]. The oxide films can be formed by appropriately selecting deposition conditions and atomic number ratios according to characteristics required for the oxide 230a and the oxide 230b.
Note that the insulating film 224A, the oxide film 230A, and the oxide film 230B are preferably deposited by a sputtering method so as not to be exposed to the atmosphere. For example, a multi-chamber deposition apparatus may be used. This can reduce hydrogen from entering the insulating film 224A, the oxide film 230A, and the oxide film 230B between the deposition steps.
Then, heat treatment is preferably performed. The heat treatment may be performed at a temperature within a range where polycrystallization does not occur in the oxide film 230A or the oxide film 230B, and may be performed at 250 ℃ or higher and 650 ℃ or lower, preferably 400 ℃ or higher and 600 ℃ or lower. The heat treatment is performed in a nitrogen gas or inert gas atmosphere or an atmosphere containing 10ppm or more, 1% or more, or 10% or more of an oxidizing gas. For example, when the heat treatment is performed in a mixed atmosphere of nitrogen gas and oxygen gas, the ratio of the oxygen gas may be set to about 20%. The heat treatment may be performed under reduced pressure. Alternatively, the heat treatment may be performed under a nitrogen gas or an inert gas atmosphere, and then the heat treatment may be performed under an atmosphere containing 10ppm or more, 1% or more, or 10% or more of an oxidizing gas in order to fill the detached oxygen.
The gas used in the heat treatment is preferably highly purified. For example, the amount of water contained in the gas used in the heat treatment may be 1ppb or less, preferably 0.1ppb or less, and more preferably 0.05ppb or less. By performing the heat treatment using the gas with high purity, moisture and the like can be prevented from being absorbed by the oxide film 230A, the oxide film 230B, and the like as much as possible.
In this embodiment, as the heat treatment, the treatment was performed for 1 hour under the conditions that the flow ratio of the nitrogen gas to the oxygen gas was 4:1 and the temperature was 400 ℃. By such heat treatment with the oxygen-containing gas, impurities such as carbon, water, and hydrogen in the oxide film 230A and the oxide film 230B can be reduced. By reducing the impurities in the film in this manner, the crystallinity of the oxide film 230B is improved, and a dense structure with higher density can be realized. Therefore, the crystal regions in the oxide film 230A and the oxide film 230B can be increased, and in-plane unevenness of the crystal regions in the oxide film 230A and the oxide film 230B can be reduced. Accordingly, in-plane unevenness of the electrical characteristics of the transistor 200 can be reduced.
In addition, by performing heat treatment, hydrogen in the insulator 216, the insulating film 224A, the oxide film 230A, and the oxide film 230B is transferred to the insulator 222 and absorbed by the insulator 222. In other words, hydrogen in the insulator 216, the insulating film 224A, the oxide film 230A, and the oxide film 230B diffuses into the insulator 222. Therefore, although the hydrogen concentration of the insulator 222 increases, the hydrogen concentration in the insulator 216, the insulating film 224A, the oxide film 230A, and the oxide film 230B decreases.
In particular, the insulating film 224A is used as a gate insulator of the transistor 200, and the oxide film 230A and the oxide film 230B are used as channel formation regions of the transistor 200. Therefore, the transistor 200 including the insulating film 224A, the oxide film 230A, and the oxide film 230B in which the hydrogen concentration is reduced has excellent reliability, so that it is preferable.
Next, a conductive film 242A is deposited over the oxide film 230B (see fig. 11A to 11D). The conductive film 242A can be deposited by a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like. For example, tantalum nitride may be deposited as the conductive film 242A by a sputtering method. In addition, heat treatment may be performed before the conductive film 242A is deposited. The heat treatment may also be performed under reduced pressure, and the conductive film 242A is continuously deposited therein so as not to be exposed to the atmosphere. By performing such treatment, moisture and hydrogen adhering to the surface of the oxide film 230B can be removed, and the moisture concentration and hydrogen concentration in the oxide film 230A and the oxide film 230B can be reduced. The temperature of the heat treatment is preferably 100 ℃ or more and 400 ℃ or less. In this embodiment, the temperature of the heat treatment is set to 200 ℃.
Next, an insulating film 271A is deposited over the conductive film 242A (see fig. 11A to 11D). The insulating film 271A can be deposited by a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like. As the insulating film 271A, an insulating film having a function of suppressing permeation of oxygen is preferably used. For example, aluminum oxide or silicon nitride may be deposited as the insulating film 271A by a sputtering method. Alternatively, for example, a silicon nitride film and a silicon oxide film over the silicon nitride film may be deposited by a sputtering method as the insulating film 271A.
The conductive film 242A and the insulating film 271A are preferably deposited by a sputtering method so as not to be exposed to the atmosphere. For example, a multi-chamber deposition apparatus may be used. Thus, hydrogen in the film can be reduced to deposit the conductive film 242A and the insulating film 271A, and hydrogen can be reduced from being mixed into the film between the deposition steps. In addition, when a hard mask is formed over the insulating film 271A, a film to be the hard mask may be deposited continuously so as not to be exposed to the atmosphere.
Next, the insulating film 224A, the oxide film 230B, the conductive film 242A, and the insulating film 271A are processed into island shapes by photolithography, so that the insulator 224, the oxide 230A, the oxide 230B, the conductive layer 242B, and the insulating layer 271B are formed (see fig. 12A to 12D). Here, the insulator 224, the oxide 230a, the oxide 230B, the conductive layer 242B, and the insulating layer 271B are formed so that at least a part thereof overlaps with the conductor 205. As the processing, a dry etching method or a wet etching method can be used. Processing by dry etching is suitable for micromachining. The insulating film 224A, the oxide film 230B, the conductive film 242A, and the insulating film 271A may be formed under different conditions.
Note that in the photolithography, the resist is first exposed to light through a mask. Next, the exposed regions are removed or left using a developer to form a resist mask. Then, the resist mask is etched to form a conductor, a semiconductor, an insulator, or the like into a desired shape. For example, a resist mask may be formed by exposing a resist to light using a KrF excimer laser, arF excimer laser, EUV (Extreme Ultraviolet: extreme ultraviolet) light, or the like. In addition, a liquid immersion technique in which exposure is performed in a state where a space between the substrate and the projection lens is filled with a liquid (for example, water) may be used. In addition, an electron beam or an ion beam may be used instead of the above light. Note that when an electron beam or an ion beam is used, a mask is not required. The resist mask can be removed by performing dry etching such as ashing, wet etching after dry etching, or dry etching after wet etching.
Further, a hard mask made of an insulator or a conductor may be used under the resist mask. When a hard mask is used, an insulating film or a conductive film which becomes a hard mask material may be formed over the conductive film 242A and a resist mask is formed thereover, and then the hard mask material is etched to form a hard mask of a desired shape. The etching of the conductive film 242A or the like may be performed after or without removing the resist mask. In the latter case, the resist mask may disappear when etching is performed. The hard mask may be removed by etching after etching of the conductive film 242A or the like. On the other hand, in the case where the hard mask material does not affect the post-process or can be used in the post-process, the hard mask does not necessarily need to be removed. In this embodiment mode, the insulating layer 271B is used as a hard mask.
Here, the insulating layer 271B is used as a mask for the conductive layer 242B, and as shown in fig. 12B to 12D, the conductive layer 242B has no curved surface between the side surface and the top surface. Thus, the end portions of the side surfaces and the top surfaces of the conductors 242a and 242B shown in fig. 1B and 1D are angled. When the end portion of the conductor 242 where the side surface and the top surface intersect is angled, the cross-sectional area of the conductor 242 increases as compared with the case where the end portion has a curved surface. This reduces the resistance of conductor 242, which increases the on-state current of transistor 200.
As shown in fig. 12B to 12D, the side surfaces of the insulator 224, the oxide 230a, the oxide 230B, the conductive layer 242B, and the insulating layer 271B may have tapered shapes. Note that, in this specification and the like, the tapered shape refers to a shape in which at least a part of a side surface of a constituent element is provided obliquely with respect to a substrate surface. For example, the angle formed by the inclined side surface and the substrate surface (hereinafter, sometimes referred to as taper angle) is preferably smaller than 90 °. The insulator 224, the oxide 230a, the oxide 230B, the conductive layer 242B, and the insulating layer 271B are formed so that a taper angle is 60 ° or more and less than 90 °, for example. When the side surface has such a tapered shape, the coverage of the insulator 275 or the like in the subsequent steps is improved, and defects such as voids can be reduced.
However, the structure is not limited to this, and the side surfaces of the insulator 224, the oxide 230a, the oxide 230B, the conductive layer 242B, and the insulating layer 271B may be substantially perpendicular to the top surface of the insulator 222. By adopting such a structure, a small area and a high density can be achieved when a plurality of transistors 200 are provided.
In addition, by-products generated in the etching step may be formed in layers on the side surfaces of the insulator 224, the oxide 230a, the oxide 230B, the conductive layer 242B, and the insulating layer 271B. In this case, the layered by-product is formed between the insulator 224, the oxide 230a, the oxide 230B, the conductive layer 242B, and the insulating layer 271B and the insulator 275. Accordingly, it is preferable to remove the layered byproducts that contact the top surface of the insulator 222.
Next, an insulator 275 is deposited so as to cover the insulator 224, the oxide 230a, the oxide 230B, the conductive layer 242B, and the insulating layer 271B (see fig. 13A to 13D). Here, insulator 275 is preferably in close contact with the top surface of insulator 222 and the side surface of insulator 224. The insulator 275 may be deposited by a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like. The insulator 275 preferably uses an insulating film having a function of suppressing oxygen permeation. For example, silicon nitride may be deposited as insulator 275 using an ALD process. Or as insulator 275 may utilize sputtering to deposit aluminum oxide and PEALD to deposit silicon nitride thereon. When the insulator 275 has such a stacked structure, the function of suppressing diffusion of impurities such as water and hydrogen, and oxygen may be improved.
In this manner, the oxide 230a, the oxide 230B, and the conductive layer 242B can be covered with the insulator 275 and the insulating layer 271B which have a function of suppressing oxygen diffusion. This can suppress oxygen from directly diffusing from the insulator 280 or the like into the insulator 224, the oxide 230a, the oxide 230B, and the conductive layer 242B in a later process.
Next, an insulating film to be an insulator 280 is deposited on the insulator 275. The insulating film can be deposited by a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like. For example, a silicon oxide film may be deposited as the insulating film by a sputtering method. By depositing the insulating film using a sputtering method under an atmosphere containing oxygen, the insulator 280 containing excess oxygen can be formed. The concentration of hydrogen in insulator 280 can be reduced by using a sputtering method that does not require the use of a hydrogen-containing molecule as a deposition gas. In addition, heat treatment may be performed before depositing the insulating film. The heat treatment may also be performed under reduced pressure, and wherein the insulating film is continuously deposited in such a manner as not to be exposed to the atmosphere. By performing such treatment, moisture and hydrogen adhering to the surface of the insulator 275 or the like can be removed, and the moisture concentration and hydrogen concentration in the oxide 230a, the oxide 230b, and the insulator 224 can be reduced. The heat treatment may be performed under the conditions described above.
Next, an insulating film serving as the insulator 280 is subjected to CMP treatment, whereby the insulator 280 having a flat top surface is formed (see fig. 13A to 13D). Further, silicon nitride may be deposited on the insulator 280, for example, by sputtering, and CMP may be performed until the silicon nitride reaches the insulator 280.
Next, a portion of the insulator 280, a portion of the insulator 275, a portion of the insulating layer 271B, and a portion of the conductive layer 242B are processed to form an opening to the oxide 230B. The opening is preferably formed so as to overlap with the conductor 205. By forming the opening, an insulator 271a, an insulator 271b, a conductor 242a, and a conductor 242b are formed (see fig. 14A to 14D).
Here, as shown in fig. 14B and 14C, side surfaces of the insulator 280, the insulator 275, the insulator 271, and the conductor 242 may be tapered. In addition, the taper angle of the insulator 280 is sometimes greater than the taper angle of the conductor 242. In addition, although not shown in fig. 14A to 14C, the top of the oxide 230b is sometimes removed when the above-described opening is formed.
Further, a part of the insulator 280, a part of the insulator 275, a part of the insulating layer 271B, and a part of the conductive layer 242B may be processed by a dry etching method or a wet etching method. Processing by dry etching is suitable for micromachining. The processing may be performed under different conditions. For example, a part of the insulator 280 may be processed by a dry etching method, a part of the insulator 275 and a part of the insulating layer 271B may be processed by a wet etching method, and a part of the conductive layer 242B may be processed by a dry etching method.
Here, the following sometimes occurs: impurities adhere to the side surfaces of the oxide 230a, the top and side surfaces of the oxide 230b, the side surfaces of the conductor 242, the side surfaces of the insulator 280, and the like; or the impurities diffuse into their interiors. In addition, a step of removing these impurities may be performed. In addition, a damaged region may be formed on the surface of the oxide 230b by the dry etching. In addition, such damaged areas may also be removed. Examples of the impurities include impurities derived from the following components: an insulator 280, an insulator 275, a part of the insulating layer 271B, and a component included in the conductive layer 242B; a component contained in a member used for a device used for forming the opening; a gas or liquid for etching contains a component. Examples of the impurities include hafnium, aluminum, silicon, tantalum, fluorine, chlorine, and the like.
In particular, impurities such as aluminum and silicon may decrease the crystallinity of the oxide 230 b. Therefore, impurities such as aluminum and silicon are preferably removed on the surface of the oxide 230b and the vicinity thereof. Further, the concentration of the impurity is preferably reduced. For example, the concentration of aluminum atoms on the surface of the oxide 230b and the vicinity thereof may be 5.0 atomic% or less, preferably 2.0 atomic% or less, more preferably 1.5 atomic% or less, further preferably 1.0 atomic% or less, and particularly preferably less than 0.3 atomic%.
Since the density of the crystal structure is reduced in the region where the crystallinity of the oxide 230b is low due to impurities such as aluminum and silicon, a large amount of V O H is generated, and the transistor is easily turned on. Accordingly, the region of low crystallinity of the oxide 230b is preferably reduced or removed.
In contrast, the oxide 230b preferably has a layered CAAC structure. In particular, the lower end portion of the drain of the oxide 230b also preferably has a CAAC structure. Here, in the transistor 200, the conductor 242a or the conductor 242b and the vicinity thereof are used as a drain. In other words, the oxide 230b near the lower end portion of the conductor 242a (conductor 242 b) preferably has a CAAC structure. In this manner, by removing the region of low crystallinity of the oxide 230b at the drain end portion, which has a significant influence on the drain withstand voltage, and providing the CAAC structure, variations in the electrical characteristics of the transistor 200 can be further suppressed. In addition, the reliability of the transistor 200 can be further improved.
In order to remove impurities and the like adhering to the surface of the oxide 230b in the etching step, a washing treatment is performed. As a washing method, wet washing using a washing liquid or the like (may also be referred to as wet etching treatment), plasma treatment using plasma, washing using heat treatment, or the like can be used, and the above washing may be appropriately combined. Note that the groove portion may be deepened by performing the washing treatment.
As the wet washing, an aqueous solution obtained by diluting ammonia water, oxalic acid, phosphoric acid, hydrofluoric acid, or the like with carbonated water or pure water, carbonated water, or the like may be used for the washing treatment. Alternatively, the ultrasonic washing may be performed using the above aqueous solution, pure water, or carbonated water. Further, the above-mentioned washing may be appropriately combined.
Note that in this specification and the like, an aqueous solution of diluted hydrogen fluoride acid with pure water is sometimes referred to as diluted hydrogen fluoride acid and an aqueous solution of diluted ammonia water with pure water is sometimes referred to as diluted ammonia water. The concentration, temperature, and the like of the aqueous solution can be appropriately adjusted according to impurities to be removed, the structure of the semiconductor device to be washed, and the like. The ammonia concentration of the dilute aqueous ammonia may be set to 0.01% or more and 5% or less, and preferably set to 0.1% or more and 0.5% or less. The hydrogen fluoride concentration of the dilute hydrogen fluoride acid may be set to 0.01ppm or more and 100ppm or less, and preferably 0.1ppm or more and 10ppm or less.
Further, the ultrasonic washing is preferably performed at a frequency of 200kHz or more, and more preferably at a frequency of 900kHz or more. By using this frequency, damage to the oxide 230b or the like can be reduced.
The washing treatment may be performed a plurality of times, or the washing liquid may be changed for each washing treatment. For example, the treatment using dilute hydrogen fluoride acid or dilute ammonia water may be performed as the first washing treatment, and the treatment using pure water or carbonated water may be performed as the second washing treatment.
As the washing treatment, in the present embodiment, wet washing is performed using dilute aqueous ammonia. By performing this washing treatment, impurities adhering to the surface of the oxide 230a, the oxide 230b, or the like or diffusing into the inside thereof can be removed. Further, crystallinity of the oxide 230b can be improved.
The heat treatment may be performed after the etching or the washing. The heat treatment is performed at 100 ℃ to 450 ℃, preferably 350 ℃ to 400 ℃. The heat treatment is performed under a nitrogen gas, an inert gas, or an atmosphere containing 10ppm or more, 1% or more, or 10% or more of an oxidizing gas. For example, the heat treatment is preferably performed under an oxygen atmosphere. Thereby, oxygen is supplied to the oxide 230a and the oxide 230b, and oxygen vacancies can be reduced. Further, by performing the heat treatment described above, crystallinity of the oxide 230b can be improved. The heat treatment may be performed under reduced pressure. Alternatively, the heat treatment may be performed under an oxygen atmosphere and then continuously performed under a nitrogen atmosphere without being exposed to the atmosphere.
Next, an insulating film 252A is deposited (see fig. 15A to 15D). The insulating film 252A can be deposited by a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like. The insulating film 252A is preferably deposited by an ALD method. As described above, the insulating film 252A is preferably deposited thin, and it is necessary to suppress thickness unevenness to be small. In contrast, the ALD method is a deposition method in which a precursor and a reactant (for example, an oxidizing agent or the like) are alternately introduced, and the thickness of a film can be adjusted according to the number of times of repeating the cycle, so that the thickness can be precisely adjusted. In addition, as shown in fig. 15B and 15C, the insulating film 252A needs to be deposited with high coverage on the bottom surface and the side surfaces of the opening formed in the insulator 280 or the like. In particular, the insulating film 252A needs to be deposited on the top and side surfaces of the oxide 230 and the side surfaces of the conductor 242 with high coverage. Since an atomic layer of each layer can be deposited on the bottom surface and the side surface of the opening, the insulating film 252A can be deposited with high coverage in the opening.
In addition, when the insulating film 252A is deposited by an ALD method, ozone (O 3), oxygen (O 2), water (H 2 O), or the like can be used as an oxidizing agent. By using ozone (O 3), oxygen (O 2), or the like, which does not contain hydrogen, as the oxidizing agent, hydrogen diffusing to the oxide 230b can be reduced.
In this embodiment, aluminum oxide is deposited as the insulating film 252A by a thermal ALD method.
Next, an insulating film 250A is deposited (see fig. 15A to 15D). Here, the heat treatment may also be performed before the insulating film 250A is deposited, and it is preferable that the heat treatment is performed under reduced pressure so that the insulating film 250A is continuously deposited without being exposed to the atmosphere. Further, the heat treatment is preferably performed under an atmosphere containing oxygen. By performing such a treatment, moisture and hydrogen adhering to the surface or the like of the insulating film 252A can be removed, and the moisture concentration and hydrogen concentration in the oxide 230a and the oxide 230b can be reduced. The temperature of the heat treatment is preferably 100 ℃ or more and 400 ℃ or less.
The insulating film 250A can be deposited by a sputtering method, a CVD method, a PECVD method, an MBE method, a PLD method, an ALD method, or the like. The insulating film 250A is preferably deposited using a deposition method of a gas that reduces or removes hydrogen atoms. Thereby, the hydrogen concentration of the insulating film 250A can be reduced. Since the insulating film 250A is an insulator 250A facing the oxide 230b through the insulator 252 having a small thickness in the subsequent steps, it is preferable that the hydrogen concentration be reduced in this manner.
In this embodiment mode, silicon oxynitride is deposited as the insulating film 250A by a PECVD method.
Then, the microwave treatment is preferably performed under an oxygen-containing atmosphere. In the present specification, microwaves refer to electromagnetic waves having a frequency of 300MHz to 300 GHz.
The dotted lines shown in fig. 15B to 15D indicate high frequency such as microwaves, RF, oxygen plasma, oxygen radicals, and the like. For example, a microwave processing apparatus including a power source for generating high-density plasma by microwaves is preferably used for the microwave processing. Here, the frequency of the microwave processing apparatus may be set to 300MHz to 300GHz, preferably 2.4GHz to 2.5GHz, for example, 2.45 GHz. By using a high density plasma, oxygen radicals of high density can be generated. The power of the microwave-applied power supply of the microwave processing apparatus may be 1000W or more and 10000W or less, and preferably 2000W or more and 5000W or less. In addition, the microwave processing apparatus may also include a power source for applying RF to one side of the substrate. Further, by applying RF to the substrate side, oxygen ions generated by high-density plasma can be efficiently introduced into the oxide 230 b.
The microwave treatment is preferably performed under reduced pressure, and the pressure is preferably 10Pa to 1000Pa, more preferably 300Pa to 700 Pa. The treatment temperature is 750 ℃ or lower, preferably 500 ℃ or lower, for example, about 250 ℃. Further, the heat treatment may be performed continuously so as not to be exposed to the atmosphere after the oxygen plasma treatment. For example, the treatment temperature may be 100 ℃ to 750 ℃, preferably 300 ℃ to 500 ℃.
For example, the microwave treatment may be performed using an oxygen gas and an argon gas. Here, the oxygen flow rate ratio (O 2/(O2 +ar)) is preferably greater than 0% and 100% or less, more preferably greater than 0% and 50% or less, still more preferably 10% or more and 40% or less, and still more preferably 10% or more and 30% or less. In this manner, by performing the microwave treatment in the oxygen-containing atmosphere, the carrier concentration in the region 230bc can be reduced. Further, by preventing excessive oxygen from being introduced into the processing chamber during the microwave processing, the carrier concentration in the region 230ba and the region 230bb can be prevented from being excessively lowered.
As shown in fig. 15B to 15D, by performing the microwave treatment in the oxygen-containing atmosphere, the oxygen gas can be plasmatized using high frequency such as microwave or RF, and the oxygen plasma can be applied to the region between the conductor 242a and the conductor 242B of the oxide 230B. At this time, a high frequency such as microwave or RF may be irradiated to the region 230bc. In other words, the microwave, RF, or other high-frequency, oxygen plasma, or the like can be caused to act in the region 230bc shown in fig. 2A. The V O H of the region 230bc may be separated by the action of plasma, microwaves, or the like to remove hydrogen from the region 230bc. In other words, V O H contained in the region 230bc can be reduced. Therefore, oxygen vacancies and V O H in the region 230bc can be reduced to reduce the carrier concentration. Further, by supplying oxygen radicals generated in the above-described oxygen plasma or oxygen contained in the insulator 250 to the oxygen vacancies formed in the region 230bc, the oxygen vacancies in the region 230bc can be further reduced, whereby the carrier concentration can be reduced.
On the other hand, conductors 242A and 242b are provided in the regions 230ba and 230bb shown in fig. 2A. Here, the conductor 242 is preferably used as a shielding film for protecting against high frequency such as microwaves and RF, oxygen plasma, and the like when performing microwave treatment in an oxygen-containing atmosphere. Accordingly, the conductor 242 preferably has a function of shielding electromagnetic waves of 300MHz to 300GHz, for example, 2.4GHz to 2.5 GHz.
As shown in fig. 15B to 15D, the conductors 242a and 242B shield the action of high-frequency, e.g., microwave or RF, oxygen plasma, and the like, and therefore do not act on the regions 230ba and 230bb. Accordingly, in the region 230ba and the region 230bb, the decrease in V O H and the excessive supply of oxygen due to the microwave treatment do not occur, and therefore, the decrease in carrier concentration can be prevented.
Further, an insulator 252 having oxygen barrier property is provided so as to contact the side surfaces of the conductors 242a and 242 b. Therefore, formation of an oxide film on the side surfaces of the conductors 242a and 242b by the microwave treatment can be suppressed.
Since the film quality of the insulator 252 and the insulator 250a can be improved, the reliability of the transistor 200 can be improved.
As described above, oxygen vacancies and V O H can be selectively removed in the region 230bc of the oxide semiconductor to make the region 230bc i-type or substantially i-type. Further, the regions 230ba and 230bb serving as the source region or the drain region can be kept from being supplied with excessive oxygen and kept conductive. This suppresses variation in the electrical characteristics of the transistor 200, and suppresses variation in the electrical characteristics of the transistor 200 in the substrate plane.
In addition, in the microwave treatment, thermal energy may be directly transferred to the oxide 230b due to electromagnetic interaction of microwaves with molecules in the oxide 230 b. The oxide 230b is sometimes heated by this thermal energy. This heat treatment is sometimes referred to as microwave annealing. By performing the microwave treatment in an atmosphere containing oxygen, an effect equivalent to that of oxygen annealing may be obtained. In addition, it can be considered that: when the oxide 230b contains hydrogen, the thermal energy is transferred to the hydrogen in the oxide 230b, and the activated hydrogen is released from the oxide 230 b.
The microwave treatment described above may be performed after the insulating film 252A is deposited. Further, the microwave treatment may be performed after the insulating film 252A is deposited instead of the microwave treatment after the insulating film 250A is deposited.
In addition, when the insulating film 250 has a two-layer stacked structure shown in fig. 2B, an insulating film to be the insulating film 250B may be deposited after the insulating film 250A is deposited. The insulating film to be the insulator 250b can be deposited by a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like. The insulating film to be the insulator 250b is preferably formed using an insulator having a function of suppressing diffusion of oxygen. By adopting such a structure, diffusion of oxygen contained in the insulator 250a to the conductor 260 can be suppressed. In other words, a decrease in the amount of oxygen supplied to the oxide 230 can be suppressed. Further, oxidation of the conductor 260 due to oxygen contained in the insulator 250a can be suppressed. The insulating film serving as the insulator 250b may be formed using the same material as that of the insulator 222. For example, hafnium oxide may be deposited as an insulating film serving as the insulator 250b by a thermal ALD method.
Note that when the insulator 250 has a two-layered structure shown in fig. 2B, the above-described microwave treatment may be performed after the insulating film 250A is deposited (see fig. 15A to 15D). In addition, the microwave treatment may be performed after the insulating film to be the insulator 250b is deposited, instead of the microwave treatment after the insulating film 250A is deposited.
The heat treatment may be performed in a state of being reduced in pressure after the microwave treatment after the insulating film 252A and the insulating film 250A are deposited and after the insulating film serving as the insulator 250b is deposited. By performing such a treatment, hydrogen in the insulating film 252A, the insulating film 250A, the insulating film which becomes the insulator 250b, the oxide 230b, and the oxide 230A can be efficiently removed. In addition, some of the hydrogen may be gettered by the conductors 242 (the conductor 242a and the conductor 242 b). The step of performing the heat treatment may be repeated while maintaining the reduced pressure after the microwave treatment. By repeating the heat treatment, hydrogen in the insulating film 252A, the insulating film 250A, the insulating film which becomes the insulator 250b, the oxide 230b, and the oxide 230A can be further efficiently removed. Note that the heat treatment temperature is preferably 300 ℃ or higher and 500 ℃ or lower. The microwave treatment, that is, the microwave annealing may also be used as the heat treatment. When the oxide 230b is sufficiently heated by microwave annealing or the like, the heat treatment may not be performed.
Further, by performing the microwave treatment to change the film quality of the insulating film 252A, the insulating film 250A, and the insulating film to be the insulator 250b, diffusion of hydrogen, water, impurities, and the like can be suppressed. This can suppress diffusion of hydrogen, water, impurities, and the like into the oxide 230b, the oxide 230a, and the like through the insulator 252 due to a post-process such as deposition of the conductive film serving as the conductor 260, or a post-process such as heat treatment.
Next, an insulating film 254A is deposited (see fig. 16A to 16D). The insulating film 254A can be deposited by a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like. Like the insulating film 252A, the insulating film 254A is preferably deposited by an ALD method. By using the ALD method, the thin insulating film 254A can be deposited with high coverage. In this embodiment, silicon nitride is deposited as the insulating film 254A by PEALD method.
Next, a conductive film to be the conductor 260a and a conductive film to be the conductor 260b are sequentially deposited. The conductive film to be the conductive body 260a and the conductive film to be the conductive body 260b can be deposited by a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like. In this embodiment, titanium nitride is deposited as a conductive film to be the conductive body 260a by an ALD method, and tungsten is deposited as a conductive film to be the conductive body 260b by a CVD method.
Next, the insulator 252, the insulator 250, the insulator 254, and the conductors 260 (the conductors 260A and 260 b) are formed by polishing the insulating film 252A, the insulating film 250A, the insulating film 254A, the conductive film that becomes the conductor 260A, and the conductive film that becomes the conductor 260b by CMP until the insulator 280 is exposed (see fig. 17A to 17D). Thus, the insulator 252 is disposed so as to cover the opening reaching the oxide 230 b. The conductor 260 is disposed so as to fill the opening through the insulator 252, the insulator 250, and the insulator 254.
Then, the heat treatment may be performed under the same conditions as those of the heat treatment described above. In this embodiment, the treatment is performed at a temperature of 400℃for 1 hour under a nitrogen atmosphere. By this heat treatment, the moisture concentration and the hydrogen concentration in the insulator 250 and the insulator 280 can be reduced. Further, after the above heat treatment, deposition of the insulator 282 is continuously performed in such a manner as not to be exposed to the atmosphere.
Next, an insulator 282 is formed over the insulator 252, the insulator 250, the insulator 254, the conductor 260, and the insulator 280 (see fig. 17A to 17D). The insulator 282 may be deposited by a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like. Insulator 282 is preferably deposited using a sputtering process. The concentration of hydrogen in the insulator 282 can be reduced by using a sputtering method that does not require the use of a molecule containing hydrogen as a deposition gas.
In this embodiment, alumina is deposited as the insulator 282 by a pulsed DC sputtering method using an aluminum target in an atmosphere containing oxygen gas. By using the pulsed DC sputtering method, the thickness can be made more uniform to improve the sputtering rate and film quality. The RF power applied to the substrate was set to 1.86W/cm 2 or less. Preferably from 0W/cm 2 to 0.62W/cm 2. By reducing the RF power, the amount of oxygen injected into insulator 280 can be suppressed. Alternatively, the insulator 282 may be formed to have a two-layer stacked structure. At this time, the lower layer of the insulator 282 was formed by setting the RF power applied to the substrate to 0W/cm 2, and the upper layer of the insulator 282 was formed by setting the RF power applied to the substrate to 0.62W/cm 2.
In addition, by depositing the insulator 282 under an oxygen-containing atmosphere using a sputtering method, oxygen can be added to the insulator 280 at the same time as the deposition is performed. Thereby, the insulator 280 may be made to contain excess oxygen. At this time, it is preferable to deposit the insulator 282 while heating the substrate.
Next, an etching mask is formed over the insulator 282 by photolithography, and a portion of the insulator 282, a portion of the insulator 280, a portion of the insulator 275, a portion of the insulator 222, and a portion of the insulator 216 are processed until the top surface of the insulator 214 is exposed (see fig. 18A to 18D). In performing this processing, wet etching may be used, but dry etching is preferable for micromachining.
Subsequently, heat treatment may be performed. The heat treatment may be performed at a temperature of 250 ℃ to 650 ℃, preferably 350 ℃ to 600 ℃. In addition, the heat treatment is preferably performed at a temperature lower than that of the heat treatment performed after the deposition of the oxide film 230B. Further, the heat treatment is performed under a nitrogen gas or an inert gas atmosphere. By performing this heat treatment, a part of oxygen added to the insulator 280 diffuses through the insulator 250 and the like to the oxide 230.
By performing this heat treatment, oxygen contained in the insulator 280 and hydrogen bonded to the oxygen can be released to the outside from the side surface of the insulator 280 formed by processing the insulator 282, the insulator 280, the insulator 275, the insulator 222, and the insulator 216. Note that hydrogen bonded to oxygen is released as water. Accordingly, unnecessary oxygen and hydrogen contained in the insulator 280 can be reduced.
In the region of the oxide 230 overlapping the conductor 260, an insulator 252 is provided so as to contact the top surface and the side surface of the oxide 230. The insulator 252 has oxygen barrier properties and thus may reduce excessive oxygen diffusion to the oxide 230. Thereby, oxygen can be supplied to the region 230bc and the vicinity thereof in such a manner that the supply of excessive oxygen is avoided. Thus, oxygen vacancies and V O H formed in the region 230bc can be reduced while oxidation of the side surface of the conductor 242 due to excessive oxygen is suppressed. Therefore, the electrical characteristics and reliability of the transistor 200 can be improved.
On the other hand, when the transistor 200 is integrated with high density, the volume of the insulator 280 with respect to one transistor 200 is sometimes too small. At this time, in the above heat treatment, the amount of oxygen diffused into the oxide 230 is significantly small. When the oxide 230 is heated in a state where an oxidized insulator (for example, the insulator 250 or the like) having a low oxygen content is in contact with the oxide 230, oxygen constituting the oxide 230 may be desorbed. However, in the transistor 200 according to the present embodiment, the insulator 252 is provided so as to contact the top surface and the side surfaces of the oxide 230 in the region of the oxide 230 overlapping the conductor 260. Oxygen detachment from the oxide 230 may also be reduced in the above-described thermal treatment because the insulator 252 has oxygen barrier properties. Thereby, oxygen vacancies and V O H formed in the region 230bc can be reduced. Therefore, the electrical characteristics and reliability of the transistor 200 can be improved.
As described above, in the semiconductor device according to the present embodiment, a transistor having good electrical characteristics and high reliability can be formed both in the case where the amount of oxygen supplied from the insulator 280 is large and in the case where the amount of oxygen supplied from the insulator 280 is small. Accordingly, a semiconductor device in which non-uniformity in electrical characteristics of the transistor 200 in the substrate plane can be suppressed can be provided.
Next, an insulator 283 is formed over the insulator 282 (see fig. 19A to 19D). The insulator 283 can be deposited by a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like. Insulator 283 is preferably deposited using a sputtering process. By using a sputtering method that does not require the use of a molecule containing hydrogen as a deposition gas, the hydrogen concentration in the insulator 283 can be reduced. In addition, the insulator 283 may have a multi-layered structure. For example, silicon nitride may be deposited by a sputtering method, and silicon nitride may be deposited on the silicon nitride by an ALD method. By surrounding the transistor 200 with the insulator 283 and the insulator 214 having high barrier properties, moisture and hydrogen can be prevented from entering from the outside.
Next, an insulating film to be the insulator 274 is formed on the insulator 283. The insulating film can be deposited by a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like. In this embodiment mode, silicon oxide is deposited as the insulating film by a CVD method.
Next, an insulating film to be the insulator 274 is polished until the insulator 283 is exposed by using a CMP process, and the top surface of the insulating film is planarized to form the insulator 274 (see fig. 19A to 19D). A portion of the top surface of the insulator 283 is sometimes removed by the CMP process.
Next, an insulator 285 is formed over the insulator 274 and the insulator 283 (see fig. 20A to 20D). The insulator 285 can be deposited by a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like. Insulator 285 is preferably deposited using a sputtering process. The concentration of hydrogen in the insulator 285 can be reduced by using a sputtering method that does not require the use of a molecule containing hydrogen as a deposition gas.
In this embodiment, silicon oxide is deposited as the insulator 285 by a sputtering method.
Next, openings reaching the conductors 242 are formed in the insulators 271, 275, 280, 282, 283, and 285 (see fig. 20A and 20B). In forming the opening, photolithography may be used. Note that the shape of the opening in plan view in fig. 20A is circular, but is not limited thereto. For example, the opening may have a substantially circular shape such as an ellipse, a polygonal shape such as a quadrangle, or a shape in which corners of the polygonal shape such as the quadrangle are curved in a plan view.
Next, an insulating film to be the insulator 241a and the insulator 241B is deposited, and the insulating film is anisotropically etched to form the insulator 241a and the insulator 241B (see fig. 20B). The insulating film can be deposited by a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like. As the insulating film, an insulating film having a function of suppressing permeation of oxygen is preferably used. For example, an aluminum oxide film is preferably deposited by an ALD method, and a silicon nitride film is deposited thereon using a PEALD method. Silicon nitride is preferred because of its high barrier to hydrogen.
As the anisotropic etching for the insulating films serving as the insulator 241a and the insulator 241b, for example, a dry etching method or the like can be used. By providing the insulator 241a and the insulator 241b on the side wall portion of the opening, permeation of oxygen from the outside can be suppressed, and oxidation of the conductor 240a and the conductor 240b to be formed next can be prevented. Further, impurities such as water and hydrogen contained in the insulator 280 and the like can be prevented from diffusing into the conductor 240a and the conductor 240b.
Next, conductive films to be the conductors 240a and 240b are formed. The conductive film preferably has a laminated structure including a conductive body having a function of suppressing permeation of impurities such as water and hydrogen. For example, a stack of tantalum nitride, titanium nitride, or the like, and tungsten, molybdenum, copper, or the like may be provided. The conductive film can be deposited by a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like.
Next, a CMP process is performed to remove a portion of the conductive film that becomes the conductors 240a and 240b, thereby exposing the top surface of the insulator 285. As a result, the conductive film remains only in the opening, and thus the conductors 240A and 240b having flat top surfaces can be formed (see fig. 20A to 20D). Note that a portion of the top surface of the insulator 285 is sometimes removed due to this CMP process.
Next, a conductive film is deposited as the conductors 246a and 246 b. The conductive film can be deposited by a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like.
Next, the conductive films to be the conductors 246a and 246b are processed by photolithography to form the conductors 246a and 246b contacting the top surface of the conductor 240a and the top surface of the conductor 240 b. At this time, a part of the insulator 285 in a region where the conductors 246a and 246b do not overlap with the insulator 285 may be removed.
Through the above steps, a semiconductor device including the transistor 200 shown in fig. 1A to 1D can be manufactured. As shown in fig. 10A to 20D, the transistor 200 can be manufactured by using the manufacturing method of the semiconductor device shown in this embodiment mode.
< Microwave treatment apparatus >
A microwave processing apparatus that can be used in the above-described method for manufacturing a semiconductor device will be described below.
First, a structure of a manufacturing apparatus in which contamination by impurities is less when manufacturing a semiconductor device will be described with reference to fig. 21 to 24.
Fig. 21 schematically illustrates a top view of a single-piece multi-chamber manufacturing apparatus 2700. The manufacturing apparatus 2700 includes: an atmosphere side substrate supply chamber 2701 including a cassette 2761 for accommodating substrates and an aligner 2762 for performing substrate alignment; an atmosphere side substrate transfer chamber 2702 for transferring a substrate from the atmosphere side substrate supply chamber 2701; a load lock chamber 2703a in which a substrate is carried in and the pressure in the chamber is changed from atmospheric pressure to reduced pressure or from reduced pressure to atmospheric pressure; an unload lock chamber 2703b for carrying out the substrate and switching the pressure in the chamber from reduced pressure to atmospheric pressure or from atmospheric pressure to reduced pressure; a transfer chamber 2704 in which a substrate is transferred in vacuum; a process chamber 2706a; a process chamber 2706b; a process chamber 2706c; a process chamber 2706d.
The atmospheric substrate transfer chamber 2702 is connected to the load lock chamber 2703a and the unload lock chamber 2703b, the load lock chamber 2703a and the unload lock chamber 2703b are connected to the transfer chamber 2704, and the transfer chamber 2704 is connected to the process chamber 2706a, the process chamber 2706b, the process chamber 2706c, and the process chamber 2706 d.
Since the gate valve GV is provided at the connection portion between the chambers, the chambers can be independently maintained in a vacuum state except for the atmospheric substrate supply chamber 2701 and the atmospheric substrate transfer chamber 2702. A transfer robot 2763a is provided in the atmosphere-side substrate transfer chamber 2702, and a transfer robot 2763b is provided in the transfer chamber 2704. The substrate can be transferred in the manufacturing apparatus 2700 by using the transfer robot 2763a and the transfer robot 2763b.
The back pressure (total pressure) of the transfer chamber 2704 and the processing chambers is, for example, 1×10 -4 Pa or less, preferably 3×10 -5 Pa or less, and more preferably 1×10 -5 Pa or less. The mass-to-charge ratio (m/z) of the transfer chamber 2704 and each processing chamber is 18, and the partial pressure of gas molecules (atoms) is, for example, 3×10 -5 Pa or less, preferably 1×10 -5 Pa or less, and more preferably 3×10 -6 Pa or less. The partial pressure of the gas molecules (atoms) in the transfer chamber 2704 and each processing chamber at m/z of 28 is, for example, 3×10 -5 Pa or less, preferably 1×10 -5 Pa or less, and more preferably 3×10 -6 Pa or less. The partial pressure of the gas molecules (atoms) in the transfer chamber 2704 and each processing chamber at m/z of 44 is, for example, 3×10 -5 Pa or less, preferably 1×10 -5 Pa or less, and more preferably 3×10 -6 Pa or less.
The total pressure and partial pressure in the transfer chamber 2704 and the respective processing chambers can be measured using an ionization gauge, a mass analyzer, or the like.
The transfer chamber 2704 and each processing chamber preferably have a structure with little external leakage or internal leakage. For example, the leak rate of the transfer chamber 2704 is 1×10 0 Pa/min or less, preferably 5×10 -1 Pa/min or less. The leak rate of each treatment chamber is 1X 10 -1 Pa/min or less, preferably 5X 10 -2 Pa/min or less.
The leak rate may be derived from the total pressure and the partial pressure measured by an ionization gauge, a mass analyzer, or the like. For example, the total pressure may be derived from the total pressure at 10 minutes after the evacuation by a vacuum pump such as a turbo molecular pump and the total pressure at 10 minutes after the valve is closed. Note that the above-described full pressure at 10 minutes after the start of evacuation may be an average value at the time of measuring the full pressure a plurality of times.
The leak rate depends on the external leak and the internal leak. The external leakage is a phenomenon in which gas flows in from the outside of the vacuum system due to a minute hole, a defective seal, or the like. Internal leakage results from leakage from a diaphragm such as a valve in a vacuum system or from released gas from internal components. In order to set the leak rate to the above-described value or less, measures are required in both of the external leak and the internal leak.
For example, the transfer chamber 2704 and the opening/closing portions of the respective processing chambers are preferably sealed with a metal gasket. The metal gasket is preferably a metal covered with ferric fluoride, aluminum oxide or chromium oxide. The metal gasket has higher tightness than the O-ring, so that external leakage can be reduced. By using a metal covered with a passive state by iron fluoride, aluminum oxide, chromium oxide, or the like, the release gas containing impurities released from the metal gasket can be suppressed, whereby internal leakage can be reduced.
As a member constituting the manufacturing apparatus 2700, aluminum, chromium, titanium, zirconium, nickel, or vanadium containing less impurity-containing release gas is used. The alloy containing iron, chromium, nickel, and the like may be covered with the metal containing less impurity-containing gas emissions. The alloy containing iron, chromium, nickel and the like has rigidity, is heat-resistant and is suitable for processing. Here, by reducing irregularities on the surface of the member by polishing or the like to reduce the surface area, the released gas can be reduced.
Alternatively, a member such as iron fluoride, aluminum oxide, or chromium oxide may be used to cover the manufacturing apparatus 2700.
The member of the manufacturing apparatus 2700 is preferably made of only metal as much as possible, and for example, when an observation window (viewingwindow) made of quartz or the like is provided, the surface of the observation window is preferably covered with iron fluoride, aluminum oxide, chromium oxide or the like having a thin thickness in order to suppress the release of gas.
Although the adherent substances present in the transfer chamber 2704 and the processing chambers adhere to the inner wall or the like and do not affect the pressure of the transfer chamber 2704 and the processing chambers, the adherent substances cause gas release generated when the transfer chamber 2704 and the processing chambers are exhausted. Therefore, although the leak rate does not relate to the exhaust speed, it is important to remove the adhering substances existing in the transfer chamber 2704 and each processing chamber as much as possible by using a pump having a high exhaust capacity and perform the exhaust in advance. In order to promote detachment of the attached matter, the transfer chamber 2704 and the processing chambers may be baked. By baking, the detachment speed of the attached matter can be increased to about 10 times. The baking is performed at 100 ℃ to 450 ℃. At this time, by removing the attached matter while introducing the inert gas into the transfer chamber 2704 and each processing chamber, the removal speed of water or the like which is not easily removed only by the exhaust gas can be further improved. Further, by heating the inert gas introduced at a temperature equal to the baking temperature, the speed of detachment of the deposit can be further increased. Here, noble gas is preferably used as the inert gas.
It is preferable that the pressure in the transfer chamber 2704 and each processing chamber be increased by introducing an inert gas such as a heated noble gas or oxygen, and that the transfer chamber 2704 and each processing chamber be subjected to the exhaust treatment again after a predetermined time has elapsed. The transfer chamber 2704 and the attachments in the respective processing chambers can be separated by introducing the heated gas, and impurities existing in the transfer chamber 2704 and the respective processing chambers can be reduced. It is effective to repeat this treatment 2 times or more and 30 times or less, preferably 5 times or more and 15 times or less. Specifically, the pressure in the transfer chamber 2704 and each processing chamber may be set to 0.1Pa or more and 10kPa or less, preferably 1Pa or more and 1kPa or less, more preferably 5Pa or more and 100Pa or less by introducing an inert gas or oxygen or the like at 40 ℃ or more and 400 ℃ or less, preferably 50 ℃ or more and 200 ℃ or less, and the period of holding the pressure may be set to 1 minute or more and 300 minutes, preferably 5 minutes or more and 120 minutes or less. Then, the transfer chamber 2704 and each processing chamber are exhausted for 5 minutes to 300 minutes, preferably 10 minutes to 120 minutes.
Next, the process chambers 2706b and 2706c will be described with reference to a schematic cross-sectional view shown in fig. 22.
The processing chambers 2706b and 2706c are processing chambers capable of performing microwave processing on an object to be processed, for example. Note that the processing chamber 2706b differs from the processing chamber 2706c only in the atmosphere at the time of performing microwave processing. Since the other structures of the process chamber 2706b and the process chamber 2706c are the same, they will be described together.
The processing chamber 2706b and the processing chamber 2706c include a slot antenna plate 2808, a dielectric plate 2809, a substrate holder 2812, and an exhaust port 2819. Further, a gas supply source 2801, a valve 2802, a high-frequency generator 2803, a waveguide 2804, a mode converter 2805, a gas pipe 2806, a waveguide 2807, a matching box 2815, a high-frequency power source 2816, a vacuum pump 2817, and a valve 2818 are provided outside the process chamber 2706b and the process chamber 2706 c.
The high frequency generator 2803 is connected to the mode converter 2805 through a waveguide 2804. The mode converter 2805 is connected to a slot antenna board 2808 through a waveguide 2807. The slot antenna plate 2808 is disposed in contact with the dielectric plate 2809. Further, a gas supply 2801 is connected to a mode converter 2805 through a valve 2802. Then, a gas is introduced into the process chambers 2706b and 2706c through the gas pipe 2806 passing through the mode converter 2805, the waveguide 2807, and the dielectric plate 2809. The vacuum pump 2817 has a function of exhausting gas or the like from the process chambers 2706b and 2706c through the valve 2818 and the exhaust port 2819. The high-frequency power source 2816 is connected to the substrate holder 2812 through a matching unit 2815.
The substrate holder 2812 has a function of holding a substrate 2811. For example, the substrate holder 2812 has a function of performing an electrostatic chuck or a mechanical chuck on the substrate 2811. Further, the substrate holder 2812 has a function of an electrode to which power is supplied by the high-frequency power source 2816. Further, the substrate holder 2812 includes a heating mechanism 2813 inside thereof and has a function of heating the substrate 2811.
As the vacuum pump 2817, for example, a dry pump, a mechanical booster pump, an ion pump, a titanium sublimation pump, a cryopump, a turbo molecular pump, or the like can be used. In addition, a cryotrap may be used in addition to the vacuum pump 2817. It is particularly preferable that the cryopump and the cryotrap be used to drain water efficiently.
As the heating means 2813, for example, a heating means that heats by a resistance heating element or the like may be used. Alternatively, a heating mechanism that heats by heat conduction or heat radiation of a medium such as a heated gas may be used. For example, RTA (RAPID THERMAL ANNEALING: rapid thermal annealing) such as GRTA (GAS RAPID THERMAL ANNEALING: gas rapid thermal annealing) or LRTA (LAMP RAPID THERMAL ANNEALING: lamp rapid thermal annealing) may be used. GRTA is heat treated with a high temperature gas. An inert gas is used as the gas.
In addition, the gas supply 2801 may be connected to the refiner through a mass flow controller. As the gas, a gas having a dew point of-80℃or lower, preferably-100℃or lower is preferably used. For example, an oxygen gas, a nitrogen gas, and a noble gas (argon gas or the like) can be used.
As the dielectric plate 2809, for example, silicon oxide (quartz), aluminum oxide (alumina), yttrium oxide (yttria), or the like may be used. Further, another protective layer may be formed on the surface of the dielectric plate 2809. As the protective layer, magnesium oxide, titanium oxide, chromium oxide, zirconium oxide, hafnium oxide, tantalum oxide, silicon oxide, aluminum oxide, yttrium oxide, or the like can be used. Since the dielectric plate 2809 is exposed to a particularly high density region of high density plasma 2810, which will be described later, damage can be reduced by providing a protective layer. As a result, the increase in particles and the like at the time of treatment can be suppressed.
The high frequency generator 2803 has a function of generating microwaves of, for example, 0.3GHz or more and 3.0GHz or less, 0.7GHz or more and 1.1GHz or less, or 2.2GHz or more and 2.8GHz or less. Microwaves generated by the high-frequency generator 2803 are transmitted to the mode converter 2805 through the waveguide 2804. In the mode converter 2805, the transmitted TE mode microwaves are converted into TEM mode microwaves. The microwaves are then transmitted to the slot antenna plate 2808 through the waveguide 2807. A plurality of slots are provided in the slot antenna plate 2808, and microwaves pass through the slots and the dielectric plate 2809. Then, an electric field is generated below the dielectric plate 2809, and a high-density plasma 2810 can be generated. The high-density plasma 2810 includes ions and radicals according to the kind of gas supplied from the gas supply source 2801. For example, the high density plasma 2810 includes oxygen radicals and the like.
At this time, by using ions and radicals generated in the high-density plasma 2810, quality of a film or the like over the substrate 2811 can be improved. In addition, it is sometimes preferable to bias the substrate 2811 side using a high-frequency power source 2816. As the high-frequency power source 2816, for example, RF (Radio Frequency) power sources having frequencies of 13.56MHz, 27.12MHz, and the like can be used. By applying a bias to the substrate side, ions in the high-density plasma 2810 can efficiently reach the deep portion of the opening of the film or the like over the substrate 2811.
For example, oxygen radical treatment using the high-density plasma 2810 can be performed in the process chamber 2706b or the process chamber 2706c by introducing oxygen from the gas supply source 2801.
Next, the process chambers 2706a and 2706d will be described with reference to a schematic cross-sectional view shown in fig. 23.
The processing chambers 2706a and 2706d are, for example, processing chambers capable of irradiating an object to be processed with electromagnetic waves. Note that the processing chamber 2706a differs from the processing chamber 2706d only in the kind of electromagnetic wave. Since the other structures of the processing chamber 2706a and the processing chamber 2706d are mostly the same, they will be described together.
The process chambers 2706a and 2706d include one or more lamps 2820, a substrate holder 2825, a gas inlet 2823, and an exhaust 2830. Further, a gas supply source 2821, a valve 2822, a vacuum pump 2828, and a valve 2829 are provided outside the process chamber 2706a and the process chamber 2706d, and the like.
The gas supply 2821 is connected to the gas inlet 2823 through a valve 2822. Vacuum pump 2828 is connected to exhaust 2830 through valve 2829. The lamp 2820 is disposed opposite to the substrate holder 2825. The substrate holder 2825 has a function of holding the substrate 2824. In addition, the substrate holder 2825 includes a heating mechanism 2826 inside thereof and has a function of heating the substrate 2824.
As the lamp 2820, for example, a light source having a function of emitting electromagnetic waves such as visible light or ultraviolet light can be used. For example, a light source having a function of emitting electromagnetic waves having a peak in a wavelength region of 10nm or more and 2500nm or less, 500nm or more and 2000nm or less, or 40nm or more and 340nm or less may be used.
For example, as the lamp 2820, a light source such as a halogen lamp, a metal halogen lamp, a xenon arc lamp, a carbon arc lamp, a high-pressure sodium lamp, or a high-pressure mercury lamp can be used.
For example, part or all of electromagnetic waves emitted from the lamp 2820 are sucked by the substrate 2824, whereby the quality of a film or the like on the substrate 2824 can be improved. For example, defects may be generated or reduced, or impurities may be removed. In addition, in the case where defects are generated or reduced, or impurities are removed while the substrate 2824 is heated, defects can be efficiently generated or reduced, impurities can be removed, or the like.
Alternatively, for example, the substrate 2824 may be heated by heating the substrate holder 2825 with electromagnetic waves emitted from the lamps 2820. In this case, it is not necessary to include a heating mechanism 2826 inside the substrate holder 2825.
The vacuum pump 2828 may refer to the description regarding the vacuum pump 2817. The heating means 2826 may refer to the description of the heating means 2813. In addition, the gas supply source 2821 may refer to the description about the gas supply source 2801.
The microwave processing apparatus usable in the present embodiment is not limited to the above-described microwave processing apparatus, and a microwave processing apparatus 2900 shown in fig. 24 may be used. The microwave processing apparatus 2900 includes a quartz tube 2901, an exhaust port 2819, a gas supply source 2801, a valve 2802, a high-frequency generator 2803, a waveguide 2804, a gas tube 2806, a vacuum pump 2817, and a valve 2818. In addition, the microwave processing apparatus 2900 includes a substrate holder 2902 that supports a plurality of substrates (2811_1 to 2811—n, n being an integer of 2 or more) within the quartz tube 2901. The microwave processing apparatus 2900 may include a heating unit 2903 outside the quartz tube 2901.
The microwaves generated by the high-frequency generator 2803 are irradiated to the substrate disposed in the quartz tube 2901 through the waveguide 2804. The vacuum pump 2817 is connected to the exhaust port 2819 through a valve 2818, and the pressure inside the quartz tube 2901 can be adjusted. The gas supply source 2801 is connected to a gas pipe 2806 through a valve 2802, so that a desired gas can be introduced into the quartz pipe 2901. In addition, the plurality of substrates inside the quartz tube 2901 can be heated to a desired temperature by the heating unit 2903. Or the gas supplied from the gas supply source 2801 may be heated by the heating unit 2903. The microwave processing apparatus 2900 can simultaneously perform heat treatment and microwave treatment on a plurality of substrates. In addition, the microwave treatment may be performed after heating the plurality of substrates. In addition, the heat treatment may be performed after the microwave treatment is performed on the plurality of substrates.
The substrates 2811_1 to 2811—n may be treated substrates forming a semiconductor device or a memory device, or a part of the substrates 2811_1 to 2811—n may be pseudo substrates. For example, the substrates 2811_1 and 2811_n may be pseudo substrates, and the substrates 2811_2 to 2811_n-1 may be handle substrates. In addition, the substrates 2811_1, 2811_2, 2811_n-1, and 2811_n may be pseudo substrates, and the substrates 2811_3 to 2811_n-2 may be processed substrates. By using the dummy substrate, a plurality of processed substrates can be uniformly processed at the time of microwave processing or heat processing, and unevenness between processed substrates can be reduced, which is preferable. For example, a dummy substrate is preferably disposed on a processing substrate closest to the high-frequency generator 2803 and the waveguide 2804, since the processing substrate can be prevented from being directly exposed to microwaves.
By using the above manufacturing apparatus, it is possible to suppress the contamination of impurities into the object to be treated and to improve the film quality.
< Modification example of semiconductor device >
An example of a semiconductor device according to an embodiment of the present invention will be described below with reference to fig. 6A to 9D.
A in each drawing is a plan view of the semiconductor device. B in each drawing is a sectional view along a portion of a chain line A1-A2 in a in each drawing. C in the drawings is a sectional view of a portion along a dash-dot line A3-A4 in a in the drawings. D in each drawing is a sectional view of a portion along a chain line A5-A6 in a in each drawing. For clarity, some constituent elements are omitted from the plan view of a in each drawing.
Note that in the semiconductor devices shown in a to D in the drawings, the same reference numerals are given to structures having the same functions as those of the constituent elements of the semiconductor device shown in structural examples of the semiconductor device. Note that the material constituting the semiconductor device in this section may be the material described in detail in < structural example of the semiconductor device >.
< Modification example 1 of semiconductor device >
The semiconductor device shown in fig. 6A to 6D is a modified example of the semiconductor device shown in fig. 1A to 1D. The semiconductor device shown in fig. 6A to 6D is different from the semiconductor device shown in fig. 1A to 1D in that each of the insulator 271 and the insulator 283 has a two-layered structure.
The insulator 271a includes an insulator 271a1 and an insulator 271a2 on the insulator 271a 1. The insulator 271b includes an insulator 271b1 and an insulator 271b2 on the insulator 271b 1.
The insulator 271a1 and the insulator 271b1 are preferably used as an insulating film having at least barrier properties against oxygen. Therefore, the insulator 271a1 and the insulator 271b1 preferably have a function of suppressing oxygen diffusion. This prevents oxygen contained in the insulator 280 from diffusing into the conductors 242a and 242b. Accordingly, the on-state current can be reduced by suppressing oxidation of the conductors 242a and 242b due to oxygen contained in the insulator 280, which increases the resistivity.
The insulator 271a2 and the insulator 271b2 are used as protective layers for the remaining insulators 271a1 and 271b 1. When the hard mask is removed after the conductive films to be the conductors 242a and 242b, the oxide film to be the oxide 230b, and the like are processed into islands, there is a concern that the insulating layers to be the insulators 271a1 and 271b1 are removed. Accordingly, by providing an insulating layer to be the insulator 271a2 and the insulator 271b2 between the hard mask and the insulating layers to be the insulator 271a1 and the insulator 271b1, the insulating layers to be the insulator 271a1 and the insulator 271b1 can be left. For example, when tungsten is used as the hard mask, silicon oxide or the like is preferably used as the insulator 271a2 and the insulator 271b 2.
The insulator 283 includes an insulator 283a and an insulator 283b on the insulator 283 a. The insulator 283a and the insulator 283b are preferably formed using the same material and in different ways. For example, silicon nitride is preferably deposited as the insulator 283a by a sputtering method and silicon nitride is preferably deposited as the insulator 283b by an ALD method. By using a sputtering method that does not require the use of a molecule containing hydrogen as a deposition gas, the hydrogen concentration in the insulator 282a can be reduced. In the case where pinholes, breaks, and the like are formed in a film deposited by a sputtering method, a portion overlapping the pinholes, breaks, and the like can be buried with a film deposited by an ALD method, which has excellent coverage.
Note that as shown in fig. 6B, a portion of the top surface of the insulator 283B is sometimes removed. In addition, it may be difficult to clearly detect the boundaries of the insulator 283a and the insulator 283 b.
The insulator 283a and the insulator 283b are not limited to a stacked structure made of the same material, and may have a stacked structure made of different materials.
< Modification example 2 of semiconductor device >
The semiconductor device shown in fig. 7A to 7D is a modified example of the semiconductor device shown in fig. 1A to 1D. The semiconductor device shown in fig. 7A to 7D is different from the semiconductor device shown in fig. 1A to 1D in that: the insulator 282 is not provided. Accordingly, in the semiconductor device shown in fig. 7A to 7D, the insulator 283 is in contact with the top surface of the conductor 260, the top surface of the insulator 280, the uppermost portion of the insulator 254, the uppermost portion of the insulator 250, and the uppermost portion of the insulator 252.
For example, when a sufficient amount of oxygen can be supplied to the oxide 230 by the microwave treatment or the like shown in fig. 15, the region 230bc can be made substantially i-shaped even if oxygen supply to the insulator 280 is not performed in the case where the insulator 282 is provided. In this case, as shown in fig. 7A to 7D, by adopting a structure in which the insulator 282 is not provided, the manufacturing process of the semiconductor device can be simplified, and improvement in productivity can be achieved.
< Modification example 3 of semiconductor device >
The semiconductor device shown in fig. 8A to 8D is a modified example of the semiconductor device shown in fig. 1A to 1D. The semiconductor device shown in fig. 8A to 8D is different from the semiconductor device shown in fig. 1A to 1D in that: an oxide 243 (oxide 243a, oxide 243 b) is provided. Oxide 243a is disposed between oxide 230b and conductor 242a, and oxide 243b is disposed between oxide 230b and conductor 242 b. Here, the oxide 243a is preferably in contact with the top surface of the oxide 230b and the bottom surface of the conductor 242 a. The oxide 243b preferably contacts the top surface of the oxide 230b and the bottom surface of the conductor 242 b.
The oxide 243 preferably has a function of inhibiting oxygen permeation. It is preferable to dispose an oxide 243 having a function of suppressing oxygen permeation between the conductor 242 serving as a source electrode or a drain electrode and the oxide 230b because the resistance between the conductor 242 and the oxide 230b is reduced. By adopting such a structure, the electrical characteristics, field effect mobility, and reliability of the transistor 200 can be improved in some cases.
As the oxide 243, a metal oxide containing the element M can also be used. In particular, aluminum, gallium, yttrium or tin is preferably used as element M. The concentration of element M of oxide 243 is preferably higher than oxide 230 b. Gallium oxide may be used as the oxide 243. In addition, a metal oxide such as in—m—zn oxide may be used as the oxide 243. Specifically, the atomic ratio of In to element M In the metal oxide for oxide 243 is preferably larger than the atomic ratio of In to element M In the metal oxide for oxide 230 b. The thickness of the oxide 243 is preferably 0.5nm or more and 5nm or less, more preferably 1nm or more and 3nm or less, and still more preferably 1nm or more and 2nm or less. The oxide 243 preferably has crystallinity. In the case where the oxide 243 has crystallinity, release of oxygen in the oxide 230 can be appropriately suppressed. For example, in the case where the oxide 243 has a crystal structure such as hexagonal crystal, release of oxygen in the oxide 230 may be suppressed.
< Modification example 4 of semiconductor device >
The semiconductor device shown in fig. 9A to 9D is a modified example of the semiconductor device shown in fig. 1A to 1D. The semiconductor device shown in fig. 9A to 9D is different from the semiconductor device shown in fig. 1A to 1D in that the insulator 283 is in contact with a portion of the top surface of the insulator 212. Accordingly, the transistor 200 is disposed in a region sealed by the insulator 283 and the insulator 212. With the above configuration, the hydrogen contained outside the sealed region can be suppressed from being mixed into the sealed region. In the transistor 200 shown in fig. 9A to 9D, the insulator 212 and the insulator 283 have a single-layer structure, but the present invention is not limited thereto. For example, the insulator 212 and the insulator 283 may each have a laminated structure of two or more layers.
The OS transistors such as the transistor 200 have small variations in electrical characteristics due to irradiation of radiation, that is, have high resistance to radiation, and thus can be suitably used even in an environment where radiation may be incident. For example, an OS transistor can be used appropriately in the case of use in a cosmic space. Specifically, an OS transistor can be used as a transistor constituting a semiconductor device provided in an aerospace plane, an artificial satellite, a space probe, or the like. Examples of the radiation include X-ray and neutron radiation. The space is, for example, a place having a height of 100km or more, but the space described in the present specification may include a thermal layer, an intermediate layer, and a stratosphere.
Alternatively, for example, an OS transistor may be used as a transistor constituting a semiconductor device provided in a nuclear power plant and a radioactive waste treatment field or a working robot of a treatment field. In particular, it can be suitably used as a transistor constituting a semiconductor device such as: the semiconductor device is provided in a remotely operated robot for remote operation such as removal of a reactor facility, extraction of nuclear fuel or fuel fragments, and field inspection in a space where a large amount of radioactive substances are contained.
< Application example of semiconductor device >
An example of a semiconductor device according to an embodiment of the present invention will be described below with reference to fig. 25.
Fig. 25A shows a top view of the semiconductor device 500. In fig. 25A, a direction parallel to the channel length direction of the transistor 200 is an x-axis, and a direction perpendicular to the x-axis is a y-axis. Fig. 25B is a cross-sectional view of a portion along the chain line A1-A2 in fig. 25A, which corresponds to a cross-sectional view of the transistor 200 in the channel length direction. Fig. 25C is a sectional view taken along the chain line A3-A4 in fig. 25A, which corresponds to the opening area 400 and the vicinity thereof. Note that in the plan view of fig. 25A, some constituent elements are omitted for clarity.
Note that in the semiconductor device shown in fig. 25A to 25C, the same reference numerals are given to structures having the same functions as those of the constituent elements of the semiconductor device shown in structural examples of the semiconductor device. Note that the material constituting the semiconductor device in this section may be the material described in detail in < structural example of the semiconductor device >.
The semiconductor device 500 shown in fig. 25A to 25C is a modified example of the semiconductor device shown in fig. 1A to 1D. The semiconductor device 500 shown in fig. 25A to 25C is different from the semiconductor device shown in fig. 1A to 1D in that: insulator 282 and insulator 280 are formed with an opening region 400. In addition, the semiconductor device shown in fig. 1A to 1D is different from the semiconductor device in that: a sealing portion 265 is formed so as to surround the plurality of transistors 200.
The semiconductor device 500 includes a plurality of transistors 200 and a plurality of opening regions 400 arranged in a matrix. In addition, a plurality of conductors 260 serving as gate electrodes of the transistors 200 are provided so as to extend in the y-axis direction. The opening region 400 is formed in a region that does not overlap with the oxide 230 and the conductor 260. Further, the sealing portion 265 is formed so as to surround the plurality of transistors 200, the plurality of conductors 260, and the plurality of opening regions 400. Note that the number, arrangement, and size of the transistor 200, the conductor 260, and the opening region 400 are not limited to those shown in fig. 25, and may be appropriately set according to the design of the semiconductor device 500.
As shown in fig. 25B and 25C, the sealing portion 265 is provided so as to surround the plurality of transistors 200, the insulator 216, the insulator 222, the insulator 275, the insulator 280, and the insulator 282. In other words, the insulator 283 is provided so as to cover the insulator 216, the insulator 222, the insulator 275, the insulator 280, and the insulator 282. In addition, in the sealing portion 265, the insulator 283 is in contact with the top surface of the insulator 214. Further, an insulator 274 is provided between the insulator 283 and the insulator 285 above the sealing portion 265. The top surface of insulator 274 has a height substantially equal to the uppermost height of insulator 283. As the insulator 274, an insulator similar to the insulator 280 can be used.
By adopting such a structure, the plurality of transistors 200 can be surrounded by the insulator 283, the insulator 214, and the insulator 212. Here, one or more of the insulator 283, the insulator 214, and the insulator 212 is preferably used as the hydrogen blocking insulating film. Thereby, hydrogen contained outside the region of the seal portion 265 can be suppressed from entering the region of the seal portion 265.
As shown in fig. 25C, in the opening region 400, the insulator 282 has an opening. In the opening region 400, the insulator 280 may have a groove portion overlapping with the opening portion of the insulator 282. The depth of the groove of the insulator 280 may be as deep as the top surface of the insulator 275 is exposed, and may be, for example, about 1/4 to 1/2 of the maximum thickness of the insulator 280.
As shown in fig. 25C, the insulator 283 is in contact with the side surface of the insulator 282, the side surface of the insulator 280, and the top surface of the insulator 280 inside the opening region 400. In the opening region 400, a part of the insulator 274 may be formed so as to fit into a recess formed in the insulator 283. At this time, the top surface of the insulator 274 formed in the opening region 400 may be substantially equal to the uppermost surface of the insulator 283.
By performing the heat treatment in a state where such an opening region 400 is formed and the opening insulator 280 of the insulator 282 is exposed, a part of oxygen contained in the insulator 280 can be diffused to the outside from the opening region 400 while oxygen is supplied to the oxide 230. Thereby, it is possible to supply ten oxygen from the insulator 280 containing oxygen detached by heating to a region of the oxide semiconductor layer which is used as a channel formation region and the vicinity thereof, and it is possible to prevent the oxygen from being supplied excessively.
At this time, hydrogen contained in the insulator 280 may be bonded to oxygen, which is released to the outside through the opening region 400. Hydrogen bonded to oxygen is released as water. Accordingly, hydrogen contained in the insulator 280 can be reduced, and hydrogen contained in the insulator 280 can be reduced from entering the oxide 230.
In fig. 25A, the shape of the opening area 400 is substantially rectangular in plan view, but the present invention is not limited thereto. For example, the shape of the opening area 400 in a plan view may be rectangular, elliptical, circular, diamond-shaped, or a combination of these shapes. In addition, the area and arrangement pitch of the opening region 400 can be appropriately set according to the design of the semiconductor device including the transistor 200. For example, in a region where the density of the transistor 200 is low, the area of the opening region 400 may be enlarged or the arrangement pitch of the opening region 400 may be reduced. For example, in a region where the density of the transistor 200 is high, the area of the opening region 400 may be reduced or the arrangement pitch of the opening region 400 may be increased.
According to one aspect of the present invention, a novel transistor may be provided. According to one embodiment of the present invention, a semiconductor device with small non-uniformity in transistor characteristics can be provided. Further, according to one embodiment of the present invention, a semiconductor device having good electrical characteristics can be provided. Further, according to one embodiment of the present invention, a semiconductor device with high reliability can be provided. Further, according to one embodiment of the present invention, a semiconductor device having a high on-state current can be provided. Further, according to one embodiment of the present invention, a semiconductor device having high field effect mobility can be provided. Further, according to one embodiment of the present invention, a semiconductor device having excellent frequency characteristics can be provided. Further, according to one embodiment of the present invention, a semiconductor device which can be miniaturized or highly integrated can be provided. Further, according to an embodiment of the present invention, a semiconductor device with low power consumption can be provided.
As described above, at least a part of the structure, method, and the like described in this embodiment can be implemented in appropriate combination with other embodiments and other examples described in this specification.
(Embodiment 2)
In this embodiment mode, one embodiment of a semiconductor device is described with reference to fig. 26 to 30.
[ Storage device 1]
Fig. 26 shows an example of a semiconductor device (memory device) according to an embodiment of the present invention. In the semiconductor device according to one embodiment of the present invention, the transistor 200 is provided above the transistor 300, and the capacitor 100 is provided above the transistor 300 and the transistor 200. As the transistor 200, the transistor 200 described in the above embodiment mode can be used.
The transistor 200 is a transistor whose channel is formed in a semiconductor layer including an oxide semiconductor. Since the off-state current of the transistor 200 is low, the memory content can be maintained for a long period of time by using it for the memory device. In other words, since the refresh operation is not required or the frequency of the refresh operation is extremely low, the power consumption of the memory device can be sufficiently reduced.
In the semiconductor device shown in fig. 26, a wiring 1001 is electrically connected to a source of the transistor 300, and a wiring 1002 is electrically connected to a drain of the transistor 300. Further, the wiring 1003 is electrically connected to one of a source and a drain of the transistor 200, the wiring 1004 is electrically connected to a first gate of the transistor 200, and the wiring 1006 is electrically connected to a second gate of the transistor 200. Further, the other of the gate of the transistor 300 and the source and the drain of the transistor 200 is electrically connected to one electrode of the capacitor 100, and the wiring 1005 is electrically connected to the other electrode of the capacitor 100.
Further, the memory devices shown in fig. 26 are arranged in a matrix, whereby a memory cell array can be configured.
< Transistor 300>
The transistor 300 is disposed on the substrate 311, and includes: a conductor 316 serving as a gate, an insulator 315 serving as a gate insulator, a semiconductor region 313 constituted by a portion of the substrate 311, and a low-resistance region 314a and a low-resistance region 314b serving as source regions or drain regions. Transistor 300 may be p-channel or n-channel.
Here, in the transistor 300 shown in fig. 26, the semiconductor region 313 (a portion of the substrate 311) forming a channel has a convex shape. The conductor 316 is provided so as to cover the side surfaces and the top surface of the semiconductor region 313 with an insulator 315 interposed therebetween. In addition, a material for adjusting the work function can be used for the conductor 316. Such a transistor 300 is also referred to as a FIN-type transistor because of the use of a convex portion of a semiconductor substrate. Further, an insulator having a mask for forming the convex portion may be provided so as to be in contact with the upper surface of the convex portion. Although the case where the protruding portion is formed by processing a part of the semiconductor substrate is described here, the semiconductor film having the protruding portion may be formed by processing an SOI substrate.
Note that the structure of the transistor 300 shown in fig. 26 is only an example, and is not limited to the above-described structure, and an appropriate transistor may be used according to a circuit structure or a driving method.
< Capacitor 100>
The capacitor 100 is disposed above the transistor 200. The capacitor 100 includes a conductor 110 serving as a first electrode, a conductor 120 serving as a second electrode, and an insulator 130 serving as a dielectric. Here, the insulator 130 is preferably an insulator that can be used as the insulator 283 shown in the above embodiment.
For example, the conductor 112 and the conductor 110 provided on the conductor 240 may be formed simultaneously. Further, the conductor 112 is used as a plug or wiring electrically connected to the capacitor 100, the transistor 200, or the transistor 300.
In fig. 26, the conductor 112 and the conductor 110 have a single-layer structure, but the structure is not limited to this, and may have a laminated structure of two or more layers. For example, a conductor having high adhesion to a conductor having barrier properties and a conductor having high conductivity may be formed between a conductor having barrier properties and a conductor having high conductivity.
The insulator 130 may be formed of, for example, silicon oxide, silicon oxynitride, silicon nitride, aluminum oxide, aluminum oxynitride, aluminum nitride oxide, aluminum nitride, hafnium oxide, hafnium oxynitride, hafnium nitride, or the like, and may be stacked or formed in a single layer.
For example, a stacked structure of a material having a high dielectric strength such as silicon oxynitride and a high dielectric constant (high-k) material is preferably used for the insulator 130. By adopting this structure, the capacitor 100 can include an insulator with a high dielectric constant (high-k) to ensure sufficient capacitance, and can include an insulator with a high dielectric strength to improve dielectric strength, so that electrostatic destruction of the capacitor 100 can be suppressed.
Note that as a high dielectric constant (high-k) material (a material having a high relative dielectric constant), gallium oxide, hafnium oxide, zirconium oxide, an oxide containing aluminum and hafnium, an oxynitride containing aluminum and hafnium, an oxide containing silicon and hafnium, an oxynitride containing silicon and hafnium, a nitride containing silicon and hafnium, or the like can be given.
On the other hand, as a material having a high dielectric strength (a material having a low relative dielectric constant), there are silicon oxide, silicon oxynitride, silicon nitride oxide, silicon nitride, silicon oxide added with fluorine, silicon oxide added with carbon and nitrogen, silicon oxide having voids, resin, and the like.
< Wiring layer >
Wiring layers including interlayer films, wirings, plugs, and the like may be provided between the respective structures. Further, the wiring layer may be provided as a plurality of layers according to design. Here, in the conductor having a function of a plug or a wiring, a plurality of structures may be denoted by the same symbol. In this specification, the wiring and the plug electrically connected to the wiring may be one component. That is, a part of the electric conductor is sometimes used as a wiring, and a part of the electric conductor is sometimes used as a plug.
For example, an insulator 320, an insulator 322, an insulator 324, and an insulator 326 are sequentially stacked as interlayer films on the transistor 300. Further, a conductor 328, a conductor 330, and the like electrically connected to the capacitor 100 or the transistor 200 are buried in the insulator 320, the insulator 322, the insulator 324, and the insulator 326. Further, the electric conductor 328 and the electric conductor 330 are used as plugs or wirings.
Further, an insulator used as an interlayer film may be used as a planarizing film covering the concave-convex shape thereunder. For example, planarization may be performed by a planarization process using a Chemical Mechanical Polishing (CMP) method or the like in order to improve the flatness of the top surface of the insulator 322.
Further, a wiring layer may be provided on the insulator 326 and the conductor 330. For example, in fig. 26, an insulator 350, an insulator 352, and an insulator 354 are stacked in this order. Further, conductors 356 are formed in the insulator 350, the insulator 352, and the insulator 354. The conductor 356 is used as a plug or wiring.
Similarly, the insulator 210, the insulator 212, the insulator 214, and the insulator 216 are filled with a conductor 218, a conductor (conductor 205) constituting the transistor 200, and the like. Further, the conductor 218 is used as a plug or a wiring electrically connected to the capacitor 100 or the transistor 300. Further, an insulator 150 is provided on the conductor 120 and the insulator 130.
Here, like the insulator 241 in the above embodiment, the insulator 217 is provided so as to be in contact with the side surface of the conductor 218 used as a plug. The insulator 217 is provided in contact with the inner walls of openings formed in the insulator 210, the insulator 212, the insulator 214, and the insulator 216. In other words, insulator 217 is disposed between conductor 218 and insulator 210, insulator 212, insulator 214, and insulator 216. The conductor 205 may be formed in parallel with the conductor 218, so the insulator 217 is sometimes formed in contact with the side surface of the conductor 205.
As the insulator 217, an insulator such as silicon nitride, aluminum oxide, or silicon oxynitride can be used. Since the insulator 217 is provided in contact with the insulator 210, the insulator 212, the insulator 214, and the insulator 222, it is possible to suppress impurities such as water and hydrogen from entering the oxide 230 from the insulator 210, the insulator 216, and the like through the conductor 218. In particular, silicon nitride has high barrier properties against hydrogen, so that it is preferable. Further, oxygen contained in the insulator 210 or the insulator 216 can be prevented from being absorbed by the conductor 218.
The insulator 217 may be formed using the same method as the insulator 241. For example, silicon nitride is deposited using a PEALD process, and an opening to the conductor 356 may be formed using an anisotropic etch.
As an insulator which can be used as an interlayer film, there are oxides, nitrides, oxynitrides, metal oxides, metal oxynitrides, and the like having insulating properties.
For example, by using a material having a relatively low dielectric constant for an insulator used as an interlayer film, parasitic capacitance generated between wirings can be reduced. Therefore, the material is preferably selected according to the function of the insulator.
For example, the insulator 150, the insulator 210, the insulator 352, the insulator 354, and the like are preferably insulators having a low relative dielectric constant. For example, the insulator preferably contains silicon oxide added with fluorine, silicon oxide added with carbon and nitrogen, silicon oxide having voids, resin, or the like. Or the insulator preferably has a stacked structure of silicon oxide, silicon oxynitride, silicon nitride, silicon oxide added with fluorine, silicon oxide added with carbon and nitrogen, or silicon oxide having voids and resin. Since silicon oxide and silicon oxynitride have thermal stability, a stacked structure having thermal stability and low relative dielectric constant can be realized by combining them with a resin. Examples of the resin include polyesters, polyolefins, polyamides (nylon, aramid, etc.), polyimides, polycarbonates, and acrylic resins.
Further, the transistor using an oxide semiconductor is surrounded by an insulator having a function of suppressing permeation of impurities such as hydrogen and oxygen, whereby the electrical characteristics of the transistor can be stabilized. Accordingly, as the insulator 214, the insulator 212, the insulator 350, and the like, an insulator having a function of suppressing permeation of impurities such as hydrogen and oxygen may be used.
As an insulator having a function of suppressing permeation of impurities such as hydrogen and oxygen, an insulator containing boron, carbon, nitrogen, oxygen, fluorine, magnesium, aluminum, silicon, phosphorus, chlorine, argon, gallium, germanium, yttrium, zirconium, lanthanum, neodymium, hafnium, or tantalum can be used in a single layer or stacked layers. Specifically, as an insulator having a function of suppressing permeation of impurities such as hydrogen and oxygen, a metal oxide such as aluminum oxide, magnesium oxide, gallium oxide, germanium oxide, yttrium oxide, zirconium oxide, lanthanum oxide, neodymium oxide, hafnium oxide, tantalum oxide and the like, silicon oxynitride, silicon nitride and the like can be used.
As a conductor which can be used for wiring and a plug, a material containing one or more metal elements selected from aluminum, chromium, copper, silver, gold, platinum, tantalum, nickel, titanium, molybdenum, tungsten, hafnium, vanadium, niobium, manganese, magnesium, zirconium, beryllium, indium, ruthenium, and the like can be used. Further, a semiconductor having high conductivity typified by polycrystalline silicon containing an impurity element such as phosphorus, and a silicide such as nickel silicide may be used.
For example, as the conductor 328, the conductor 330, the conductor 356, the conductor 218, the conductor 112, and the like, a conductive material such as a metal material, an alloy material, a metal nitride material, or a metal oxide material formed of the above materials may be used in a single layer or a stacked layer. It is preferable to use a high melting point material such as tungsten or molybdenum having both heat resistance and conductivity, and tungsten is preferably used. Or preferably using a low resistance conductive material such as aluminum, copper, or the like. The wiring resistance can be reduced by using a low-resistance conductive material.
< Wiring or plug provided with layer of oxide semiconductor >
Note that when an oxide semiconductor is used for the transistor 200, an insulator having an excess oxygen region may be provided in the vicinity of the oxide semiconductor. In this case, an insulator having barrier properties is preferably provided between the insulator having the excess oxygen region and the conductor provided to the insulator having the excess oxygen region.
For example, in fig. 26, an insulator 241 is preferably provided between an insulator 280 having excess oxygen and the conductor 240. By providing the insulator 241 in contact with the insulator 222, the insulator 282, and the insulator 283, the transistor 200 can have a structure sealed with an insulator having barrier properties.
That is, by providing the insulator 241, the excess oxygen of the insulator 280 can be suppressed from being absorbed by the conductor 240. Further, by having the insulator 241, diffusion of hydrogen as an impurity to the transistor 200 through the conductor 240 can be suppressed.
Further, as the insulator 241, an insulating material having a function of suppressing diffusion of impurities such as water and hydrogen, and oxygen is preferably used. For example, silicon nitride, silicon oxynitride, aluminum oxide, hafnium oxide, or the like is preferably used. In particular, silicon nitride has high barrier properties against hydrogen, so that it is preferable. For example, a metal oxide such as magnesium oxide, gallium oxide, germanium oxide, yttrium oxide, zirconium oxide, lanthanum oxide, neodymium oxide, or tantalum oxide may be used.
As in the above embodiment, the transistor 200 may be sealed with the insulator 212, the insulator 214, the insulator 282, and the insulator 283. By adopting the above-described structure, the incorporation of hydrogen contained in the insulator 274, the insulator 150, or the like into the insulator 280 or the like can be reduced.
Here, the conductor 240 penetrates the insulator 283 and the insulator 282, the conductor 218 penetrates the insulator 214 and the insulator 212, and the insulator 241 is provided in contact with the conductor 240 and the insulator 217 is provided in contact with the conductor 218 as described above. This can reduce the mixing of hydrogen into the inside of the insulator 212, the insulator 214, the insulator 282, and the insulator 283 through the conductors 240 and 218. In this manner, the transistor 200 can be sealed with the insulator 212, the insulator 214, the insulator 282, the insulator 283, the insulator 241, and the insulator 217, and impurities such as hydrogen contained in the insulator 274 or the like can be reduced from being mixed in from the outside.
< Cutting line >
Next, dicing lines (sometimes referred to as dicing lines, breaking lines, or cutting lines) provided when dividing a large-area substrate into a plurality of semiconductor devices having a chip shape for each semiconductor device will be described. As a dividing method, for example, after grooves (dicing lines) for dividing semiconductor modules are first formed in a substrate, the grooves are cut at the dicing lines, and a plurality of divided (divided) semiconductor devices are obtained.
Here, for example, as shown in fig. 26, it is preferable to design such that the region where the insulator 283 and the insulator 214 are in contact overlaps with the dicing line. That is, openings are provided in the insulator 282, the insulator 280, the insulator 275, the insulator 222, and the insulator 216 in the vicinity of the region to be the dicing line provided at the edge of the memory cell including the plurality of transistors 200.
That is, in the openings provided in the insulator 282, the insulator 280, the insulator 275, the insulator 222, and the insulator 216, the insulator 214 is in contact with the insulator 283.
For example, openings may be formed in the insulator 282, the insulator 280, the insulator 275, the insulator 222, the insulator 216, and the insulator 214. By adopting such a structure, the insulator 212 is in contact with the insulator 283 in the openings provided in the insulator 282, the insulator 280, the insulator 275, the insulator 222, the insulator 216, and the insulator 214. At this time, the insulator 212 and the insulator 283 may be formed using the same material and the same method. By forming the insulator 212 and the insulator 283 using the same material and the same method, the compactability can be improved. For example, silicon nitride is preferably used.
By adopting this structure, the transistor 200 can be surrounded by the insulator 212, the insulator 214, the insulator 282, and the insulator 283. At least one of the insulator 212, the insulator 214, the insulator 282, and the insulator 283 has a function of suppressing diffusion of oxygen, hydrogen, and water, and therefore, even if a substrate is divided into a plurality of chips for each circuit region where the semiconductor element shown in this embodiment mode is formed, impurities such as hydrogen and water are prevented from being mixed in from the side surface direction of the divided substrate and diffusing to the transistor 200.
Further, by adopting this structure, the excessive oxygen in the insulator 280 can be prevented from diffusing to the outside. Accordingly, the excess oxygen in the insulator 280 is efficiently supplied to the channel-forming oxide in the transistor 200. Due to this oxygen, oxygen vacancies of the oxide forming a channel in the transistor 200 can be reduced. Thus, the oxide forming the channel in the transistor 200 can be an oxide semiconductor having a low defect state density and stable characteristics. That is, the reliability can be improved while suppressing variation in the electrical characteristics of the transistor 200.
Note that, in the memory device shown in fig. 26, a planar shape is adopted as the shape of the capacitor 100, but the memory device shown in the present embodiment is not limited thereto. For example, as shown in fig. 27, a cylindrical shape may be used as the shape of the capacitor 100. The structure under the insulator 150 of the memory device shown in fig. 27 is the same as the semiconductor device shown in fig. 26.
The capacitor 100 shown in fig. 27 includes an insulator 150 on the insulator 130, an insulator 142 on the insulator 150, a conductor 115 disposed in openings formed in the insulator 150 and the insulator 142, an insulator 145 on the conductor 115 and the insulator 142, a conductor 125 on the insulator 145, a conductor 125, and an insulator 152 on the insulator 145. Here, at least a part of the conductors 115, 145, and 125 are disposed in openings formed in the insulators 150 and 142.
The conductor 115 is used as a lower electrode of the capacitor 100, the conductor 125 is used as an upper electrode of the capacitor 100, and the insulator 145 is used as a dielectric of the capacitor 100. The capacitor 100 has a structure in which the upper electrode and the lower electrode are opposed to each other through a dielectric in the openings of the insulator 150 and the insulator 142 not only on the bottom surface but also on the side surfaces, and thus the capacitance per unit area can be increased. The greater the depth of the opening, the greater the electrostatic capacitance of the capacitor 100. Thus, by increasing the capacitance per unit area of the capacitor 100, miniaturization or high integration of the semiconductor device can be advanced.
As the insulator 152, an insulator that can be used as the insulator 280 can be used. Further, as the insulator 142, an insulator which is used as an etching stop layer when forming an opening of the insulator 150 and which can be used for the insulator 214 is preferably used.
The openings formed in the insulators 150 and 142 may have a square shape, a polygonal shape other than a square shape, a polygonal shape with arc-shaped corners, or a circular shape such as an ellipse in plan view. Here, the area where the opening overlaps with the transistor 200 is preferably large in plan view. By adopting such a structure, the occupied area of the semiconductor device including the capacitor 100 and the transistor 200 can be reduced.
The conductor 115 is disposed in contact with openings formed in the insulator 142 and the insulator 150. The top surface of electrical conductor 115 preferably substantially coincides with the top surface of insulator 142. Further, the bottom surface of the conductor 115 is in contact with the conductor 110 through the opening of the insulator 130. The conductor 115 is preferably deposited by an ALD method, a CVD method, or the like, and for example, a conductor usable for the conductor 205 may be used.
Insulator 145 is disposed so as to cover conductor 115 and insulator 142. For example, the insulator 145 is preferably deposited by an ALD method, a CVD method, or the like. As the insulator 145, for example, silicon oxide, silicon oxynitride, silicon nitride, zirconium oxide, aluminum oxynitride, aluminum nitride oxide, aluminum nitride, hafnium oxide, hafnium oxynitride, hafnium nitride, or the like is used, and a stacked-layer structure or a single-layer structure may be employed. For example, an insulating film in which zirconia, alumina, and zirconia are sequentially stacked can be used as the insulator 145.
In addition, a material having a high dielectric strength such as silicon oxynitride or a high dielectric constant (high-k) material is preferably used for the insulator 145. Alternatively, a laminate structure of a material having a high dielectric strength and a high dielectric constant (high-k) material may be used.
Note that as a high dielectric constant (high-k) material (a material having a high relative dielectric constant), gallium oxide, hafnium oxide, zirconium oxide, an oxide containing aluminum and hafnium, an oxynitride containing aluminum and hafnium, an oxide containing silicon and hafnium, an oxynitride containing silicon and hafnium, a nitride containing silicon and hafnium, or the like can be given. By having such a high-k material, the capacitance of the capacitor 100 can be sufficiently ensured even if the insulator 145 is thickened. By thickening the insulator 145, leakage current generated between the conductor 115 and the conductor 125 can be suppressed.
On the other hand, as a material having high dielectric strength, there are silicon oxide, silicon oxynitride, silicon nitride oxide, silicon nitride, silicon oxide added with fluorine, silicon oxide added with carbon and nitrogen, silicon oxide having voids, resin, and the like. For example, an insulating film in which silicon nitride (SiN x) deposited by the PEALD method, silicon oxide (SiO x) deposited by the PEALD method, and silicon nitride (SiN x) deposited by the PEALD method are stacked in this order may be used. Alternatively, an insulating film in which zirconium oxide, silicon oxide deposited by an ALD method, and zirconium oxide are sequentially stacked may be used. By using such an insulator having high dielectric strength, the dielectric strength is improved, and electrostatic breakdown of the capacitor 100 can be suppressed.
The conductor 125 is disposed so as to fill the openings formed in the insulator 142 and the insulator 150. Further, the conductor 125 is electrically connected to the wiring 1005 through the conductor 140 and the conductor 153. The conductor 125 is preferably deposited by an ALD method, a CVD method, or the like, and for example, a conductor usable for the conductor 205 may be used.
Further, the electric conductor 153 is provided on the insulator 154 and is covered with the insulator 156. The conductor 153 may be a conductor usable for the conductor 112, and the insulator 156 may be an insulator usable for the insulator 152. Here, the conductor 153 is in contact with the top surface of the conductor 140, and is used as a terminal of the capacitor 100, the transistor 200, or the transistor 300.
[ Storage device 2]
Fig. 28 shows an example of a semiconductor device (memory device) according to an embodiment of the present invention.
< Structural example of memory device >
Fig. 28 is a cross-sectional view of a semiconductor apparatus including a memory device 290. The memory device 290 shown in fig. 28 includes a capacitor device 292 in addition to the transistor 200 shown in fig. 1A to 1D. Fig. 28 is a sectional view of the transistor 200 in the channel length direction.
The capacitor device 292 includes a conductor 242b, an insulator 271b provided on the conductor 242b, an insulator 275 provided in contact with the top surface of the insulator 271b, the side surface of the insulator 271b, and the side surface of the conductor 242b, and a conductor 294 provided on the insulator 275. That is, the capacitive device 292 constitutes a MIM (Metal-Insulator-Metal) capacitor. Further, one of the pair of electrodes included in the capacitor 292, that is, the conductor 242b may also serve as a source electrode of the transistor. The dielectric layer included in the capacitor 292 may also serve as a protective layer provided in the transistor, that is, the insulator 271 and the insulator 275. Therefore, a part of the manufacturing process of the transistor can be used for the manufacturing process of the capacitor 292, so that a semiconductor device with high productivity can be obtained. Further, since one of the pair of electrodes included in the capacitor 292, that is, the conductor 242b serves as a source electrode of the transistor, the area where the transistor and the capacitor are arranged can be reduced.
As the conductor 294, for example, a material usable for the conductor 242 may be used.
< Modified example of memory device >
An example of a semiconductor device including the transistor 200 and the capacitor 292 according to one embodiment of the present invention, which is different from the semiconductor device shown in the above < structural example of a memory device >, will be described below with reference to fig. 29A, 29B, and 30. Note that in the semiconductor device shown in fig. 29A, 29B, and 30, the same reference numerals are given to structures having the same functions as those of the semiconductor device (see fig. 28) shown in the structure examples of the memory device and the embodiment described above. In this section, the constituent materials of the transistor 200 and the capacitor device 292 can be those described in detail in the above embodiment mode and < structural example of a memory device >. In addition, although the memory device shown in fig. 28 is used in fig. 29A, 29B, 30, and the like, it is not limited thereto.
Modification example 1 of memory device
Next, an example of a semiconductor device 600 including the transistor 200a, the transistor 200b, the capacitor 292a, and the capacitor 292b according to one embodiment of the present invention will be described with reference to fig. 29A.
Fig. 29A is a cross-sectional view in the channel length direction of a semiconductor device 600 including a transistor 200a, a transistor 200b, a capacitor 292a, and a capacitor 292 b. Here, the capacitor device 292a includes: a conductive body 242a; an insulator 271a on the conductor 242a; an insulator 275 in contact with the top surface of the insulator 271a, the side surface of the insulator 271a, and the side surface of the conductor 242a; and a conductor 294a on insulator 275. In addition, the capacitor device 292b includes: a conductor 242b; an insulator 271b on the conductor 242b; an insulator 275 in contact with the top surface of the insulator 271b, the side surface of the insulator 271b, and the side surface of the conductor 242b; and a conductor 294b on insulator 275.
As shown in fig. 29A, the semiconductor device 600 has an axisymmetric structure with the alternate long and short dash lines A3 to A4 serving as symmetry axes. The conductor 242c doubles as one of the source electrode and the drain electrode of the transistor 200a and one of the source electrode and the drain electrode of the transistor 200 b. Further, an insulator 271c is provided on the conductor 242 c. Further, the conductor 240 serving as a plug is used to connect the conductor 246 serving as a wiring to the transistor 200a and the transistor 200 b. By adopting the above-described structure as a connection relationship of the two transistors, the two capacitance devices, the wiring, and the plug, a semiconductor device which can be miniaturized or highly integrated can be provided.
The structures and effects of the transistor 200a, the transistor 200b, the capacitor 292a, and the capacitor 292b can be described with reference to the structure example of the semiconductor device shown in fig. 28.
Modification example 2 of memory device
The transistor 200a, the transistor 200b, the capacitor 292a, and the capacitor 292b are shown as structural examples of the semiconductor device in the above, but the semiconductor device shown in the present embodiment is not limited thereto. For example, as shown in fig. 29B, a semiconductor device 600 and a semiconductor device having the same structure as the semiconductor device 600 may be connected by a capacitor portion. In this specification, a semiconductor device including the transistor 200a, the transistor 200b, the capacitor 292a, and the capacitor 292b is referred to as a cell. The structures of the transistor 200a, the transistor 200b, the capacitor 292a, and the capacitor 292b can be described with reference to the transistor 200a, the transistor 200b, the capacitor 292a, and the capacitor 292 b.
Fig. 29B is a cross-sectional view of a semiconductor device 600 including a transistor 200a, a transistor 200B, a capacitor 292a, and a capacitor 292B, and a cell having the same structure as the semiconductor device 600 is connected by a capacitor portion.
As shown in fig. 29B, the conductor 294B, which is used as one electrode of the capacitor device 292B included in the semiconductor device 600, doubles as one electrode of the capacitor device included in the semiconductor device 601 having the same structure as the semiconductor device 600. Although not shown, the conductor 294a, which is used as one electrode of the capacitor 292a included in the semiconductor device 600, also serves as one electrode of the capacitor of the semiconductor device adjacent to the left side of the semiconductor device 600, that is, in the A1 direction of fig. 29B. Further, the cell on the right side of the semiconductor device 601, i.e., in the A2 direction of fig. 29B, also has the same structure. In other words, a cell array (may also be referred to as a memory device layer) may be constituted. By adopting the structure of the cell array, the interval between adjacent cells can be reduced, and thus the projected area of the cell array can be reduced, and high integration can be achieved. Further, by arranging the structure of the cell array shown in fig. 29B in a matrix, a matrix-like cell array can be configured.
As described above, by forming the transistor 200a, the transistor 200b, the capacitor device 292a, and the capacitor device 292b in the structure shown in this embodiment mode, the area of a cell can be reduced, and miniaturization or high integration of a semiconductor device including a cell array can be achieved.
The cell arrays may be stacked in addition to being arranged in a planar shape. Fig. 30 shows a cross-sectional view of a structure of a cell array 610 in which n layers are stacked. As shown in fig. 30, by stacking a plurality of cell arrays (cell arrays 610_1 to 610—n), cells can be integrally arranged without increasing the occupied area of the cell arrays. That is, a 3D cell array may be constructed.
As described above, at least a part of the structure, method, and the like described in this embodiment can be implemented in appropriate combination with other embodiments and other examples described in this specification.
Embodiment 3
In this embodiment mode, a memory device using a transistor using an oxide for a semiconductor (hereinafter, referred to as an OS transistor) and a capacitor (hereinafter, referred to as an OS memory device) according to one embodiment of the present invention will be described with reference to fig. 31A, 31B, and 32A to 32H. The OS memory device is a memory device including at least a capacitor and an OS transistor that controls charge and discharge of the capacitor. The OS memory device has excellent holding characteristics because the off-state current of the OS transistor is extremely low, and thus can be used as a nonvolatile memory.
< Structural example of storage device >
Fig. 31A shows an example of the structure of the OS storage device. The memory device 1400 includes peripheral circuitry 1411 and an array 1470 of memory cells. The peripheral circuit 1411 includes a row circuit 1420, a column circuit 1430, an output circuit 1440, and a control logic circuit 1460.
The column circuit 1430 includes, for example, a column decoder, a precharge circuit, a sense amplifier, a write circuit, and the like. The precharge circuit has a function of precharging the wiring. The sense amplifier has a function of amplifying a data signal read out from the memory cell. Note that the wirings described above are wirings connected to memory cells included in the memory cell array 1470, and details thereof are described below. The amplified data signal is output to the outside of the memory device 1400 through the output circuit 1440 as the data signal RDATA. Further, the row circuit 1420 includes, for example, a row decoder, a word line driver circuit, and the like, and can select a row to be accessed.
The memory device 1400 is externally supplied with a low power supply Voltage (VSS) as a power supply voltage, a high power supply Voltage (VDD) for the peripheral circuit 1411, and a high power supply Voltage (VIL) for the memory cell array 1470. Further, a control signal (CE, WE, RES), an address signal ADDR, and a data signal WDATA are externally input to the memory device 1400. The address signal ADDR is input to the row decoder and the column decoder, and the data signal WDATA is input to the write circuit.
The control logic circuit 1460 processes a control signal (CE, WE, RES) input from the outside to generate control signals for the row decoder and the column decoder. The control signal CE is a chip enable signal, the control signal WE is a write enable signal, and the control signal RES is a read enable signal. The signal processed by the control logic circuit 1460 is not limited to this, and other control signals may be input as needed.
The memory cell array 1470 includes a plurality of memory cells MC arranged in rows and columns and a plurality of wirings. Note that the number of wirings connecting the memory cell array 1470 and the row circuit 1420 depends on the structure of the memory cells MC, the number of memory cells MC included in one column, and the like. Further, the number of wirings connecting the memory cell array 1470 and the column circuit 1430 depends on the structure of the memory cells MC, the number of memory cells MC included in one row, and the like.
In addition, although fig. 31A shows an example in which the peripheral circuit 1411 and the memory cell array 1470 are formed on the same plane, the present embodiment is not limited thereto. For example, as shown in fig. 31B, the memory cell array 1470 may be arranged so as to overlap a part of the peripheral circuit 1411. For example, a sense amplifier may be provided so as to overlap with the memory cell array 1470.
Fig. 32A to 32H illustrate a configuration example of a memory cell which can be suitably used for the memory cell MC described above.
[DOSRAM]
Fig. 32A to 32C show circuit configuration examples of memory cells of the DRAM. In this specification and the like, a DRAM using a 1OS transistor 1 capacitor type memory cell is sometimes referred to as DOSRAM (Dynamic Oxide Semiconductor Random Access Memory ). The memory cell 1471 shown in fig. 32A includes a transistor M1 and a capacitor CA. Further, the transistor M1 includes a gate (sometimes referred to as a top gate) and a back gate.
A first terminal of the transistor M1 is connected to the first terminal of the capacitor CA, a second terminal of the transistor M1 is connected to the wiring BIL, a gate of the transistor M1 is connected to the wiring WOL, and a back gate of the transistor M1 is connected to the wiring BGL. A second terminal of the capacitor CA is connected to the wiring LL.
The wiring BIL is used as a bit line, and the wiring WOL is used as a word line. The wiring LL is used as a wiring for applying a prescribed potential to the second terminal of the capacitor CA. In writing data and reading data, the wiring LL may be at the ground potential or at the low-level potential. The wiring BGL is used as a wiring for applying a potential to the back gate of the transistor M1. By applying an arbitrary potential to the wiring BGL, the threshold voltage of the transistor M1 can be increased or decreased.
Here, the storage unit 1471 shown in fig. 32A corresponds to the storage device shown in fig. 28. That is, the transistor M1 corresponds to the transistor 200, and the capacitor CA corresponds to the capacitor device 292.
Further, the memory cell MC is not limited to the memory cell 1471, and the circuit configuration thereof may be changed. For example, the memory cell MC may be configured such that the back gate of the transistor M1 is not connected to the wiring BGL but connected to the wiring WOL as in the memory cell 1472 shown in fig. 32B. For example, the memory cell MC may be a memory cell including a transistor having a single gate structure, that is, a transistor M1 including no back gate, such as the memory cell 1473 shown in fig. 32C.
In the case where the semiconductor device described in the above embodiment mode is used for the memory cell 1471 or the like, the transistor 200 can be used as the transistor M1, and the capacitor 100 can be used as the capacitor CA. By using an OS transistor as the transistor M1, the off-state current of the transistor M1 can be made extremely low. In other words, since the written data can be held by the transistor M1 for a long time, the refresh frequency of the memory cell can be reduced. Or the refresh operation of the memory cell may not be performed. Further, since the off-state current is extremely low, multi-value data or analog data can be held in the memory cells 1471, 1472, 1473.
In DOSRAM, when the sense amplifier is provided so as to overlap with the memory cell array 1470, the bit line can be shortened. Thereby, the bit line capacitance is reduced, so that the holding capacitance of the memory cell can be reduced.
[NOSRAM]
Fig. 32D to 32G show circuit configuration examples of gain cell type memory cells of the 2-transistor 1 capacitor. The memory cell 1474 shown in fig. 32D includes a transistor M2, a transistor M3, and a capacitor CB. In addition, the transistor M2 includes a top gate (sometimes simply referred to as a gate) and a back gate. In this specification and the like, a memory device including a gain cell type memory cell in which an OS transistor is used for the transistor M2 is sometimes referred to as NOSRAM (Nonvolatile Oxide Semiconductor RAM ).
A first terminal of the transistor M2 is connected to the first terminal of the capacitor CB, a second terminal of the transistor M2 is connected to the wiring WBL, a gate of the transistor M2 is connected to the wiring WOL, and a back gate of the transistor M2 is connected to the wiring BGL. A second terminal of the capacitor CB is connected to the wiring CAL. A first terminal of the transistor M3 is connected to the wiring RBL, a second terminal of the transistor M3 is connected to the wiring SL, and a gate of the transistor M3 is connected to a first terminal of the capacitor CB.
The wiring WBL is used as a write bit line, the wiring RBL is used as a read bit line, and the wiring WOL is used as a word line. The wiring CAL is used as a wiring for applying a prescribed potential to the second terminal of the capacitor CB. In writing data and reading data, it is preferable to apply a high-level potential to the wiring CAL. In addition, when data is held, a low-level potential is preferably applied to the wiring CAL. The wiring BGL is used as a wiring for applying a potential to the back gate of the transistor M2. By applying an arbitrary potential to the wiring BGL, the threshold voltage of the transistor M2 can be increased or decreased.
Here, the storage unit 1474 shown in fig. 32D corresponds to the storage device shown in fig. 26 and 27. That is, the transistor M2 corresponds to the transistor 200, the capacitor CB corresponds to the capacitor 100, the transistor M3 corresponds to the transistor 300, the wiring WBL corresponds to the wiring 1003, the wiring WOL corresponds to the wiring 1004, the wiring BGL corresponds to the wiring 1006, the wiring CAL corresponds to the wiring 1005, the wiring RBL corresponds to the wiring 1002, and the wiring SL corresponds to the wiring 1001.
Further, the memory cell MC is not limited to the memory cell 1474, and the circuit configuration thereof may be appropriately changed. For example, the memory cell MC may be configured such that the back gate of the transistor M2 is not connected to the wiring BGL but connected to the wiring WOL as in the memory cell 1475 shown in fig. 32E. For example, the memory cell MC may be a memory cell including a transistor having a single gate structure, that is, a transistor M2 including no back gate, such as the memory cell 1476 shown in fig. 32F. For example, the memory cell MC may have a structure in which the wiring WBL and the wiring RBL are combined into one wiring BIL as in the memory cell 1477 shown in fig. 32G.
In the case where the semiconductor device described in the above embodiment mode is used for the memory cell 1474 or the like, the transistor 200 can be used as the transistor M2, the transistor 300 can be used as the transistor M3, and the capacitor 100 can be used as the capacitor CB. By using an OS transistor as the transistor M2, the off-state current of the transistor M2 can be made extremely low. Thus, since the written data can be held by the transistor M2 for a long time, the refresh frequency of the memory cell can be reduced. Or the refresh operation of the memory cell may not be performed. Further, since the off-state current is extremely low, multi-value data or analog data can be held in the memory cell 1474. The same applies to the memory cells 1475 to 1477.
The transistor M3 may be a transistor including silicon in a channel formation region (hereinafter, may be referred to as a Si transistor). The conductivity type of the Si transistor may be an n-channel type or a p-channel type. The field effect mobility of Si transistors is sometimes higher than that of OS transistors. Therefore, as the transistor M3 used as the readout transistor, a Si transistor can also be used. In addition, by using a Si transistor for the transistor M3, the transistor M2 can be provided so as to be stacked over the transistor M3, whereby the occupied area of the memory cell can be reduced, and the memory device can be highly integrated.
The transistor M3 may be an OS transistor. When OS transistors are used for the transistors M2 and M3, a circuit may be formed using only n-type transistors in the memory cell array 1470.
Further, fig. 32H shows an example of a gain cell type memory cell of a 3-transistor 1 capacitor. The memory cell 1478 shown in fig. 32H includes transistors M4 to M6 and a capacitor CC. The capacitor CC is suitably set. Memory cell 1478 is electrically connected to wiring BIL, wiring RWL, wiring WWL, wiring BGL, and wiring GNDL. The wiring GNDL is a wiring that supplies a low-level potential. Further, the memory cell 1478 may be electrically connected to the wiring RBL and the wiring WBL, not to the wiring BIL.
The transistor M4 is an OS transistor including a back gate electrically connected to the wiring BGL. In addition, the back gate and the gate of the transistor M4 may be electrically connected to each other. Or transistor M4 may not include a back gate.
Further, the transistors M5 and M6 may be n-channel type Si transistors or p-channel type Si transistors, respectively. Or the transistors M4 to M6 may be all OS transistors. In this case, a circuit may be configured using only n-type transistors in the memory cell array 1470.
When the semiconductor device described in the above embodiment mode is used for the memory cell 1478, the transistor 200 can be used as the transistor M4, the transistors 300 can be used as the transistors M5 and M6, and the capacitor 100 can be used as the capacitor CC. By using an OS transistor as the transistor M4, the off-state current of the transistor M4 can be made extremely low.
Note that the structures of the peripheral circuit 1411, the memory cell array 1470, and the like shown in this embodiment are not limited to the above-described structures. Further, the arrangement or function of these circuits and wirings, circuit elements, and the like connected to the circuits may be changed, removed, or added as necessary.
As described above, the structure, method, and the like shown in this embodiment can be implemented in appropriate combination with other structures, methods, and the like shown in other embodiments.
Embodiment 4
In this embodiment, an example of a chip 1200 on which the semiconductor device of the present invention is mounted is described with reference to fig. 33A and 33B. A plurality of circuits (systems) are mounted on the chip 1200. As such, a technology in which a plurality of circuits (systems) are integrated on one Chip is sometimes referred to as a System on Chip (SoC).
As shown in fig. 33A, the chip 1200 includes a CPU1211, a GPU1212, one or more analog computation portions 1213, one or more memory controllers 1214, one or more interfaces 1215, one or more network circuits 1216, and the like.
The chip 1200 is provided with bumps (not shown) connected to the first surface of the package substrate 1201 as shown in fig. 33B. Further, a plurality of bumps 1202 are provided on the back surface of the first surface of the package substrate 1201, and the bumps 1202 are connected to the motherboard 1203.
Further, a storage device such as a DRAM1221 or a flash memory 1222 may be provided on the motherboard 1203. For example, DOSRAM shown in the above embodiment can be applied to the DRAM1221. Further, NOSRAM shown in the above embodiment can be applied to the flash memory 1222, for example.
The CPU1211 preferably has a plurality of CPU cores. Furthermore, the GPU1212 preferably has multiple GPU cores. Further, the CPU1211 and the GPU1212 may each have a memory that temporarily stores data. Alternatively, a memory commonly used by the CPU1211 and the GPU1212 may be provided on the chip 1200. The above NOSRAM or DOSRAM can be applied to the memory. Furthermore, the GPU1212 is suitable for parallel computing of multiple data, which may be used for image processing or product-sum operations. By providing an image processing circuit or a product-sum operation circuit using the oxide semiconductor of the present invention as the GPU1212, image processing and product-sum operation can be performed with low power consumption.
Further, since the CPU1211 and the GPU1212 are provided on the same chip, wiring between the CPU1211 and the GPU1212 can be shortened, and data transfer from the CPU1211 to the GPU1212, data transfer between memories possessed by the CPU1211 and the GPU1212, and operation result transfer from the GPU1212 to the CPU1211 after operation in the GPU1212 is completed can be performed at high speed.
The analog operation unit 1213 includes one or both of an a/D (analog/digital) conversion circuit and a D/a (digital/analog) conversion circuit. The product-sum operation circuit may be provided in the analog operation unit 1213.
The memory controller 1214 has a circuit used as a controller of the DRAM1221 and a circuit used as an interface of the flash memory 1222.
The interface 1215 has an interface circuit with external connection devices such as a display device, a speaker, a microphone, an image capturing device, a controller, and the like. The controller includes a mouse, a keyboard, a controller for a game machine, and the like. As the interface, USB (Universal Serial Bus: universal serial bus), HDMI (high-Definition Multimedia Interface: high-definition multimedia interface) (registered trademark), or the like can be used.
The network circuit 1216 includes a network circuit such as a LAN (LocalAreaNetwork: local area network). In addition, a network security circuit may be provided.
The above-described circuits (systems) may be formed on the chip 1200 through the same manufacturing process. Thus, even if the number of circuits required for the chip 1200 increases, the chip 1200 can be manufactured at low cost without increasing the number of manufacturing steps.
The motherboard 1203 including the package substrate 1201 provided with the chip 1200 having the GPU1212, the DRAM1221, and the flash memory 1222 may be referred to as a GPU module 1204.
The GPU module 1204 may reduce its size by having a chip 1200 using SoC technology. Furthermore, the GPU module 1204 is suitable for use in portable electronic devices such as smartphones, tablet terminals, laptop personal computers, portable (portable) gaming devices, and the like, due to its high image processing capability. Further, by using a product-sum operation circuit using the GPU1212, a method of Deep Neural Network (DNN), convolutional Neural Network (CNN), recurrent Neural Network (RNN), auto encoder, deep Boltzmann Machine (DBM), deep Belief Network (DBN), or the like may be performed, whereby the chip 1200 may be used as an AI chip, or the GPU module 1204 may be used as an AI system module.
As described above, at least a part of the structure, method, and the like described in this embodiment can be implemented in appropriate combination with other embodiments and other examples described in this specification.
Embodiment 5
The present embodiment shows an example of an electronic component and an electronic device to which the storage device or the like described in the above embodiment is mounted.
< Electronic Member >
First, an example of an electronic component in which the storage device 720 is incorporated will be described with reference to fig. 34A and 34B.
Fig. 34A shows a perspective view of the electronic component 700 and a substrate (circuit board 704) on which the electronic component 700 is mounted. The electronic component 700 shown in fig. 34A includes a memory device 720 within a mold 711. In fig. 34A, a part of the electronic component 700 is omitted to show the inside thereof. The electronic component 700 includes a land (land) 712 on the outside of the mold 711. The land 712 is electrically connected to an electrode pad 713, and the electrode pad 713 is electrically connected to the memory device 720 through a wire 714. The electronic component 700 is mounted on, for example, a printed circuit board 702. The circuit board 704 is completed by combining a plurality of such electronic components and electrically connecting them on the printed circuit board 702, respectively.
The memory device 720 includes a driving circuit layer 721 and a memory circuit layer 722.
Fig. 34B shows a perspective view of the electronic component 730. Electronic component 730 is an example of a SiP (SYSTEM IN PACKAGE: system on package) or MCM (Multi Chip Module: multi-chip Module). In the electronic component 730, a package substrate 732 (printed circuit board) is provided with a interposer 731, and the interposer 731 is provided with a semiconductor device 735 and a plurality of memory devices 720.
The electronic component 730 shows an example of using the storage device 720 as a high bandwidth memory (HBM: high Bandwidth Memory). Note that an integrated circuit (semiconductor device) such as CPU, GPU, FPGA can be used for the semiconductor device 735.
The package substrate 732 may use a ceramic substrate, a plastic substrate, a glass epoxy substrate, or the like. The board 731 may be a silicon board, a resin board, or the like.
The interposer 731 has a plurality of wirings and functions to electrically connect a plurality of integrated circuits having different pitches. The plurality of wirings are constituted by a single layer or a plurality of layers. Further, the interposer 731 has a function of electrically connecting an integrated circuit provided on the interposer 731 with an electrode provided on the package substrate 732. Therefore, the interposer is sometimes also referred to as a "rewiring substrate (rewiring substrate)" or an "intermediate substrate". In addition, a through electrode may be provided in the interposer 731, whereby the integrated circuit and the package substrate 732 may be electrically connected to each other through the through electrode. In addition, in the case of using a silicon interposer, a TSV (Through Silicon Via: through silicon via) may be used as the through electrode.
As the plug 731, a silicon plug is preferably used. Since the silicon interposer does not need to be provided with active elements, it can be manufactured at lower cost than an integrated circuit. On the other hand, since the wiring formation of the silicon interposer can be performed in the semiconductor process, fine wirings which are difficult to form when using the resin interposer can be easily formed.
In HBM, many wires need to be connected in order to achieve a wide memory bandwidth. For this reason, it is required that fine wiring can be formed at high density on a board on which HBM is mounted. Therefore, a silicon interposer is preferably used as the interposer on which the HBM is mounted.
In an SiP, MCM, or the like using a silicon interposer, degradation in reliability due to differences in expansion coefficient between an integrated circuit and the interposer is less likely to occur. Further, since the surface flatness of the silicon interposer is high, a connection failure is not easily generated between the integrated circuit provided on the silicon interposer and the silicon interposer. Silicon interposer is particularly preferred for 2.5D packaging (2.5D mounting), where multiple integrated circuits are arranged and disposed across the interposer.
Further, a heat sink (heat radiation plate) may be provided so as to overlap with the electronic component 730. In the case of providing a heat sink, it is preferable to make the heights of the integrated circuits provided on the board 731 uniform. For example, in the electronic component 730 shown in the present embodiment, it is preferable that the height of the memory device 720 is uniform with that of the semiconductor device 735.
In order to mount the electronic component 730 on another substrate, the electrode 733 may be provided on the bottom of the package substrate 732. Fig. 34B shows an example of forming the electrode 733 with a solder ball. The BGA (Ball GRID ARRAY: ball grid array) can be mounted by disposing solder balls in a matrix on the bottom of the package substrate 732. The electrode 733 may be formed using a conductive needle. The PGA (PIN GRIDARRAY: pin grid array) can be mounted by providing conductive pins in a matrix form on the bottom of the package substrate 732.
The electronic component 730 may be mounted on other substrates by various mounting means, not limited to BGA and PGA. For example, mounting methods such as SPGA (STAGGEREDPIN GRIDARRAY: staggered pin grid array), LGA (LANDGRIDARRAY: land grid array), QFP (QuadFlat Package: quad Flat package), QFJ (QuadFlatJ-LEADEDPACKAGE: quad J-lead Flat package), or QFN (Quad Flat No-LEADED PACKAGE: quad no-lead Flat package) may be employed.
As described above, the structure, method, and the like shown in this embodiment can be implemented in appropriate combination with other structures, methods, and the like shown in other embodiments.
Embodiment 6
In this embodiment, an application example of a memory device using the semiconductor device described in the above embodiment will be described. The semiconductor device according to the above embodiment can be applied to, for example, a storage device of various electronic devices (for example, an information terminal, a computer, a smart phone, an electronic book reader, a digital camera (including a video camera), a video recording/reproducing device, a navigation system, and the like). Note that herein, a computer includes a tablet computer, a notebook computer, a desktop computer, and a mainframe computer such as a server system. Or the semiconductor device shown in the above embodiment mode is applied to various removable storage devices such as a memory card (e.g., SD card), a USB memory, an SSD (solid state disk), and the like. Fig. 35A to 35E schematically show several structural examples of the removable storage device. For example, the semiconductor device shown in the above embodiment modes is processed into a packaged memory chip and used for various memory devices or removable memories.
Fig. 35A is a schematic diagram of a USB memory. USB memory 1100 includes a housing 1101, a cover 1102, a USB connector 1103, and a substrate 1104. The substrate 1104 is accommodated in the housing 1101. For example, a memory chip 1105 and a controller chip 1106 are mounted on the substrate 1104. The semiconductor device according to the above embodiment mode can be incorporated into a memory chip 1105 or the like.
Fig. 35B is an external schematic view of the SD card, and fig. 35C is a schematic view of the internal structure of the SD card. SD card 1110 includes a housing 1111, a connector 1112, and a substrate 1113. The substrate 1113 is accommodated in the housing 1111. For example, a memory chip 1114 and a controller chip 1115 are mounted on a substrate 1113. By providing the memory chip 1114 also on the back side of the substrate 1113, the capacity of the SD card 1110 can be increased. Further, a wireless chip having a wireless communication function may be provided on the substrate 1113. Thus, data of the memory chip 1114 can be read and written by wireless communication between the host device and the SD card 1110. The semiconductor device shown in the above embodiment modes can be incorporated into the memory chip 1114 or the like.
Fig. 35D is an external schematic view of the SSD, and fig. 35E is a schematic view of the internal structure of the SSD. SSD1150 includes a housing 1151, a connector 1152, and a substrate 1153. The substrate 1153 is accommodated in the housing 1151. For example, a memory chip 1154, a memory chip 1155, and a controller chip 1156 are mounted on the substrate 1153. The memory chip 1155 is a working memory of the controller chip 1156, and for example, DOSRAM chips may be used. By providing the memory chip 1154 also on the back surface side of the substrate 1153, the capacity of the SSD1150 can be increased. The semiconductor device shown in the above embodiment modes can be incorporated into a memory chip 1154 or the like.
As described above, at least a part of the structure, method, and the like described in this embodiment can be implemented in appropriate combination with other embodiments and other examples described in this specification.
Embodiment 7
The semiconductor device according to one embodiment of the present invention can be applied to a processor or a chip such as a CPU, a GPU, or the like. Fig. 36A to 36H show specific examples of an electronic device having a processor or a chip such as a CPU, a GPU, or the like according to one embodiment of the present invention.
< Electronic device and System >
A GPU or chip according to one embodiment of the present invention may be mounted on a wide variety of electronic devices. Examples of the electronic device include electronic devices having a large screen such as a television set, a display for a desktop or notebook type information terminal, a digital signage (DIGITAL SIGNAGE), and a large-sized game machine such as a pachinko machine, and examples thereof include a digital camera, a digital video camera, a digital photo frame, an electronic book reader, a mobile phone, a portable game machine, a portable information terminal, and a sound reproducing device. In addition, by providing a GPU or a chip according to an embodiment of the present invention in an electronic device, the electronic device can be provided with artificial intelligence.
The electronic device according to an embodiment of the present invention may include an antenna. By receiving the signal using the antenna, an image, information, or the like can be displayed on the display portion. Further, when the electronic device includes an antenna and a secondary battery, the antenna may be used for noncontact power transmission.
The electronic device according to one embodiment of the present invention may include a sensor (the sensor has a function of measuring force, displacement, position, velocity, acceleration, angular velocity, rotation speed, distance, light, liquid, magnetism, temperature, chemical substance, sound, time, hardness, electric field, current, voltage, electric power, radiation, flow rate, humidity, inclination, vibration, smell, or infrared ray).
The electronic device according to one embodiment of the present invention may have various functions. For example, it may have the following functions: a function of displaying various information (still image, moving picture, character image, etc.) on the display section; a function of the touch panel; a function of displaying a calendar, date, time, or the like; executing functions of various software (programs); a function of performing wireless communication; a function of reading out a program or data stored in the storage medium; etc. Fig. 36A to 36H show examples of the electronic apparatus.
[ Information terminal ]
Fig. 36A shows a mobile phone (smart phone) which is one of information terminals. The information terminal 5100 includes a housing 5101 and a display portion 5102, and the display portion 5102 includes a touch panel as an input interface and buttons are provided on the housing 5101.
By applying the chip of one embodiment of the present invention to the information terminal 5100, an application program using artificial intelligence can be executed. Examples of the application program using the user include an application program for recognizing a session to display the content of the session on the display portion 5102, an application program for recognizing characters or graphics inputted by the user to a touch panel provided in the display portion 5102 to display the characters or graphics on the display portion 5102, and an application program for performing biometric identification such as fingerprint or voiceprint.
Fig. 36B shows a notebook information terminal 5200. The notebook information terminal 5200 includes an information terminal main body 5201, a display portion 5202, and a keyboard 5203.
As with the information terminal 5100, by applying the chip according to one embodiment of the present invention to the notebook information terminal 5200, an application program using artificial intelligence can be executed. Examples of the application program using artificial intelligence include design support software, article collation software, and menu automatic generation software. In addition, novel artificial intelligence can be developed by using the notebook information terminal 5200.
Note that in the above example, fig. 36A and 36B show a smart phone and a notebook information terminal, respectively, as examples of electronic devices, but information terminals other than the smart phone and the notebook information terminal may be applied. Examples of information terminals other than smart phones and notebook type information terminals include PDAs (Personal DIGITAL ASSISTANT) and desktop information terminals, workstations, and the like.
[ Game machine ]
Fig. 36C illustrates a portable game machine 5300 as an example of the game machine. The portable game machine 5300 includes a housing 5301, a housing 5302, a housing 5303, a display portion 5304, a connection portion 5305, operation keys 5306, and the like. The housing 5302 and the housing 5303 can be detached from the housing 5301. By attaching the connection portion 5305 provided in the housing 5301 to another housing (not shown), the video output to the display portion 5304 can be output to another video display device (not shown). At this time, the housing 5302 and the housing 5303 can be used as the operation portions, respectively. Thus, a plurality of game players can play a game at the same time. The chips shown in the above embodiments may be embedded in chips or the like provided on the substrates of the housing 5301, the housing 5302, and the housing 5303.
In addition, fig. 36D shows a stationary game machine 5400 of one of the game machines. The stationary game machine 5400 is connected to the controller 5402 wirelessly or by wire.
By applying the GPU or the chip according to one embodiment of the present invention to a game machine such as the portable game machine 5300 and the stationary game machine 5400, a low-power-consumption game machine can be realized. Further, by virtue of low power consumption, heat generation from the circuit can be reduced, whereby adverse effects on the circuit itself, peripheral circuits, and modules due to heat generation can be reduced.
Further, by applying the GPU or the chip according to one embodiment of the present invention to the portable game machine 5300, the portable game machine 5300 provided with artificial intelligence can be realized.
The progress of the game, the language of the creatures occurring in the game, the appearance of phenomena occurring in the game, and the like are originally defined by the program of the game, but by applying artificial intelligence to the portable game machine 5300, it is possible to realize the appearance of the program not limited to the game. For example, the presentation of the content of a game player question, the progress of a game, time, the change in the language of a character appearing on the game, etc. may be achieved.
Further, when a game requiring a plurality of game players is played using the portable game machine 5300, the anthropomorphic game players can be constituted by using artificial intelligence, whereby the artificial intelligence game players can be regarded as opponents, and one person can play a game played by a plurality of persons.
Although fig. 36C and 36D show a portable game machine and a stationary game machine as an example of the game machine, the game machine to which the GPU or the chip of one embodiment of the present invention is applied is not limited to this. Examples of the game machine to which the GPU or the chip according to one embodiment of the present invention is applied include a arcade game machine installed in an amusement facility (a game center, an amusement park, or the like), a ball pitching machine for ball hitting practice installed in a sports facility, and the like.
[ Mainframe computer ]
The GPU or chip of one embodiment of the present invention may be applied to a mainframe computer.
Fig. 36E shows a supercomputer 5500 as an example of a mainframe computer. Fig. 36F shows a rack (rackmount) computer 5502 included in the super computer 5500.
The supercomputer 5500 includes a rack 5501 and a plurality of rack-mounted computers 5502. Note that a plurality of computers 5502 are housed in the chassis 5501. The computer 5502 is provided with a plurality of boards 5504, and GPUs and chips described in the above embodiments can be mounted on the boards.
The supercomputer 5500 is mainly a mainframe computer suitable for scientific computing. Since scientific calculation requires a huge operation at high speed, power consumption is large and heat generation of a chip is high. By applying the GPU or chip of one embodiment of the present invention to the supercomputer 5500, a supercomputer with low power consumption can be realized. In addition, by virtue of low power consumption, heat generation from the circuit can be reduced, whereby adverse effects on the circuit itself, peripheral circuits, and modules due to heat generation can be reduced.
In fig. 36E and 36F, a super computer is shown as an example of a mainframe computer, but a mainframe computer to which a GPU or a chip of one embodiment of the present invention is applied is not limited thereto. Examples of the mainframe computer to which the GPU or the chip according to one embodiment of the present invention is applied include a computer (server) that provides a service, a mainframe general-purpose computer (host), and the like.
[ Moving object ]
The GPU or the chip according to one embodiment of the present invention can be applied to an automobile as a moving body and the periphery of a driver's seat of the automobile.
Fig. 36G is a view showing a front windshield surrounding an automobile interior of an example of a mobile body. Fig. 36G shows a display panel 5701 mounted on a dashboard, a display panel 5702, a display panel 5703, and a display panel 5704 mounted on a pillar.
The display panels 5701 to 5703 can provide various information by displaying a speedometer, a tachometer, a travel distance, a fuel gauge, a gear state, and settings of an air conditioner. In addition, the user can appropriately change the display contents, layout, and the like displayed on the display panel according to the preference, and the designability can be improved. The display panels 5701 to 5703 can also be used as illumination devices.
By displaying an image captured by an imaging device (not shown) provided in the automobile on the display panel 5704, it is possible to compensate for a field of view (dead angle) blocked by the pillar. That is, by displaying an image captured by an imaging device provided outside the automobile, a dead angle can be compensated for, and safety can be improved. Further, by displaying an image that compensates for the invisible portion, the safety can be confirmed more naturally and more comfortably. The display panel 5704 can also be used as an illumination device.
Because the GPU or chip of one embodiment of the present invention can be used as a constituent element of artificial intelligence, the chip can be used for an automatic driving system of an automobile, for example. The chip may also be used in systems for navigation, hazard prediction, etc. In addition, information such as navigation and risk prediction may be displayed on the display panels 5701 to 5704.
Although an automobile is described as an example of the moving body in the above example, the moving body is not limited to an automobile. For example, as a mobile body, an electric car, a monorail, a ship, a flying object (a helicopter, an unmanned plane (unmanned plane), an airplane, a rocket), or the like can be given, and the chip according to one embodiment of the present invention can be applied to the mobile body to provide a system using artificial intelligence.
[ Electrical products ]
Fig. 36H shows an electric refrigerator-freezer 5800 which is an example of an electric product. The electric refrigerator-freezer 5800 includes a housing 5801, a refrigerator door 5802, a freezer door 5803, and the like.
By applying the chip according to one embodiment of the present invention to the electric refrigerator/freezer 5800, the electric refrigerator/freezer 5800 having artificial intelligence can be realized. By using artificial intelligence, the electric refrigerator-freezer 5800 can be provided with a function of automatically generating a menu based on food stored in the electric refrigerator-freezer 5800 or a consumption period of the food, and a function of automatically adjusting the temperature of the electric refrigerator-freezer 5800 according to the stored food.
The electric refrigerator-freezer is described as an example of the electric appliance, but examples of the other electric appliance include a vacuum cleaner, a microwave oven, an electric rice cooker, a water heater, an IH cooker, a water dispenser, a cooling and heating air conditioner including an air conditioner, a washing machine, a clothes dryer, an audio-visual appliance, and the like.
The electronic device described in this embodiment mode, the function of the electronic device, the application example of artificial intelligence, the effect thereof, and the like can be implemented in appropriate combination with the description of other electronic devices.
As described above, at least a part of the structure, method, and the like described in this embodiment can be implemented in appropriate combination with other embodiments and other examples described in this specification.
Examples (example)
In this example, samples including a plurality of transistors corresponding to the transistors shown in fig. 6A to 6D were produced, and the structure of the transistor, the crystallinity of the metal oxide included in the transistor, the electrical characteristics of the transistor, and the frequency characteristics of the transistor were evaluated.
[ Production of sample ]
The structure of the transistor included in the sample can be applied to fig. 6A to 6D. Note that, as a design value of a transistor included in a sample, a channel length was 20nm and a channel width was 20nm.
The method for producing the sample is described below. Note that the details of the manufacturing method can be referred to embodiment 1.
The insulator 212 uses silicon nitride with a thickness of 60 nm. Insulator 212 is deposited by pulsed DC sputtering using a silicon target.
The insulator 214 uses alumina having a thickness of 40 nm. Insulator 214 is deposited by pulsed DC sputtering using an aluminum target.
The insulator 216 uses silicon oxide with a thickness of 130 nm. Insulator 216 is deposited by pulsed DC sputtering using a silicon target.
Insulator 212, insulator 214, and insulator 216 are continuously deposited using a multi-chamber sputtering apparatus without exposure to the atmosphere.
The conductor 205a is formed using a titanium nitride film deposited by a metal CVD method. The conductor 205b is formed using a tungsten film deposited by a metal CVD method.
The insulator 222 uses hafnium oxide deposited by ALD to a thickness of 20 nm.
The insulator 224 is formed using silicon oxide deposited by a sputtering method to a thickness of 20nm.
The oxide 230a is formed using an In-Ga-Zn oxide deposited by a DC sputtering method to a thickness of 10 nm. Note that In is used In depositing an oxide film to be the oxide 230 a: ga: zn=1: 3:4[ atomic number ratio ].
The oxide 230b was formed using an In-Ga-Zn oxide deposited by a DC sputtering method to a thickness of 15 nm. Note that In is used In depositing an oxide film to be the oxide 230 b: ga: zn=1: 1:1.2[ atomic number ratio ].
The conductors 242a and 242b are formed using a tantalum nitride film having a thickness of 20nm deposited by sputtering. Note that the conductive films to be the conductors 242a and 242b are deposited using a metallic tantalum target in an atmosphere containing nitrogen.
The insulator 271a1 and the insulator 271b1 are formed using a silicon nitride film having a thickness of 5 nm. The insulator 271a2 and the insulator 271b2 are formed using a silicon oxide film. Note that the silicon nitride film and the silicon oxide film were continuously deposited using a multi-chamber sputtering apparatus so as not to be exposed to the atmosphere.
The insulator 275 uses silicon nitride deposited by ALD to a thickness of 5 nm.
The insulator 280 uses silicon oxide deposited by a sputtering method.
The insulator 252 is formed using an aluminum oxide film deposited by an ALD method to a thickness of 1 nm. Further, the insulator 250 is formed using a silicon oxide film deposited with a thickness of 3nm by an ALD method. In addition, the insulator 254 is formed using a silicon nitride film deposited by an ALD method to a thickness of 3 nm.
The conductor 260a is formed using a titanium nitride film deposited by a metal CVD method to a thickness of 5nm. Further, the conductor 260b is formed using a tungsten film deposited by a metal CVD method.
Alumina is used for the insulator 282. Insulator 282 is deposited by pulsed DC sputtering using an aluminum target.
The insulator 283a uses silicon nitride deposited by sputtering to a thickness of20 nm. Further, the insulator 283b uses silicon nitride deposited by an ALD method to a thickness of 5 nm.
The insulator 274 uses silicon oxynitride deposited by a CVD method. Further, silicon oxide deposited by a sputtering method to a thickness of 50nm is used for the insulator 285.
The insulator 241a and the insulator 241b are stacked using a first insulator and a second insulator. The first insulator is formed using an aluminum oxide film deposited by an ALD method, and the second insulator is formed using a silicon nitride film deposited by an ALD method.
The conductor 240a and the conductor 240b are each formed using a titanium nitride film and a stacked film of a tungsten film over the titanium nitride film. Note that the titanium nitride film and the tungsten film are deposited by a CVD method.
Through the above steps, a sample including a transistor was manufactured.
Fig. 37A to 37C are schematic diagrams of structures of transistors to be tested. Fig. 37A is a bird's eye view of the transistor, fig. 37B is a cross-sectional view of the transistor in the channel length direction, and fig. 37C is a cross-sectional view of the transistor in the channel width direction. The transistor has the same structure as the transistor 200 shown in the above embodiment mode, and includes a top gate electrode (Top gate electrode (TGE)), a top gate insulating layer (TGI), a back gate electrode (Backgateelectrode (BGE)), a back gate insulating layer (Backgate insulator (BGI)), an electrode (S/D electrode) used as a source or a drain, and the like. In addition, the transistor contains In-Ga-Zn oxide (CAAC-IGZO) having a CAAC structure In a channel formation region. Note that a stacked structure of an In-Ga-Zn oxide and an electrode used as a source or a drain has a structure processed into an Island shape (Island).
Note that the top gate electrode (Top Gate Electrode) shown in fig. 37A to 37C corresponds to the conductor 260A and the conductor 260b, the back gate electrode (BackGateElectrode) corresponds to the conductor 205, the back gate insulating layer (Back gate insulator) corresponds to the insulator 222 and the insulator 224, an electrode (S/D electrode) used as A source or A drain corresponds to the conductor 242A or the conductor 242b, and an active layer (also referred to as A semiconductor layer) including CAAC-IGZO corresponds to the oxide 230. Further, a top gate insulating layer (TGI) corresponds to the insulator 252, the insulator 250, and the insulator 254.
In the transistor included in the fabricated sample, the thickness of the active layer including CAAC-IGZO was 25nm, and the physical thickness of the top gate insulating layer was 7nm.
[ Planar view image ]
A planar SEM image was taken of a sample after forming an opening reaching the oxide 230b, for example, a part of the processing insulator 280. Note that "E3310-SE02 WAFER MVM-SEM manufactured by adewang test company" was used in the photographing of the planar SEM image.
Fig. 38A shows a captured planar SEM image. Note that a part of the planar SEM image corresponds to the top view shown in fig. 14A. Further, fig. 38B shows an enlarged view of a planar SEM image of the region shown in a solid rectangle in fig. 38A. Fig. 38A shows a Top Gate (Top Gate) with an arrow. Note that the region indicated by an arrow in fig. 38A can also be said to be an opening (GATE TRENCH ETCHING) provided by etching. In addition, a region shown by an arrow in fig. 38B is a Channel formation region (Channel).
[ Cross-sectional view image ]
A sectional STEM image was taken of the produced sample by "HD-2700" manufactured by Hitachi high technology Co. Fig. 39A shows a cross-sectional STEM image of the fabricated sample in the channel length direction, and fig. 39B shows a cross-sectional STEM image of the fabricated sample in the channel width direction. Fig. 39A is a sectional view of a portion along the broken line of A1-A2 in fig. 38B, and fig. 39B is a sectional view of a portion along the broken line of B1-B2 in fig. 38B. Note that in fig. 39A and 39B, no symbol is given to a part of the structure (for example, the insulator 271, the insulator 275, and the like).
Note that in fig. 39A and 39B, the length of each component is measured from the observation result of the cross-sectional STEM image. As is clear from the result of measurement using fig. 39A, the gate length (width Lg shown in fig. 4A) in the channel length direction of the transistor included in the sample was 6.7nm. Further, as is clear from the measurement result using fig. 39B, the length in the channel width direction of the interface of the oxide 230a and the oxide 230B included in the sample was 29.3nm.
Fig. 39C shows a cross-sectional STEM image of the channel formation region of the oxide 230b and its vicinity. Note that fig. 39C is an enlarged view of fig. 39A. A lattice image is observed in the cross-sectional STEM image shown in fig. 39C.
In addition, in the region shown by the chain line in fig. 39C, an FFT pattern is obtained by performing a fast fourier transform (FFT: fast Fourier Transform) process. Note that the FFT pattern obtained by performing the FFT processing has a pattern reflecting inverted lattice space information similar to that of the electron diffraction pattern. When the CAAC-OS is subjected to cross-sectional STEM image photographing in a direction perpendicular to its c-axis, it is possible to observe two spots of high intensity in the FFT image. The intensity of the two spots indicates the degree of crystallization of the CAAC-OS, while the angle of the line segment connecting the two spots indicates the crystal orientation of the CAAC-OS.
Fig. 39D shows the obtained FFT pattern. In the FFT pattern shown in fig. 39D, two points (points shown by arrows in fig. 39D) of high intensity are observed. Oxide 230b may be identified as CAAC-OS from the perspective of the line segment connecting the two points.
[ Evaluation of Electrical Properties ]
The electrical characteristics of the transistors included in the fabricated samples were evaluated. Here, as the electrical characteristic, an Id-Vg characteristic is measured. In the measurement of the Id-Vg characteristics, the drain voltage Vd is set to 0.1V or 1.2V, the source voltage Vs and the back gate voltage Vbg are set to 0V, and the top gate voltage Vg is scanned from-4V to +4v in 0.1V steps. The measurement was performed in a room temperature environment.
Fig. 40A shows Id-Vg characteristics of a transistor included in a manufactured sample. In fig. 40A, the first and second vertical axes represent Drain current (Drain current) of 1 μm per channel width, and the horizontal axis represents gate-source voltage (Vg) [ V ]. Note that the vertical axis on the left side (first vertical axis) is expressed in logarithmic scale (Log) in units of [ a/μm ]. The vertical axis on the right (second vertical axis) is expressed in Linear scale (Linear) in [ mu A/. Mu.m ]. In fig. 40A, the drain current Id (logarithmic scale) when the drain voltage Vd is 1.2V is shown by a solid line, and the drain current Id (linear scale) when the drain voltage Vd is 1.2V is shown by a broken line.
From fig. 40A, it can be confirmed that the transistor included in the manufactured sample has a normally-off characteristic and has a switching characteristic. Specifically, the Vth at the drain voltage Vd is 1.2V is 0.28V, SS is 172mV/dec, and gm is 3.8 μS. Note that, in this embodiment, vth is defined as the gate-source voltage Vg at which the drain current Id is 1 pA. Further, SS is a subthreshold slope. In addition, gm is transconductance.
Fig. 40B shows an Id-Vg characteristic of a transistor different from the transistor whose Id-Vg characteristic is shown in fig. 40A. In fig. 40B, the left vertical axis (first vertical axis) represents drain current (Id) [ a ], the right vertical axis (second vertical axis) represents field effect mobility (μfe) [ cm 2/Vs ], and the horizontal axis represents Vg [ V ]. In fig. 40B, the solid line indicates the drain current Id when the drain voltage Vd is 1.2V, the dash-dot line indicates the drain current Id when the drain voltage Vd is 0.1V, and the dashed line indicates the field effect mobility. Note that the field-effect mobility is calculated from a value measured by setting the drain voltage Vd to 1.2V.
From fig. 40A and 40B, it can be confirmed that the transistors included in the manufactured samples show good electrical characteristics.
Next, in the manufactured samples, id-Vg characteristics of thirty-six transistors in the range of 100mm 2 were measured to evaluate the variation in Vth.
Fig. 41 shows a normal probability distribution chart of Vth. In fig. 41, the horizontal axis represents Vth [ V ], and the vertical axis represents estimated cumulative probability (%). Note that, as a calculation method for estimating the cumulative probability (also referred to as cumulative relative frequency), a median rank method, an average rank method, a symmetric sample cumulative distribution method, and a Kaplan-Meier method may be appropriately selected. In the present embodiment, the estimated cumulative probability is calculated using the median rank method.
As is clear from fig. 41, the standard deviation (σ) of Vth of thirty-four transistors other than the two transistors of the abnormal value is about 134mV.
In addition, id-Vd characteristics are measured as electrical characteristics. In the measurement of the Id-Vd characteristic, the top gate voltage Vg is set to 1.0V, 1.2V, 1.4V, 1.6V, 1.8V, or 2.0V, the source voltage Vs and the back gate voltage Vbg are set to 0V, and the drain voltage Vd is scanned from 0V to 2.5V in 0.05V steps. The measurement was performed in a room temperature environment.
Fig. 47 shows Id-Vd characteristics of transistors included in the fabricated samples. In fig. 47, the vertical axis represents drain current (Draincurrent) [ mu.a/mu ] m of 1 μm per channel width, and the horizontal axis represents drain-source voltage (Vd) [ V ].
In this embodiment, a plurality of samples (samples A1 to A3) having different gate lengths in the channel length direction and different lengths in the channel width direction from the above-described samples were manufactured. Specifically, the gate length in the channel length direction of sample A1 was 9.9nm. The gate length in the channel length direction of sample A2 was 14.3nm. The gate length in the channel length direction of sample A3 was 17.9nm. Note that the above sample (a sample having a gate length of 9.9nm in the channel length direction and a length of 32.6nm in the channel width direction) was referred to as sample A0.
Note that in samples A0 to A3, the structure of the transistor (materials, formation methods, and the like for the oxide 230, the conductor 260, and the like) is the same.
Fig. 42 shows a graph plotting Vth of the transistor included in each of the samples A0 to A3. In fig. 42, the vertical axis represents Vth [ V ], and the horizontal axis represents gate length (GATE LENGTH) [ nm ] in the channel length direction.
The dependence of the gate length Lg in the channel length direction of Vth was not confirmed from fig. 42. Therefore, it is understood that the transistor manufactured in this embodiment, i.e., the OS transistor, is not prone to generate short channel effects.
In this embodiment, the circuit shown in fig. 43A is used for current measurement.
As shown in fig. 43A, the wiring 2000 is electrically connected to one of the source and the drain of the transistor M30, the wiring 2001 is electrically connected to the gate of the transistor M30, and the wiring 2002 is electrically connected to one of the readout circuits R10. The other of the source and the drain of the transistor M30 is electrically connected to the node FN, the other terminal of the readout circuit R10 is electrically connected to the node FN, and one of the source and the drain of the transistor M22 is electrically connected to the node FN. Further, a wiring 2003 is electrically connected to the gate of the transistor M22, the wiring 2004 is electrically connected to the other of the source and the drain of the transistor M22, and the wiring 2005 is electrically connected to the back gate of the transistor M22.
The transistor M30 is a writing transistor, and the transistor M22 is a holding characteristic measurement target transistor. Although the transistor M22 in fig. 43A is one transistor, the transistor M22 is a transistor in which 20000 transistors (transistors having a channel length of 9.9nm and a channel width of 32.6 nm) included in the sample A1 are connected in parallel.
Next, a method of deriving the leakage current value will be described. A potential is set to the wiring 2001 so that the transistor M30 is turned on, a potential of 1.2V is supplied to the wiring 2000, and charge is accumulated in the node FN to set the potential to 1.2V. Then, a potential of-3V is supplied to the wiring 2001, so that the transistor M30 is in an off state. The potential of the wiring 2004 is set to 0V and the potential of the wiring 2005 is set to-3V to put the transistor M22 in an off state. The potential of the wiring 2003 electrically connected to the gate of the transistor M22 is-1.5V. The above state is maintained for a predetermined period of time, and the change in the potential of the node FN is read by the read circuit R10, and the leakage current value is derived from the read value. The off-state current value can be known by using the circuit shown in fig. 43A.
Fig. 43B shows measurement results of off-state currents Ioff measured in temperature environments of 85 ℃, 100 ℃, and 125 ℃. The horizontal axis of fig. 43B shows a value (1000/T) [1/K ] which is 1000 times the inverse of the temperature, and the vertical axis shows the off-state current Ioff [ a/FET ] of each transistor in logarithm.
As is clear from fig. 43B, the off-state current Ioff of each transistor is 5.3zA/FET in a temperature environment of 85 ℃, and the off-state current Ioff is sufficiently small.
[ Frequency characteristics ]
The frequency characteristics of the transistors included in the fabricated samples were measured. Specifically, the cut-off frequency and the maximum oscillation frequency of the channel length of the transistor are measured. In the measurement of the frequency characteristic, the drain voltage Vd is 2.5V and the top gate voltage Vg is 1.5V. In addition, the measurement was performed in a room temperature environment (here, in a temperature environment of 27 ℃). Further, 1000 of the transistors were connected in parallel to perform measurement. Here, frequency characteristics of two transistors are measured. In the first transistor, the gate length in the channel length direction was 6.7nm, and the length in the channel width direction was 29.3nm. In the second transistor, the gate length in the channel length direction was 9.9nm, and the length in the channel width direction was 32.6nm.
Fig. 44A shows a measurement environment of frequency characteristics. The measurement device (Measurement equipments) shown in fig. 44A includes a network analyzer (network analyzer), a direct current Power source (DC Power), and a probe (Prober). In the measurement of the frequency characteristic, N5247A manufactured by Keysight was prepared as a network analyzer. Further, a first direct current power supply (6242 manufactured by ADCMT), a second direct current power supply (6241A manufactured by ADCMT), and a third direct current power supply (PW 18-1.8AQ manufactured by kenwood) were prepared as direct current power supplies. In addition, I67-A-GSG-100 manufactured by FORMFACTOR was prepared as a PROBE (PROBE HEAD) of a detector. Note that the two probes are connected to ports (port 1, port 2) of the network analyzer, respectively. Furthermore, port1 of the network analyzer is connected to a first direct current power supply for supplying power to port1 through the network analyzer, and port2 of the network analyzer is connected to a second direct current power supply for supplying power to port2 through the network analyzer. Further, one probe connected to the third dc power supply (BackGate) is in contact with a TEG described later.
Three TEGs were prepared in the measurement of the frequency characteristics. Fig. 44B shows the prepared TEG. As shown in fig. 44B, three tegs DUT (Device Under Test), OPEN, and SHORT were prepared. Fig. 44B shows a circuit diagram of three TEGs, and a plan view of the DUT and an enlarged view thereof.
SHORT is used to remove tandem components (Removal ofseries impedance). Furthermore, OPEN is used to remove shunt components (Removal ofparallelimpedance). Thus, only the frequency characteristics of the transistor are extracted except for the parasitic component (de-embedding) such as the measurement pad.
The S parameter is measured by a network analyzer, and the cut-off frequency f T and the maximum oscillation frequency f max are calculated from the obtained S parameter. The cut-off frequency f T is defined as: the current amplification or the current amplification obtained by extrapolation is the frequency at which 1. The current amplification factor is a non-diagonal element of the H matrix, and can be expressed by the following expression (1) using S parameters.
[ Formula 1]
The maximum oscillation frequency f max is defined as: the power amplification or the power amplification obtained by extrapolation is the frequency at which 1. The power amplification may use a maximum effective power gain (maximum available power gain) or a maximum unidirectional power gain (maximum unilateral power gain).
The maximum effective power gain MAG is expressed by the following expression (2).
[ Formula 2]
In expression (2), K is a stability factor (also referred to as K factor) and is expressed by expression (3) below.
[ Arithmetic 3]
Note that the maximum effective power gain MAG expressed by expression (2) may be used when K is greater than 1. In other words, when K is smaller than 1, the maximum effective power gain MAG cannot be defined by expression (2). At K less than 1, the maximum stable gain MSG may be used. The maximum stable gain MSG is expressed by the following expression (4).
[ Calculation formula 4]
The maximum unidirectional power gain Ug is expressed by the following expression (5).
[ Calculation formula 5]
In the present embodiment, the maximum oscillation frequency f max is calculated using the maximum effective power gain MAG and the maximum stable gain MSG.
Fig. 45A and 48A show the measurement results of the cutoff frequency of the first transistor (gate length in the channel length direction is 6.7nm, and length in the channel width direction is 29.3 nm). In fig. 45A and 48A, the vertical axis represents the current gain (|h21|) dB, and the horizontal axis represents the Frequency (Frequency) [ GHz ]. The solid lines shown in fig. 45A and 48A represent actual measurements of the current gain with respect to frequency, and the broken lines shown in fig. 45A and 48A represent extrapolation of the actual measurements of the current gain with respect to frequency. The frequency at which the current amplification factor is 1, i.e., the current gain |h21| is 0dB is obtained by external estimation, and the cut-off frequency f T is obtained. Note that in fig. 45A and 48A, the actually measured plot ranges of the current gain for the frequency are different.
Fig. 48B shows the measurement result of the maximum oscillation frequency. In fig. 48B, the vertical axis represents the current Gain (Gain) [ dB ], and the horizontal axis represents the Frequency (Frequency) [ GHz ]. The value of the maximum stable gain MSG is shown in frequencies less than 22GHz and the value of the maximum effective power gain MAG is shown in frequencies greater than 22 GHz. Further, a frequency at which the power amplification factor is 1, that is, the gain is 0dB is obtained to obtain the maximum oscillation frequency f max.
From the measurement results, it was estimated that the cutoff frequency f T of the first transistor (gate length in the channel length direction: 6.7nm, length in the channel width direction: 29.3 nm) was 119GHz, and the maximum oscillation frequency f max of the second transistor was 14.7GHz. Further, it was estimated that the cutoff frequency f T of the second transistor (gate length in the channel length direction was 9.9nm, length in the channel width direction was 32.6 nm) was 124GHz.
From this, it was confirmed that the transistors included in the fabricated samples were miniature and had good electrical characteristics. In addition, the transistor has excellent frequency characteristics.
In fig. 45B, the horizontal axis plots gate length (gatelength) [ nm ], and the vertical axis plots cut-off frequency f T [ GHz ]. The four corners of fig. 45B show the measurement results of the cut-off frequency for the gate length of the transistor manufactured in the present embodiment. Note that points other than the four corners of fig. 45B (dots, cross points, asterisks, diamond points, triangle points, and cross points) are represented with reference to the reported measurement results of the cut-off frequencies of the transistors and the commercially available transistors.
In fig. 46, the horizontal axis plots the reported years, and the vertical axis plots the gate length (gatelength) [ nm ]. The four corners of fig. 46 are gate lengths (this work) of the transistors manufactured in the present embodiment. Note that the dots of fig. 46 are represented with reference to the gate lengths of transistors tried or reported by the company in the past.
[ Gate Length dependence of transistor ]
In this section, the gate length dependence of the transistor is described. Specifically, samples having different gate lengths and channel widths of the fabricated transistors were used to evaluate the electrical characteristics of the transistors.
The structure of the transistor included in the fabricated sample is the same as that of the transistor described in the above [ fabrication of sample ], except for the gate length and the channel width. In this section, eight transistors (the transistor 901 to the transistor 908) are manufactured.
In the transistors 901, 902, 903, 904, channel lengths are 6nm, 11nm, 16nm, and 21nm, respectively, and channel widths (design values) are 20nm. In the transistors 905, 906, 907, and 908, channel lengths are 36nm, 41nm, 56nm, and 66nm, respectively, and channel widths (design values) are 60nm.
The electrical characteristics of the eight transistors were evaluated. Here, as the electrical characteristic, an Id-Vg characteristic was measured. In the measurement of the Id-Vg characteristic, the drain voltage Vd is set to 0.1V or 1.2V, the source voltage Vs and the back gate voltage Vbg are set to 0V, and the drain voltage Vd is scanned from-4V to +4V in 0.1V steps. The measurement was performed in a room temperature environment.
Fig. 49A to 49D, and fig. 50A to 50D show Id-Vg characteristics of the manufactured transistors. In fig. 49A to 49D and 50A to 50D, the left vertical axis (first vertical axis) represents drain current (Id) [ a ], the right vertical axis (second horizontal axis) represents field effect mobility (μfe) [ cm 2/Vs ], and the horizontal axis represents Vg [ V ]. In fig. 49A to 49D and 50A to 50D, the solid line indicates the drain current Id when the drain voltage Vd is 1.2V, the dash-dot line indicates the drain current Id when the drain voltage Vd is 0.1V, and the dashed line indicates the field-effect mobility. Note that the field-effect mobility is calculated from a value measured by setting the drain voltage Vd to 1.2V.
Fig. 49A to 49D are Id-Vg characteristics of the transistors 901 to 904, respectively, and fig. 50A to 50D are Id-Vg characteristics of the transistors 905 to 908, respectively. As is clear from fig. 49A to 49D and fig. 50A to 50D, good switching characteristics can be obtained in the manufactured transistor.
[ Lamination of transistors ]
As described in embodiment mode 2, a cell array including an OS transistor may be stacked. That is, an OS transistor (also referred to as an OSFET) may be stacked. In this section, a sample for manufacturing a stacked OSFET evaluates the electrical characteristics of the OS transistors included in each layer.
Fig. 51 shows a schematic view of the structure of the fabricated sample. As shown in fig. 51, the sample has two layers including OSFET laminated. Note that a relay layer (RELAY LAYER) is provided between the first layer (1 st-layer) and the second layer (2 nd-layer).
An OS transistor (OSFET) included in each of the first and second layers includes an Oxide Semiconductor (OS), source and drain electrodes (S/D), a first gate electrode (TGE), a first gate insulator (TGI), a second gate electrode (BGE), and a second gate insulator (BGI). Note that the structure of the OSFET included in each of the first layer and the second layer can be referred to as a structural example of the transistor 200 shown in fig. 2B.
Further, a relay wiring (RELAYWIRING) is provided in the relay layer, and the relay wiring is electrically connected to one of a source electrode and a drain electrode included in the OSFET of the first layer through a plug (Via).
The electrical characteristics of the transistors included in the fabricated samples were evaluated. Here, as the electrical characteristic, an Id-Vg characteristic is measured. In the measurement of the Id-Vg characteristics, the drain voltage Vd is set to 0.1V or 1.2V, the source voltage Vs and the back gate voltage Vbg are set to 0V, and the top gate voltage Vg is scanned from-4V to +4v in 0.1V steps. The measurement was performed in a room temperature environment. Note that the Id-Vg characteristics are measured after the second layer is formed.
Fig. 52A and 52B show Id-Vg characteristics of the transistors. In fig. 52A and 52B, the left vertical axis (first vertical axis) represents drain current (Id) [ a ], the right vertical axis (second vertical axis) represents field-effect mobility (μfe) [ cm 2/Vs ], and the horizontal axis represents Vg [ V ]. In fig. 52A and 52B, the solid line indicates the drain current Id when the drain voltage Vd is 1.2V, the dash-dot line indicates the drain current Id when the drain voltage Vd is 0.1V, and the dashed line indicates the field effect mobility. Note that the field-effect mobility is calculated from a value measured by setting the drain voltage Vd to 1.2V. Note that fig. 52A is the Id-Vg characteristic of the OSFET of the second layer, and fig. 52B is the Id-Vg characteristic of the OSFET of the first layer.
From the measured Id-Vg characteristics, a drift voltage (Vsh), a subthreshold swing value (S value), and an on-state current (Ion) are calculated. The drift voltage (Vsh) is defined as: in the drain current (Id) -gate voltage (Vg) curve of the transistor, a tangent line to a point on the curve where the inclination is maximum crosses Vg of a straight line of id=1pa. Table 1 shows Vsh, S and Ion values of the OSFET of the second layer, and table 2 shows Vsh, S and Ion values of the OSFET of the first layer.
TABLE 1
TABLE 2
From fig. 52A and 52B and tables 1 and 2, it can be confirmed that the transistors included in the manufactured samples have good electrical characteristics.
The constitution, structure, method, or the like shown in this example can be used in combination with the constitution, structure, method, or the like shown in other embodiments or the like as appropriate.
[ Description of the symbols ]
FN: node, M22: transistor, M30: transistor, R10: readout circuit, 100: capacitor, 110: an electrical conductor, 112: electrical conductor, 115: electrical conductor, 120: electrical conductor, 125: conductor, 130: insulator, 140: conductor, 142: insulator, 145: insulator, 150: insulator, 152: insulator, 153: electrical conductor, 154: insulator, 156: insulator, 200: transistor, 200a: transistor, 200b: transistor, 205: conductor, 205a: conductor, 205b: electrical conductor, 210: insulator, 212: insulator, 214: insulator, 216: insulator, 217: insulator, 218: electrical conductor, 222: insulator, 224: insulator, 224A: insulating film, 230: oxide, 230a: oxide, 230A: oxide film, 230b: oxide, 230B: oxide film, 230ba: region, 230bb: region, 230bc: region, 240: conductor, 240a: conductor, 240b: conductor, 241: insulator, 241a: insulator, 241b: insulator, 242: conductor, 242a: conductor, 242a1: conductor, 242a2: conductor, 242A: conductive film, 242b: conductor, 242b1: conductor, 242b2: conductor, 242B: conductive layer, 242c: conductor, 243: oxide, 243a: oxide, 243b: oxide, 244a: layer, 244b: layer, 246: conductor, 246a: conductor, 246b: an electrical conductor, 250: insulator, 250a: insulator, 250A: insulating film, 250b: insulator, 252: insulator, 252A: insulating film, 254: insulator, 254A: insulating film, 256: insulator, 260: conductor, 260a: conductor, 260b: electrical conductor, 265: sealing part, 271: insulator, 271a: insulator, 271A: insulating film, 271b: insulator, 271B: insulating layer, 271c: insulator, 274: insulator, 275: insulator, 280: insulator, 282: insulator, 282a: insulator, 282b: insulator, 283: insulator, 283a: insulator, 283b: insulator, 285: insulator, 290: storage device, 292: capacitive device, 292a: capacitive device, 292b: capacitive device, 294: conductor, 294a: conductor, 294b: conductor, 300: transistor, 311: substrate, 313: semiconductor region, 314a: low resistance region, 314b: low resistance region, 315: insulator, 316: electrical conductor, 320: insulator, 322: insulator, 324: insulator, 326: insulator, 328: an electrical conductor, 330: an electrical conductor, 350: insulator, 352: insulator, 354: insulator, 356: conductor, 400: open area, 500: semiconductor device, 600: semiconductor device, 601: semiconductor device, 610: cell array, 610—n: cell array, 610_1: cell array, 700: electronic component, 702: printed circuit board, 704: circuit board, 711: mold, 712: connection pad, 713: electrode pads, 714: lead wire, 720: storage device, 721: drive circuit layer, 722: storage circuit layer, 730: electronic component 731: board, 732: package substrate, 733: electrode, 735: semiconductor device, 901: transistor, 902: transistor, 903: transistor, 904: transistor, 905: transistor, 906: transistor, 907: transistor, 908: transistor, 1001: wiring, 1002: wiring, 1003: wiring, 1004: wiring, 1005: wiring, 1006: wiring, 1100: USB memory, 1101: a housing, 1102: cover, 1103: USB connector, 1104: substrate, 1105: memory chip, 1106: controller chip, 1110: SD card, 1111: housing, 1112: connector, 1113: substrate, 1114: memory chip, 1115: controller chip 1150: SSD, 1151: shell, 1152: connector, 1153: substrate, 1154: memory chip, 1155: memory chip, 1156: controller chip, 1200: chip, 1201: package substrate, 1202: bump 1203: motherboard, 1204: GPU module, 1211: CPU, 1212: GPU, 1213: analog operation unit 1214: memory controller 1215: interface, 1216: network circuit, 1221: DRAM, 1222: flash memory, 1400: storage device, 1411: peripheral circuitry, 1420: row circuitry, 1430: column circuitry, 1440: output circuit, 1460: control logic, 1470: memory cell array 1471: storage unit, 1472: storage unit, 1473: storage unit, 1474: storage unit, 1475: storage unit, 1476: storage unit, 1477: storage unit, 1478: storage unit, 2000: wiring, 2001: wiring, 2002: wiring, 2003: wiring, 2004: wiring, 2005: wiring, 2700: manufacturing apparatus, 2701: atmospheric side substrate supply chamber 2702: atmospheric side substrate transfer chamber 2703a: load lock chamber 2703b: unloading the latch chamber, 2704: transfer chamber, 2706a: treatment chamber, 2706b: treatment chamber, 2706c: treatment chamber, 2706d: treatment chamber, 2761: cassette, 2762: alignment machine, 2763a: transfer robot, 2763b: transfer robot, 2801: gas supply source, 2802: valve, 2803: high frequency generator, 2804: waveguide, 2805: mode converter, 2806: gas tube, 2807: waveguide, 2808: slot antenna plate, 2809: dielectric plate 2810: high density plasma, 2811: substrate 2811—n: substrate, 2811—n-1: substrate, 2811—n-2: substrate, 2811_1: substrate, 2811_2: substrate, 2811_3: substrate, 2812: substrate holder, 2813: heating mechanism, 2815: matcher 2816: high frequency power supply, 2817: vacuum pump, 2818: valve, 2819: exhaust port, 2820: lamp, 2821: gas supply source, 2822: valve, 2823: gas inlet, 2824: substrate, 2825: substrate holder, 2826: heating mechanism, 2828: vacuum pump 2829: valve, 2830: exhaust port, 2900: microwave processing apparatus, 2901: quartz tube, 2902: substrate holder 2903: heating unit, 5100: information terminal 5101: housing 5102: display unit, 5200: notebook information terminal, 5201: main body, 5202: display unit, 5203: keyboard, 5300: portable game machine, 5301: housing, 5302: housing, 5303: housing, 5304: display unit, 5305: connection part, 5306: operation key, 5400: stationary gaming machine, 5402: controller, 5500: supercomputer, 5501: frame, 5502: computer, 5504: substrate, 5701: display panel, 5702: display panel, 5703: display panel, 5704: display panel, 5800: electric refrigerator-freezer, 5801: housing, 5802: refrigerating chamber door, 5803: freezing chamber door

Claims (9)

1. A semiconductor device comprising a transistor,
Wherein the transistor includes:
An oxide;
a first conductor and a second conductor on the oxide;
a first insulator having an opening on the first conductor and the second conductor;
a second insulator in an opening provided in the first insulator;
A third insulator on the second insulator;
a fourth insulator on the third insulator; and
A third electrical conductor on the fourth insulator,
The first insulator has an opening including a region overlapping the oxide,
The third conductor includes a region overlapping the oxide with the second insulator, the third insulator, and the fourth insulator interposed therebetween,
The second insulator includes a region in contact with a top surface of the oxide and a sidewall of an opening provided in the first insulator,
The second insulator includes a region having a smaller thickness than the third insulator,
The fourth insulator is less permeable to oxygen than the third insulator,
In the cross section of the transistor in the channel length direction, the third conductor includes a region having a width of 3nm or more and 15nm or less.
2. A semiconductor device comprising a transistor,
Wherein the transistor includes:
An oxide;
a first conductor and a second conductor on the oxide;
a first insulator having an opening on the first conductor and the second conductor;
a second insulator in an opening provided in the first insulator;
A third insulator on the second insulator;
a fourth insulator on the third insulator; and
A third electrical conductor on the fourth insulator,
The first insulator has an opening including a region overlapping the oxide,
The third conductor includes a region overlapping the oxide with the second insulator, the third insulator, and the fourth insulator interposed therebetween,
The second insulator includes a region in contact with a top surface of the oxide and a sidewall of an opening provided in the first insulator,
The second insulator includes a region having a smaller thickness than the third insulator,
The fourth insulator is less permeable to oxygen than the third insulator,
In a cross section of the transistor in a channel length direction, the third conductor includes a region having a width of 3nm or more and 15nm or less,
In the cross section of the transistor in the channel length direction, a distance between a lower end portion of the first conductor and a lower end portion of the second conductor is 10nm or more and less than 40nm.
3. A semiconductor device comprising a transistor,
Wherein the transistor includes:
An oxide;
a first conductor and a second conductor on the oxide;
a first insulator having an opening on the first conductor and the second conductor;
a second insulator in an opening provided in the first insulator;
A third insulator on the second insulator;
A fourth insulator on the third insulator;
a third electrical conductor on the fourth insulator; and
A fifth insulator provided with a third insulating layer,
The first insulator has an opening including a region overlapping the oxide,
The third conductor includes a region overlapping the oxide with the second insulator, the third insulator, and the fourth insulator interposed therebetween,
The second insulator includes a region in contact with a top surface of the oxide and a sidewall of an opening provided in the first insulator,
The second insulator includes a region having a smaller thickness than the third insulator,
The fourth insulator is less permeable to oxygen than the third insulator,
In a cross section of the transistor in a channel length direction, the third conductor includes a region having a width of 3nm or more and 15nm or less,
The fifth insulator is disposed between the first conductor and the second conductor and the first insulator,
The fifth insulator includes an opening overlapping with the opening provided in the first insulator,
The fifth insulator is less permeable to oxygen than the third insulator,
The fifth insulator includes a region in contact with a side of the oxide, a side of the first conductor, and a side of the second conductor,
The second insulator includes a region that contacts a sidewall of the opening that the fifth insulator has,
The oxide comprises indium, zinc, and one or more selected from gallium, aluminum, and tin,
The oxide is provided with a crystal which,
The c-axis of the crystal is substantially perpendicular to the surface or formed surface of the oxide.
4. The semiconductor device according to any one of claim 1to 3,
Wherein the third conductor is a laminate of a fourth conductor and a fifth conductor on the fourth conductor,
And the first conductor, the second conductor, and the fifth conductor all comprise a metal and nitrogen.
5. The semiconductor device according to claim 4,
Wherein the transistor comprises a first layer and a second layer,
The first layer is located between the first electrical conductor and the second electrical insulator,
The second layer is located between the second electrical conductor and the second insulator,
The first layer has a channel length direction length smaller than the width,
The second layer has a channel length direction length smaller than the width,
And both the first layer and the second layer comprise the metal and oxygen.
6. The semiconductor device according to any one of claim 1to 5,
Wherein the bottom surface of the third electrical conductor comprises a flat area,
And the width is the width of the flat region.
7. The semiconductor device according to any one of claim 1to 5,
Wherein the third conductor has an arc-shaped bottom surface with a curvature center in the third conductor,
And the width is a width of a region where a straight line including the center of curvature and parallel to the bottom surface of the oxide overlaps with the third conductor.
8. The semiconductor device according to any one of claim 1to 7,
Wherein the oxide includes a region of the oxide having a smaller thickness than the region of the oxide having the first conductor.
9. The semiconductor device according to any one of claim 1to 8,
Wherein the cut-off frequency of the transistor is above 100GHz in a room temperature environment.
CN202280061603.5A 2021-09-21 2022-09-08 Semiconductor device with a semiconductor device having a plurality of semiconductor chips Pending CN117916893A (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
JP2021-153623 2021-09-21
JP2021-182108 2021-11-08
JP2022049107 2022-03-24
JP2022-049107 2022-03-24
PCT/IB2022/058438 WO2023047227A1 (en) 2021-09-21 2022-09-08 Semiconductor device

Publications (1)

Publication Number Publication Date
CN117916893A true CN117916893A (en) 2024-04-19

Family

ID=90692906

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202280061603.5A Pending CN117916893A (en) 2021-09-21 2022-09-08 Semiconductor device with a semiconductor device having a plurality of semiconductor chips

Country Status (1)

Country Link
CN (1) CN117916893A (en)

Similar Documents

Publication Publication Date Title
WO2021198836A1 (en) Semiconductor device and semiconductor device production method
WO2021144666A1 (en) Semiconductor device and method for manufacturing semiconductor device
WO2021019334A1 (en) Semiconductor device
KR20220052972A (en) semiconductor device
KR20220020831A (en) Semiconductor device and method of manufacturing semiconductor device
WO2021084369A1 (en) Semiconductor device
CN116097401A (en) Method for modifying insulating film and method for manufacturing semiconductor device
WO2023047227A1 (en) Semiconductor device
CN117916893A (en) Semiconductor device with a semiconductor device having a plurality of semiconductor chips
WO2023002290A1 (en) Semiconductor device
WO2022038456A1 (en) Method for manufacturing semiconductor device
WO2023094941A1 (en) Semiconductor device
WO2023126714A1 (en) Semiconductor device and storage device
US20230298906A1 (en) Method for manufacturing semiconductor device
WO2022043810A1 (en) Semiconductor device and method for producing same
CN118435359A (en) Semiconductor device and memory device
CN118339661A (en) Semiconductor device with a semiconductor device having a plurality of semiconductor chips
KR20230056695A (en) Manufacturing method of semiconductor device
CN117616585A (en) Semiconductor device with a semiconductor device having a plurality of semiconductor chips
CN117730419A (en) Transistor with a high-voltage power supply
KR20230052894A (en) Methods for producing metal oxides
KR20240007178A (en) semiconductor device
CN118633362A (en) Storage device
CN118402329A (en) Semiconductor device, memory device, and method for manufacturing semiconductor device
CN117280467A (en) Semiconductor device with a semiconductor device having a plurality of semiconductor chips

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination