CN117688887B - 一种基于知识和数据双驱动人工智能的电路设计方法 - Google Patents

一种基于知识和数据双驱动人工智能的电路设计方法 Download PDF

Info

Publication number
CN117688887B
CN117688887B CN202410157755.6A CN202410157755A CN117688887B CN 117688887 B CN117688887 B CN 117688887B CN 202410157755 A CN202410157755 A CN 202410157755A CN 117688887 B CN117688887 B CN 117688887B
Authority
CN
China
Prior art keywords
optimization
solution set
current solution
vector
device parameter
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN202410157755.6A
Other languages
English (en)
Other versions
CN117688887A (zh
Inventor
李锦韬
王诗其
林晨
李耘
邹兰榕
郑鹏飞
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Shenzhen Huada Jiutian Technology Co ltd
Uk I4ai Ltd
Higher Research Institute Of University Of Electronic Science And Technology Shenzhen
Original Assignee
Shenzhen Huada Jiutian Technology Co ltd
Uk I4ai Ltd
Higher Research Institute Of University Of Electronic Science And Technology Shenzhen
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Shenzhen Huada Jiutian Technology Co ltd, Uk I4ai Ltd, Higher Research Institute Of University Of Electronic Science And Technology Shenzhen filed Critical Shenzhen Huada Jiutian Technology Co ltd
Priority to CN202410157755.6A priority Critical patent/CN117688887B/zh
Publication of CN117688887A publication Critical patent/CN117688887A/zh
Application granted granted Critical
Publication of CN117688887B publication Critical patent/CN117688887B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Landscapes

  • Feedback Control In General (AREA)

Abstract

本发明公开了一种基于知识和数据双驱动人工智能的电路设计方法,涉及自动化设计技术领域,解决了现有技术对电路器件参数优化效率低的技术问题。该发明包括步骤为:将向量表达的多个器件参数作为电路结构的多性能优化的优化变量,将其随机初始化后作为当前解集;对不满足优化终止条件的当前解集,构建当前解集中每个器件参数向量在每个出发点上具有权重变量的方向向量,将方向向量对应的权重变量作为优化变量构建单目标优化函数;对单目标优化函数进行优化,并通过进化算法进行进化迭代,直到满足优化终止条件到得到优化结果。本方法极大降低了算力、提升了寻优速度和效率。

Description

一种基于知识和数据双驱动人工智能的电路设计方法
技术领域
本发明涉及自动化设计技术领域,尤其涉及一种基于知识和数据双驱动人工智能的电路设计方法。
背景技术
与完善的电子设计自动化相比,模拟集成电路(IC)的设计和晶体管尺寸仍然依赖于有经验的人类专家,随着人工智能(AI)的兴起,在模拟集成电路设计中使用机器学习(ML)来辅助晶体管的尺寸调整正引起人们的广泛关注。
考虑到模拟电路的完整规格,设计者首先需要分析拓扑结构并推导出性能度量的方程。模拟电路是非线性的,因此拓扑分析需要大量的近似和简化。初始参数是根据电路中的方程计算出来的。然后,通过大量的仿真对参数进行微调,以满足多性能要求。整个过程会花很多时间,而且非常费力。对基于机器学习技术的自动化设计工具的需求是巨大的。因此,如何能够快速的寻找出符合电路结构优化指标的电路参数是亟需解决技术问题。
发明内容
由于广泛的设计空间,缓慢的仿真工具,以及各种性能指标之间复杂的权衡,人工智能辅助设计大规模或复杂的模拟电路目前是一项艰巨的任务。针对这一问题,本发明提出一个通用框架,使用问题重构策略对选择的电路参数进行优化,能够快速的寻找出符合电路结构优化指标的电路参数。本发明提供的诸多技术方案中的优选技术方案所能产生的诸多技术效果详见下文阐述。
为实现上述目的,本发明提供了以下技术方案:
本发明提供的一种基于知识和数据双驱动人工智能的电路设计方法,包括如下步骤:
S100、将向量表达的多个器件参数作为电路结构的多性能优化的优化变量,将其随机初始化后作为当前解集;
S200、根据电路结构性能指标判断所述当前解集是否满足优化终止条件,如满足,则输出所述器件参数的优化结果;否则,执行步骤S300;
S300、根据在寻优空间确定的多个出发点,构建所述当前解集中每个器件参数向量在每个所述出发点上具有权重变量的方向向量,将所述方向向量对应的权重变量作为优化变量构建单目标优化函数;
S400、对所述单目标优化函数进行优化,并通过进化算法进行进化迭代,根据进化迭代后的权重和构建所述方向向量的表达式反解出所述器件参数向量,将反解出的所述器件参数向量更新所述当前解集,执行步骤S200。
进一步地,步骤S300包括如下步骤:
为所述当前解集中每个器件参数向量构建分别从寻优空间最高边界点和最低边界点为所述出发点,且指向每个器件参数向量的方向向量,得到所述当前解集中每个器件参数向量对应的两个所述方向向量。
进一步地,步骤S300还包括:
对构建的所述当前解集中每个器件参数向量对应的两个所述方向向量分别关联权重变量,确定每个权重变量的取值范围。
进一步地,所述当前解集中每个器件参数向量对应的两个所述方向向量的表达式为:
vi1=si-l;
vi2=si-u;
其中,vi1为所述当前解集中第i个器件参数向量构建的第一个方向向量,vi2为所述当前解集中第i个器件参数向量构建的第二个方向向量;si为所述当前解集第i个器件参数向量;l为寻优空间理想的最高边界点,u为寻优空间理想的最低边界点。
进一步地,所述当前解集中每个器件参数向量对应的两个所述方向向量关联权重变量后的表达式为:
其中,pi1为所述当前解集中第i个器件参数向量关联权重变量的第一个方向向量,pi2为所述当前解集中第i个器件参数向量关联权重变量的第二个方向向量,;λi1、λi2分别为所述当前解集第i个器件参数向量对应的权重变量。
进一步地,所述确定每个所述权重变量的取值范围为:不小于0,且不大于0.5。
进一步地,步骤S300中,将所述方向向量对应的权重变量作为优化变量构建单目标优化函数,包括如下步骤:
将每个关联权重变量的所述方向向量代入每个电路结构性能指标,得到多个重构后的性能指标,将多个重构后的性能指标对应的权重变量作为所述单目标优化函数的优化变量;所述单目标优化函数为求hypervolume指标的最大值。
进一步地,步骤S400中,采用贝叶斯优化算法对所述单目标优化函数的权重变量进行优化;对优化后的权重采用差分进化算法迭代给定的次数,得到所述进化迭代后的权重。
进一步地,所述电路结构性能指标为综合性能指标,判断所述当前解集是否满足优化终止条件,包括如下步骤:
根据所述当前解集每个器件参数向量计算电路结构的综合性能指标,当计算的所述综合性能指标满足设定的区间范围则优化终止。
进一步地,所述综合性能指标FoM的公式为:
其中fi(x)为m个电路结构性能指标的第i个指标;fi max(x)为第i个电路结构性能指标对应的最大值,fi min(x)为第i个电路结构性能指标对应的最小值;weigti为电路结构的第i个性能指标对应的权重,x为由n个器件参数构成的n维向量。
实施本发明上述技术方案中的一个技术方案,具有如下优点或有益效果:
本发明提出的基于知识和数据双驱动人工智能的电路设计方法,使用问题重构策略对选择的电路参数进行优化,将多目标优化问题转化为单目标优化问题,目标空间大大缩减。进而,能够快速有效的寻找出符合性能要求的电路参数。本方法对现有高维多目标问题进行有效简化,搜索空间均匀,极大降低了算力、提升了寻优速度和效率。
附图说明
为了更清楚地说明本发明实施例的技术方案,下面将对实施例描述中所需要使用的附图作简单的介绍,显而易见,下面描述中的附图仅仅是本发明的一些实施例,对于本领域普通技术人员来讲,在不付出创造性劳动的前提下,还可以根据这些附图获得其他的附图,附图中:
图1是本发明实施例的一种基于知识和数据双驱动人工智能的电路设计方法的流程图;
图2是本发明实施例的一种贝叶斯优化流程图;
图3是本发明实施例中所优化的电压基准源电路结构示意图;
图4是本发明实施例中所优化的低压差线性稳压器电路结构示意图;
图5是本发明分别使用实施例一的参数优化算法、贝叶斯优化算法、粒子群算法、强化学习对电压基准源电路进行进化得到的效果对比示意图;
图6是本发明使用实施例一的参数优化算法、贝叶斯优化算法、粒子群算法、强化学习对低压差线性稳压器电路进行进化得到的效果对比示意图。
具体实施方式
为了使本发明的目的、技术方案及优点更加清楚明白,下文将要描述的各种示例性实施例将要参考相应的附图,这些附图构成了示例性实施例的一部分,其中描述了实现本发明可能采用的各种示例性实施例。除非另有表示,不同附图中的相同数字表示相同或相似的要素。以下示例性实施例中所描述的实施方式并不代表与本公开相一致的所有实施方式。应明白,它们仅是与如所附权利要求书中所详述的、本发明公开的一些方面相一致的流程、方法和装置等的例子,还可使用其他的实施例,或者对本文列举的实施例进行结构和功能上的修改,而不会脱离本发明的范围和实质。
为了说明本发明所述的技术方案,下面通过具体实施例来进行说明,仅示出了与本发明实施例相关的部分。
实施例一:如图1-图2所示,本发明提供了一种基于知识和数据双驱动人工智能的电路设计方法,包括如下步骤:
S100、将向量表达的多个器件参数作为电路结构的多性能优化的优化变量,将其随机初始化后作为当前解集;
S200、根据电路结构性能指标判断当前解集是否满足优化终止条件,如满足,则输出器件参数的优化结果;否则,执行步骤S300;
S300、根据在寻优空间确定的多个出发点,构建当前解集中每个器件参数向量在每个出发点上具有权重变量的方向向量,将方向向量对应的权重变量作为优化变量构建单目标优化函数;
S400、对单目标优化函数进行优化,并通过进化算法进行进化迭代,根据进化迭代后的权重和构建方向向量的表达式反解出器件参数向量,将反解出的器件参数向量更新当前解集,执行步骤S200。
本发明提出的基于知识和数据双驱动人工智能的电路设计方法,把问题重构策略看作知识,对问题进行了降维,从原来的高维多目标问题变成低维单目标问题。并进一步使用问题重构策略间接地对选择的电路结构的器件参数进行优化,将多目标优化问题转化为单目标优化问题,目标空间大大缩减;同时,在优化的过程中为优化算法在寻优空间提供了搜索的初始方向。进而,能够快速有效的寻找出符合电路结构性能要求的器件参数。本方法对现有高维多目标问题进行有效简化,搜索空间均匀,极大降低了算力、提升了寻优速度和效率。
需说明的是,本实施例的电路结构通常指:电路设计中器件种类的选择,完成了器件之间连接关系,电源、地连接关系,完成了输入输出端口定义的电路图,即仅未完成电路器件的参数优化的电路图。由于电路参数优化是一个多目标优化问题,尤其是模拟电路的设计需要在温度系数、线性度、增益带宽积、电源电压、输出摆幅、速度、输入/输出阻抗、功耗等多个电路结构对应的性能进行折衷。电路结构的器件参数,例如MOS管的尺寸信息(如,MOS管的沟道长、沟道宽和插指(finger)个数),器件的PVT(Process工艺、Votage电压和Temperature温度,指器件的环境参数)等影响其所在电路的各方面的性能,是电路设计针对多个电路性能在相应器件参数下折衷之后的体现。
需说明的是,为当前解集中每个器件参数向量在寻优空间确定多个出发点,构建每个器件参数向量在每个出发点上的方向向量。这个步骤的目的是明确寻优空间中的搜索方向,在寻优算法中引导种群向帕累托最优解方向移动。
需说明的是,在选择了需要优化的电路结构后,需要将电路结构中若干个待优化的器件参数(如器件MOS管的沟道长、沟道宽和插指个数)进行向量表达。即,用向量来描述器件参数,进而作为决策参数引入多目标优化中。对器件参数进行向量表达能够与下文中的方向向量进行关联,进而提升算法寻优效率。
作为一种示例,步骤S100中,将多个器件参数随机初始化,需要在解空间中随机均匀产生h个个体(当然,个体可以为电路结构中的单个或多个器件,也可以一个或多个电路结构),每个个体由n维向量组成。因此,初始化的个体构成的解集由h个个体构成,每个个体包含n个器件参数向量,每个个体对应多个电路结构性能指标。n个器件参数向量和对应的电路结构性能指标构成了n维寻优空间。
作为可选的实施方式,步骤S200中,电路结构性能指标为综合性能指标,判断当前解集是否满足优化终止条件,包括如下步骤:
根据当前解集每个器件参数向量计算电路结构的综合性能指标,当计算的综合性能指标满足设定的区间范围则优化终止。其中,设定的区间范围需根据具体的电路结构性能进行设置。需说明的是,如果是对电路结构的多个器件进行参数优化,需要每个器件综合性能指标均满足设定的区间范围。
作为一种可选的实施方式,为了能够识别出优化的结果,本实施例建立了电路结构性能指标的综合性能指标FoM,以此来方便快捷地筛选最优解,即判断是否满足优化终止条件。当综合性能指标FoM落入设定的区间范围(根据实际情况设定),则判断当前解集是最优解,算法终止。综合性能指标FoM的计算公式如下:
(1);
其中fi(x)为m个电路结构性能指标的第i个指标;fi max(x)、fi min(x)为对第i个性能指标进行归一化的归一化因子,保证第i个性能指标在合理范围,fi max(x)为第i个电路结构性能指标对应的最大值,fi min(x)为第i个电路结构性能指标对应的最小值;weigti为电路结构的第i个性能指标对应的权重,其可由电路专家给出;x为由n个器件参数构成的n维向量。其中,fi max(x)、fi min(x)可以根据经验得到,或实际情况设定,或者通过仿真得到。
作为一种示例,f1(x),...fm(x)可以分别是电路结构中元器件的温度系数、线性度、电源电压和功耗等4个性能指标(即,m=4),X分别为MOS管的沟道长、沟道宽和插指个数(即,n=3)。f1(x),...fm(x)的值通过拟合或仿真(如通过hspice软件进行仿真)得到。
作为一种实施方式,步骤S300包括如下步骤:
为当前解集中每个器件参数向量构建分别从寻优空间(n维寻优空间)最高边界点和最低边界点(如理想的最高边界点和最低边界点)为出发点,且指向每个器件参数向量的方向向量。具体的,根据两个边界点构建的当前解集中每个器件参数向量对应的两个方向向量的表达式为:
vi1=si-l (2);
vi2=si-u (3);
其中,vi1为当前解集中第i个器件参数向量构建的第一个方向向量,vi2为当前解集中第i个器件参数向量构建的第二个方向向量;si为当前解集第i个器件参数向量;l为寻优空间(n维寻优空间)理想的最高边界点,u为寻优空间(n维寻优空间)理想的最低边界点。
需说明的是,寻优空间最高边界点和最低边界点可以根据实际情况设定也可以是理论上的理想值。
作为一种可选的实施方式,步骤S300还包括:
对构建的当前解集中每个器件参数向量对应的两个方向向量分别关联权重变量,确定每个权重变量的取值范围。
具体的,当前解集中每个器件参数向量对应的两个方向向量关联权重后的表达式为:
表达式为:
(4);
(5);
其中,pi1为当前解集中第i个器件参数向量关联权重变量的第一个方向向量,pi2为当前解集中第i个器件参数向量关联权重变量的第二个方向向量,;λi1、λi2分别为当前解集第i个器件参数向量对应的权重变量。
进一步地,上述确定每个权重变量的取值范围为:不小于0,且不大于0.5。
需说明的是,由于权重变量取值在0到0.5之间,每个关联权重变量的方向向量只在原始搜索空间的一半范围内。因此,关联权重变量的方向向量将覆盖整个搜索空间而不产生重叠,这也使得搜索并行化,提高了算法的效率。具体来说,当前解集的每个器件参数向量解都与两个方向向量关联,这主要出于两个考虑:双向向量(两个方向向量)可以增强种群多样性,从而减少了给定方向向量与帕累托最优解集不相交的可能性;双向向量可以消除单方向向量发散引起的不均匀搜索。
作为一种示例,步骤S300,将方向向量对应的权重变量作为优化变量构建单目标优化函数,包括如下步骤:
将每个关联权重变量的方向向量代入每个电路结构性能指标,得到多个重构后的性能指标,将多个重构后的性能指标对应的权重变量作为单目标优化函数的优化变量。具体的,将多个重构后性能指标作为整体,构建单目标优化函数,单目标优化函数的优化变量为关联权重的方向向量对应的权重。
作为一种示例,给定一个大小为m的路结构性能指标,该指标中对应的每一个器件参数向量都与两个具有权重向量变量的方向向量相关联,就可以重构出总数为2m的电路结构性能指标。以当前解集的第一个器件参数向量为例,重构两个电路结构性能指标如下:
(6);
(7);
其中,f1为上述电路结构性能指标的第一个指标。
对每一个性能指标按照(6)、(7)式重构的电路结构性能指标集合为:
(8);
其中,在重构的寻优空间中的优化变量为:
需说明的是,将原问题中的优化变量控制在权重变量的控制下,作为一个整体进行优化。一方面,它可以显式地节省变量分析的计算成本;另一方面,它可以隐式地在进化过程中考虑变量的相互作用。
作为一种可选的实施方式,单目标优化函数为求hypervolume函数的最大值。具体的,一旦对子问题进行重构,将原寻优空间中对决策向量X的优化转化为重构寻优空间中对权重向量变量的优化。相应地,目标空间可以被缩减,新的优化问题可以被重新表述为:
Max Hypervolume(Z'()) (9);
Hypervolume(超体积) 指标评价方法最早是由 Zitzler 等提出,它表示解集中的个体与参考点在目标空间中所围成的超立方体的体积。Hypervolume指标评价方法是一种与帕累托最优解比较法一致的评价方法,也就是说如果一个解集S优于另一个解集S',那么解集S的 Hypervolume 指标亦会大于解集S'的 Hypervolume 指标。超体积指标能很好地衡量算法的收敛性和多样性。令X记为算法求解得到的非占优解集,P记为真实帕累托前沿对应的参考点(可根据实际情况设置,或设置为理论值),通常是各个目标上的最大值形成的向量。那么,非占优解集到真实帕累托前沿的超体积,即Hypervolume 指标,具体计算方式如下:
(10);
其中,v(X,P)表示非占优解集X中解x与参考点P之间形成空间的超体积,即:以解x与参考点P之间的连线作为对角线,构建的超立方体的体积。因此,Hypervolume指标也可以同时评估占优解集的收敛性和多样性。非占优解集的 Hypervolume 指标数值较大意味着,该解集在收敛性和多样性的方面更加接近真实帕累托前沿,是较好的非占优解集。上述公式(10)中,X可替换为={λ1112,...,λr1,λr2}。
作为一种实施方式,步骤S400中采用贝叶斯优化算法对单目标优化函数的权重变量进行优化。具体的,贝叶斯优化的过程当中,包括如下步骤:
S410、定义需要估计的电路参数优化问题的决策向量定义域。在本示例中,定义每个权重变量的取值范围为:不小于0,且不大于0.5;
S411、对定义域进行采样,生成采样集合,构建概率分布模型;在本示例中,采用随机采样,即每个权重变量的在上述取值范围的随机值;
S412、根据有限的采样值,对函数(上文所述的Hypervolume(超体积))进行估计(贝叶斯优化中的先验知识),得出其目标值(Hypervolume函数的最大值或最小值)。需说明的是,在贝叶斯优化过程中,选用超体积(Hypervolume) 作为评估指标(参见上文所述);
S413、根据采样函数(acquisition function),来挑选下一步参数;
S414、将新的采样值加入到集合之中;
S415、重复S411-S414步骤,直到最大预设的迭代次数,得到优化后的解集。
作为一种示例,对优化后的权重(每个器件参数对应的两个权重)采用差分进化算法迭代给定的迭代次数,得到进化迭代后的权重。具体的,差分进化算法(DifferentialEvolution Algorithm,DE)是一种高效的全局优化算法。它也是基于群体的启发式搜索算法,群中的每个个体对应一个解向量。在本示例中的差分进化算法,选用了经过贝叶斯优化后的解集作为初始种群。差分进化算法的进化流程则与遗传算法非常类似,都包括变异、杂交和选择操作,但这些操作的具体定义与遗传算法有所不同。其步骤包括:
(1)变异操作。具体操作为:在第i次迭代中,从种群中随机选择3个个体(本示例中为优化后的权重),分别使用Xp1(g)、Xp2(g)、Xp3(g)表示。且p1≠p2≠p3,生成的变异向量可以表示为:
Hi(g)=Xp1(g)+F*(Xp2(g)-Xp3(g)) (11);
其中,△p2,p3=Xp2(g)-Xp3(g)为差分向量;g代表种群中的个体(本示例中可以通过权重对应的器件或电路结构的参数,具体通过公式(4)、(5)转化),p1、p2、p3代表不同的个体g的编号;F是缩放因子。
与遗传算法中具体的不同点为:本实施例中的差分进化算法对每个权重都执行变异操作。例如,在遗传算法中的突变操作是在个体中的一个位点或多个位点进行突变。具体对应为某个电路的全部器件参数。而在本实施例中的差分进化算法中的变异操作,则是使用两个随机选择的个体向量进行差分操作从而生成差分向量来对现有向量进行调整。在现实的角度而言,这种向量化的差分可以看作是一种更高效的策略。这种操作是在每个个体向量上进行的,因此效率更高。类似地,交叉也是基于向量的染色体或向量段的分支交换,
优选的,对于缩放因子F,其中F∈[0,2]是一个参数,通常称为差分权重(differential weight)。这要求种群规模的最小值为n≥3。原则上,F∈[0,2],但在实际应用中,选择使用F∈[0,1]更有效和稳定。在差分进化算法计算的过程中,通常F的取值为0.5 。
(2)交叉操作。在本实施例中的差分进化算法中的交叉操作,是指对生成的差分向量与父代个体按某种方式相互交换其部分染色体,从而形成两个新的个体。其中,染色体是指决策向量中的某个变量。具体而言,差分算法的交叉过程可以看作为交换两个具有相同电路结构中某些器件的器件参数(器件参数需要通过权重转化,具体通过公式(4)、(5)转化)。其主要适用于二进制编码个体或浮点数编码个体(在本实施例中的电路器件参数向量对应的权重为浮点数编码个体)。选择使用算术交叉(Arithmetic Crossover)作为交叉操作的算法。算术交叉后的结果Vi,j(g)可以表示为:
(12);
其中,Cr为交叉概率,主要是用来控制交叉的速率或概率,通常Cr∈[0,1];i代表为种群中个体g的第i染色体,j代表个体g中第j个染色体;Hi,j(g)表示个体g中第i个染色体与第j个染色体互换位置,Xi,j(g)表示个体g中第i个染色体与第j个染色体位置不交换。这样,交叉操作便可以随机地决定是否与变异个体交换某一分量。需说明的是,本示例的交叉为权重浮点数编码位对应的二进制数的交换。
(3)选择操作。在遗传算法中,种群(population)包含所有的个体;每代(generation)的种群个数、染色体都可能是不一样的,差分进化算法也正是通过迭代的方式不断地寻找更优解,而选择操作即为寻找最优解的过程。本实施例中,通过适应度值(Fitness)作为电路的评估指标。需说明的是,Fitness为上文所述的FoM。进一步需说明的是,此步骤需要转换,先通过个体对应的权重变量转化为个体对应的参数向量,通过参数向量计算个体对应的多个性能指标,最后通过个体对应的多个性能指标计算个体对应的适应度值FoM,具体通过公式(6)、(7)、(1)进行计算。
遗传算法中的选择操作,指依据种群的支配顺序或适应度对染色体进行选择的过程。在本申请的应用中,选择操作为在父代个体和生成的交叉个体中选择具有最优性能的电路器件参数。为了决定选择种群中的向量是否能成为下一代的一员,试验向量与当前的目标向量进行比较,如果出现目标函数被最小化,那么具有最小目标函数的向量将在下一代出现。试验向量只与一个个体进行比较,而不是所有个体。
(13);
其中,fitness(Xi(g))为g代个体对应的适应度值,fitness(Vi(g))为g代交叉个体对应的适应度值;Xi(g+1)为g代生成的子代个体。适应度的计算参见公式(6)、(7)、(1)。
重复执行上述步骤(1)-(3)直到达到给定的迭代次数,得到进化的结果。得到进化的结果为权重向量,需要还原成对应的器件参数。因此,将得到的进化结果通过上述公式(2)-(5)的逆变换,得到新的解集之后,执行步骤S200,最终输出电路结构中每个器件对应的最优器件参数。
实施例二:如图3-图6所示,本实施例提供了按照实施例一所述的基于知识和数据双驱动人工智能的电路设计方法对电压基准源电路、低压差线性稳压器电路的器件参数分别进行了优化,同时,采用贝叶斯优化算法(BO)、粒子群算法(PSO)和强化学习(EA)分别对电压基准源电路、低压差线性稳压器电路的器件参数分别进行了优化,提供了优化结果的对比实施例。
需说明的是,本实施例以基准电压源(reference voltage source),基准电压源是当代模拟集成电路极为重要的组成部分,它为串联型稳压电路、A/D和D/A转化器提供基准电压,也是大多数传感器的稳压供电电源或激励源。另外,基准电压源也可作为标准电池、仪器表头的刻度标准和精密电流源。图3中,VDD为是单极器件的正输入电压,GND为接地端,VREF1、VREF2、VREF3均为基准电压,Ms1、Ms2、Ms3、Mp1、Mp2、Mp3、Mp4、MM1、MM2、MM3、MM4、MA1、MA2、MA3、MA4、MA5、MO1、MO2、MO3为电路中相应的MOS管。图4中,VDD为是单极器件的正输入电压,Vout为输出电压,Iout、I0为电路中对应的电流源、GND为接地端,Cm、Cq、Cpp为电路中相应的电容,R1、R2为电路中相应的电阻,MB1、MB2、M1、M2、M3、M4、M5、M6、M7、M8、Mp、MF为电路中相应的MOS管。
具体的,对应于本申请的实施例,由于基准电压源和低压差线性稳压器电路电路结构(具体参见图3、图4)已经确定,个体的维度为电路结构中的器件的数量乘于每个器件的器件参数。具体而言,使用每个器件的三个或者四个器件参数组成的矩阵来描述该器件,例如,MOS管的沟道长、沟道宽和插指(finger)个数(即单个MOS可以使用由若干个相同尺寸插指结构MOS晶体管并联构成,插指个数为插指结构MOS晶体管的个数)M。
需说明的是,对于一般的基准电压源和低压差线性稳压器电路,其群体规模参数M,一般介于10×n与80×n之间,一般不能少于3×n。而相对于本申请的基准电压源电路和低压差线性稳压器电路,初始化的器件参数(决策向量)需要满足工艺文件(工艺库)中的设计尺寸约束。在集成电路设计的过程中,工艺文件定义了器件的具体类型,并在大多数工艺文件中使用了BSIM模型,用以对电路设计时使用的器件进行仿真。但由于实际的加工过程中,需要满足一定设计规则,如DRC(Design Rule Check)和LVS (Layout VS Schematic)。在本实施例中,MOS管的宽度应小于100微米(参数的物理数值), 大于 0.22微米, MOS管的长度应小于10微米, 大于0.18微米等。
本实施例中对基准电压源和低压差线性稳压器电路的多优化目标为:温度系数(TC)、线性度(LS)及工作电流(Current),优化器件为MOS管,即电路结构性能指标为TC、LS和Current,具体的器件为MOS管,器件参数有三个,分别为:MOS管的沟道长度、沟道宽度和插指个数M。差分进化算法中选择操作适应度(综合性能指标FoM)对应为某个电路在特定参数情况下的性能指标,可以通过对电路的模拟仿真结果进行分析后得到。
参见图5和图6,上述四个算法运行十次后获得的电路的平均FoM值,对应图中的y轴表示,图中x轴表示为算法的运行时间(或仿真次数)。上述实施例一所提出优化方法,简称为CC-FII算法(在附图中为本算法)对比于其他常用算法如:贝叶斯优化算法(简称BO)、粒子群算法(简称PSO)、强化学习(简称EA),都取得了更好的优化效果。具体体现在实施例一所提出优化方法(附图中的本算法)在综合性能指标FoM的值更高,另外,本算法收敛的速度相对较快或与其他算法相差不大。
综上所述,本实施例的提出的基于问题重构策略的知识和数据双驱动人工智能的电路设计方法,能够快速的寻找出符合电路结构及优化指标的电路参数,而且优化效果更好。
应该理解的是,虽然如上的各实施例所涉及的流程图中的各个步骤按照箭头的指示依次显示,但是这些步骤并不是必然按照箭头指示的顺序依次执行。除非本文中有明确的说明,这些步骤的执行并没有严格的顺序限制,这些步骤可以以其它的顺序执行。而且,如上的各实施例所涉及的流程中的至少一部分步骤可以包括多个步骤或者多个阶段,这些步骤或者阶段并不必然是在同一时刻执行完成,而是可以在不同的时刻执行,这些步骤或者阶段的执行顺序也不必然是依次进行,而是可以与其它步骤或者其它步骤中的步骤或者阶段的至少一部分轮流或者交替地执行。
以上所述仅为本发明的较佳实施例而已,本领域技术人员知悉,在不脱离本发明的精神和范围的情况下,可以对这些特征和实施例进行各种改变或等同替换。另外,在本发明的教导下,可以对这些特征和实施例进行修改以适应具体的情况及材料而不会脱离本发明的精神和范围。因此,本发明不受此处所公开的具体实施例的限制,所有落入本申请的权利要求范围内的实施例都属于本发明的保护范围。

Claims (4)

1.一种基于知识和数据双驱动人工智能的电路设计方法,其特征在于,包括如下步骤:
S100、将向量表达的多个器件参数作为电路结构的多性能优化的优化变量,将其随机初始化后作为当前解集;
S200、根据电路结构性能指标判断所述当前解集是否满足优化终止条件,如满足,则输出所述器件参数的优化结果;否则,执行步骤S300;
S300、根据在寻优空间确定的多个出发点,构建所述当前解集中每个器件参数向量在每个所述出发点上具有权重变量的方向向量,将所述方向向量对应的权重变量作为优化变量构建单目标优化函数;
S400、对所述单目标优化函数进行优化,并通过进化算法进行进化迭代,根据进化迭代后的权重和构建所述方向向量的表达式反解出所述器件参数向量,将反解出的所述器件参数向量更新所述当前解集,执行步骤S200;
步骤S300包括如下步骤:
为所述当前解集中每个器件参数向量构建分别从寻优空间最高边界点和最低边界点为所述出发点,且指向每个器件参数向量的方向向量,得到所述当前解集中每个器件参数向量对应的两个所述方向向量;
对构建的所述当前解集中每个器件参数向量对应的两个所述方向向量分别关联权重变量,确定每个权重变量的取值范围;
所述当前解集中每个器件参数向量对应的两个所述方向向量的表达式为:
vi1=si-l;
vi2=si-u;
其中,vi1为所述当前解集中第i个器件参数向量构建的第一个方向向量,vi2为所述当前解集中第i个器件参数向量构建的第二个方向向量;si为所述当前解集第i个器件参数向量;l为寻优空间理想的最高边界点,u为寻优空间理想的最低边界点;
所述当前解集中每个器件参数向量对应的两个所述方向向量关联权重变量后的表达式为:
其中,pi1为所述当前解集中第i个器件参数向量关联权重变量的第一个方向向量,pi2为所述当前解集中第i个器件参数向量关联权重变量的第二个方向向量,;λi1、λi2分别为所述当前解集第i个器件参数向量对应的权重变量;
所述电路结构性能指标为综合性能指标,判断所述当前解集是否满足优化终止条件,包括如下步骤:
根据所述当前解集每个器件参数向量计算电路结构的综合性能指标,当计算的所述综合性能指标满足设定的区间范围则优化终止;
所述综合性能指标FoM的公式为:
其中fi(x)为m个电路结构性能指标的第i个指标;fi max(x)为第i个电路结构性能指标对应的最大值,fi min(x)为第i个电路结构性能指标对应的最小值;weigti为电路结构的第i个性能指标对应的权重,x为由n个器件参数构成的n维向量。
2.根据权利要求1所述的基于知识和数据双驱动人工智能的电路设计方法,其特征在于,所述确定每个所述权重变量的取值范围为:不小于0,且不大于0.5。
3.根据权利要求1所述的基于知识和数据双驱动人工智能的电路设计方法,其特征在于,步骤S300中,所述将所述方向向量对应的权重变量作为优化变量构建单目标优化函数,包括如下步骤:
将每个关联权重变量的所述方向向量代入每个电路结构性能指标,得到多个重构后的性能指标,将多个重构后的性能指标对应的权重变量作为所述单目标优化函数的优化变量;
所述单目标优化函数为求Hypervolume指标的最大值。
4.根据权利要求1所述的基于知识和数据双驱动人工智能的电路设计方法,其特征在于,步骤S400中,采用贝叶斯优化算法对所述单目标优化函数的权重变量进行优化;对优化后的权重采用差分进化算法迭代给定的次数,得到所述进化迭代后的权重。
CN202410157755.6A 2024-02-04 2024-02-04 一种基于知识和数据双驱动人工智能的电路设计方法 Active CN117688887B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202410157755.6A CN117688887B (zh) 2024-02-04 2024-02-04 一种基于知识和数据双驱动人工智能的电路设计方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202410157755.6A CN117688887B (zh) 2024-02-04 2024-02-04 一种基于知识和数据双驱动人工智能的电路设计方法

Publications (2)

Publication Number Publication Date
CN117688887A CN117688887A (zh) 2024-03-12
CN117688887B true CN117688887B (zh) 2024-04-26

Family

ID=90133844

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202410157755.6A Active CN117688887B (zh) 2024-02-04 2024-02-04 一种基于知识和数据双驱动人工智能的电路设计方法

Country Status (1)

Country Link
CN (1) CN117688887B (zh)

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109960834A (zh) * 2017-12-25 2019-07-02 复旦大学 一种基于多目标贝叶斯优化的模拟电路多目标优化设计方法
CN110119558A (zh) * 2019-04-30 2019-08-13 广州大学 一种基于差分优化算法的电路参数优化方法
CN114492279A (zh) * 2022-01-27 2022-05-13 西安交通大学 一种模拟集成电路的参数优化方法及系统
CN116484787A (zh) * 2022-01-13 2023-07-25 复旦大学 一种基于贝叶斯优化的多Testbench模拟电路优化方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109960834A (zh) * 2017-12-25 2019-07-02 复旦大学 一种基于多目标贝叶斯优化的模拟电路多目标优化设计方法
CN110119558A (zh) * 2019-04-30 2019-08-13 广州大学 一种基于差分优化算法的电路参数优化方法
CN116484787A (zh) * 2022-01-13 2023-07-25 复旦大学 一种基于贝叶斯优化的多Testbench模拟电路优化方法
CN114492279A (zh) * 2022-01-27 2022-05-13 西安交通大学 一种模拟集成电路的参数优化方法及系统

Also Published As

Publication number Publication date
CN117688887A (zh) 2024-03-12

Similar Documents

Publication Publication Date Title
Zakeri et al. Efficient feature selection method using real-valued grasshopper optimization algorithm
Corus et al. Level-based analysis of genetic algorithms and other search processes
Liu Dependent-chance programming with fuzzy decisions
CN110119558B (zh) 一种基于差分优化算法的电路参数优化方法
CN109994158B (zh) 一种基于强化学习构建分子反应力场的系统及方法
Park et al. Granular neural networks and their development through context-based clustering and adjustable dimensionality of receptive fields
Xu et al. Multi-cluster based equilibrium optimizer algorithm with compact approach for power system network
Mierswa Controlling overfitting with multi-objective support vector machines
Ramirez-Gonzalez et al. Convolutional neural nets with hyperparameter optimization and feature importance for power system static security assessment
CN117688887B (zh) 一种基于知识和数据双驱动人工智能的电路设计方法
Zhang et al. Data driven modeling using an optimal principle component analysis based neural network and its application to a nonlinear coke furnace
CN113935556B (zh) 一种基于dna遗传算法的温度传感器优化布置方法
Song et al. Study on GA-based training algorithm for extreme learning machine
Wang et al. Research on the prediction model of greenhouse temperature based on fuzzy neural network optimized by genetic algorithm
CN110033118A (zh) 弹性网络建模且基于遗传算法的风机多目标优化控制方法
Mottaghi-Kashtiban et al. Optimization of rational-powered membership functions using extended Kalman filter
CN113095466A (zh) 基于元学习模型的可满足性模理论求解器的算法
Pan et al. A Web-Based Platform for Intelligent Instrument Design Using Improved Genetic Algorithm.
Wu et al. Design of fuzzy logic controllers using genetic algorithms
CN114327859B (zh) 云计算环境大规模问题代理优化的源模型聚类选择方法
CN115982862B (zh) 一种整车动态参数优化方法、模型训练方法及系统
CN112152523B (zh) 一种基于nn/ga的直流电机节能调速方法
Chen et al. Improved Evolutionary Strategies for Sparse Large-Scale Many-objective Optimization Problems
CN114398830A (zh) 一种沼气高压水洗的建模及优化方法
Cai et al. Surrogate-assisted operator-repeated evolutionary algorithm for computationally expensive multi-objective problems

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant