CN117666272A - Optical proximity correction method, mask plate, readable storage medium and computer equipment - Google Patents

Optical proximity correction method, mask plate, readable storage medium and computer equipment Download PDF

Info

Publication number
CN117666272A
CN117666272A CN202211048772.3A CN202211048772A CN117666272A CN 117666272 A CN117666272 A CN 117666272A CN 202211048772 A CN202211048772 A CN 202211048772A CN 117666272 A CN117666272 A CN 117666272A
Authority
CN
China
Prior art keywords
pattern
mask
reticle
distance
design
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202211048772.3A
Other languages
Chinese (zh)
Inventor
曹楠
王谨恒
王浩
陈洁
朱斌
张剑
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
CSMC Technologies Fab2 Co Ltd
Original Assignee
CSMC Technologies Fab2 Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by CSMC Technologies Fab2 Co Ltd filed Critical CSMC Technologies Fab2 Co Ltd
Priority to CN202211048772.3A priority Critical patent/CN117666272A/en
Publication of CN117666272A publication Critical patent/CN117666272A/en
Pending legal-status Critical Current

Links

Abstract

The invention relates to an optical proximity correction method, a mask plate, a readable storage medium and computer equipment, wherein the method comprises the following steps: obtaining a mask design pattern; analyzing and dividing the outer edge of the mask design graph; placing a plurality of target points on a first long edge of a line end graph with the width not larger than a first size in the mask plate design graph according to a preset target point interval; the mask design pattern comprises a pattern with a distance from the first long side not greater than a first distance; simulating the design pattern of the mask plate according to the OPC model to obtain a simulated exposure pattern; calculating edge placement errors of the simulated exposure pattern and the mask design pattern; and adjusting the mask design pattern according to the edge placement error to obtain a mask plate making pattern. The invention can effectively improve OPC correction precision and avoid pin occurrence.

Description

Optical proximity correction method, mask plate, readable storage medium and computer equipment
Technical Field
The present disclosure relates to the field of semiconductor manufacturing technology, and in particular, to an optical proximity correction method, a mask, a readable storage medium, and a computer device.
Background
With the rapid development of ultra large scale integrated circuits (ULSI, ultra Large Scale Integration), integrated circuit fabrication processes are becoming more complex and sophisticated. Among them, photolithography is a driving force for the development of integrated circuit manufacturing processes, and is one of the most complex technologies. The improvement in lithography is of great importance for the development of integrated circuits relative to other single fabrication techniques. Before the photolithography process begins, the pattern needs to be first copied to the reticle by a specific apparatus, and then the patterned structure on the reticle is copied to the silicon wafer from which the chip is produced by a photolithography machine. However, due to the shrinking size of the semiconductor device, the wavelength used for exposure is larger than the size of the ideal pattern of the physical layout design and the spacing between the patterns, and the interference and diffraction effects of the light waves cause great difference between the physical pattern generated by actual lithography and the ideal pattern of the physical layout design, and the shape and spacing of the actual pattern are greatly changed, even the performance of the circuit is affected.
One important reason for this difference is that the optical proximity effect is generated when the wavelength of the light used for lithography is larger than the size of the ideal pattern of the physical layout design and the pitch between the patterns. Therefore, optical proximity correction (OPC, optical Proximity Correction) may be performed on the reticle in order to solve the problem.
As the lithographic critical dimensions (Critical Dimension, CD) become smaller, the complexity of the design features becomes higher and higher, which puts higher demands on OPC correction accuracy. The inventors have found that for some line ends adjacent to other patterns, the problem of insufficient correction accuracy arises, see fig. 1. In fig. 1, three rectangular areas are designed patterns, a middle rectangular area is a line end pattern, and a contour line near the edge of the designed pattern is a simulated exposure pattern. This lack of correction is highly likely to cause line narrowing (pinch), reducing the process window and even the risk of breakage in severe cases.
Disclosure of Invention
Accordingly, it is necessary to provide an optical proximity correction method capable of improving OPC correction accuracy of line-end patterns adjacent to other patterns.
An optical proximity correction method, comprising: obtaining a mask design pattern; analyzing and dividing the outer edge of the mask design graph; placing a plurality of target points on a first long edge of a line end graph with the width not larger than a first size in the mask plate design graph according to a preset target point interval; the mask design pattern comprises a pattern with a distance from the first long side not greater than a first distance; simulating the design pattern of the mask plate according to the OPC model to obtain a simulated exposure pattern; calculating edge placement errors of the simulated exposure pattern and the mask design pattern; and adjusting the mask design pattern according to the edge placement error to obtain a mask plate making pattern.
According to the optical proximity correction method, for the line end graph (namely the line end adjacent edge segment) with other graphs around, whether the width of the line end graph is larger than the first size is used as a judging reference for judging whether the correction efficiency is required to be sacrificed to improve the correction accuracy, and a plurality of target points are placed for the line end adjacent edge segment meeting the conditions, so that the OPC correction accuracy is effectively improved, and the occurrence of pinch is avoided.
In one embodiment, the step of parsing and dividing the outer edge of the reticle design pattern includes: and only one target point is respectively placed on two long sides of the line end graph with the width larger than the first dimension in the mask design graph except for the long side end points.
In one embodiment, the first dimension is 0.18 microns.
In one embodiment, the first distance is 0.55 microns.
In one embodiment, the reticle design pattern includes a pattern having a distance from a first long side of the line end pattern that is no greater than a first distance, and a pattern having a distance from a second long side of the line end pattern that is no greater than a first distance, the first long side and the second long side being a set of opposite sides.
In one embodiment, the reticle design pattern further includes a pattern having a distance from the first short side of the line end pattern that is not greater than the first distance.
In one embodiment, the step of adjusting the reticle design pattern according to the edge placement error includes: and adjusting the mask design graph according to the value of the edge placement error corresponding to the outer edge of each section so as to enable the value of the edge placement error to be close to zero.
In one embodiment, the edge placement error is the position of the simulated exposure pattern minus the position of the reticle design pattern.
In one embodiment, the step of adjusting the reticle design pattern according to the edge placement error to obtain the reticle plate pattern includes: step A, adjusting the design graph of the mask plate according to the edge placement error; step B, simulating the adjusted mask design pattern according to the OPC model to obtain a re-simulated exposure pattern; step C, calculating the edge placement errors of the re-simulated exposure pattern and the adjusted mask design pattern; and repeatedly executing the step A, the step B and the step C until the preset condition is met, and obtaining the mask plate making pattern.
In one embodiment, if the number of times of adjustment in the step a reaches a preset threshold, no adjustment is performed, and the reticle design pattern obtained by the last adjustment is used as the reticle plate pattern.
In one embodiment, the preset condition is that an absolute value of an edge placement error corresponding to the outer edge of each segment of the reticle design pattern is smaller than a preset value.
It is also necessary to provide a reticle which is manufactured from the reticle plate pattern obtained by the optical proximity correction method according to any of the above embodiments.
It is also necessary to provide a readable storage medium having stored thereon a computer program which, when executed by a processor, implements the steps of the optical proximity correction method according to any of the above embodiments.
It is also necessary to provide a computer device comprising a memory and a processor, said memory storing a computer program, said processor implementing the steps of the optical proximity correction method according to any of the embodiments described above when said computer program is executed.
It is also necessary to provide a computer program product comprising a computer program which, when executed by a processor, implements the steps of the optical proximity correction method according to any of the preceding embodiments.
Drawings
In order to more clearly illustrate the technical solutions of embodiments or conventional techniques of the present application, the drawings required for the descriptions of the embodiments or conventional techniques will be briefly described below, and it is apparent that the drawings in the following description are only some embodiments of the present application, and other drawings may be obtained according to these drawings without inventive effort for a person of ordinary skill in the art.
FIG. 1 is a schematic illustration of an exemplary reticle design pattern and simulated pattern resulting from simulated exposure of a reticle plate pattern;
FIG. 2 is a schematic diagram of an exemplary reticle design pattern and OPC software simulation exposure pattern;
FIG. 3 is a flow chart of a method of optical proximity correction in one embodiment;
FIG. 4 is a schematic diagram showing the placement of the target point on the design in step S142 in one embodiment;
FIG. 5 is a flow chart of sub-steps of step S170 shown in FIG. 3 in one embodiment;
FIG. 6a is a schematic representation of a reticle pattern obtained by the OPC correction method of a comparative example, and FIG. 6b is a schematic representation of a reticle pattern obtained by the OPC method of an embodiment of the application;
FIG. 7 shows a partial region of a simulated pattern resulting from a simulated exposure using the reticle pattern shown in FIG. 6 b.
Detailed Description
In order to facilitate an understanding of the present application, a more complete description of the present application will now be provided with reference to the relevant figures. Examples of the present application are given in the accompanying drawings. This application may, however, be embodied in many different forms and is not limited to the embodiments described herein. Rather, these embodiments are provided so that this disclosure will be thorough and complete.
Unless defined otherwise, all technical and scientific terms used herein have the same meaning as commonly understood by one of ordinary skill in the art to which this application belongs. The terminology used herein in the description of the application is for the purpose of describing particular embodiments only and is not intended to be limiting of the application.
It will be understood that when an element or layer is referred to as being "on," "adjacent," "connected to," or "coupled to" another element or layer, it can be directly on, adjacent, connected, or coupled to the other element or layer, or intervening elements or layers may be present. In contrast, when an element is referred to as being "directly on," "directly adjacent to," "directly connected to," or "directly coupled to" another element or layer, there are no intervening elements or layers present. It will be understood that, although the terms first, second, third, etc. may be used to describe various elements, components, regions, layers, doping types and/or sections, these elements, components, regions, layers, doping types and/or sections should not be limited by these terms. These terms are only used to distinguish one element, component, region, layer, doping type or section from another element, component, region, layer, doping type or section. Thus, a first element, component, region, layer, doping type or section discussed below could be termed a second element, component, region, layer or section without departing from the teachings of the present invention; for example, the first doping type may be made the second doping type, and similarly, the second doping type may be made the first doping type; the first doping type and the second doping type are different doping types, for example, the first doping type may be P-type and the second doping type may be N-type, or the first doping type may be N-type and the second doping type may be P-type.
Spatially relative terms, such as "under", "below", "beneath", "under", "above", "over" and the like, may be used herein to describe one element or feature's relationship to another element or feature as illustrated in the figures. It will be understood that the spatially relative terms are intended to encompass different orientations of the device in use and operation in addition to the orientation depicted in the figures. For example, if the device in the figures is turned over, elements or features described as "under" or "beneath" other elements would then be oriented "on" the other elements or features. Thus, the exemplary terms "below" and "under" may include both an upper and a lower orientation. Furthermore, the device may also include an additional orientation (e.g., rotated 90 degrees or other orientations) and the spatial descriptors used herein interpreted accordingly.
As used herein, the singular forms "a", "an" and "the" are intended to include the plural forms as well, unless the context clearly indicates otherwise. It will be further understood that the terms "comprises" and/or "comprising," and/or the like, specify the presence of stated features, integers, steps, operations, elements, components, or groups thereof, but do not preclude the presence or addition of one or more other features, integers, steps, operations, elements, components, or groups thereof. Also, in this specification, the term "and/or" includes any and all combinations of the associated listed items.
Embodiments of the invention are described herein with reference to cross-sectional illustrations that are schematic illustrations of idealized embodiments (and intermediate structures) of the invention, such that variations of the illustrated shapes due to, for example, manufacturing techniques and/or tolerances are to be expected. Thus, embodiments of the present invention should not be limited to the particular shapes of the regions illustrated herein, but rather include deviations in shapes that result, for example, from manufacturing techniques. For example, an implanted region shown as a rectangle typically has rounded or curved features and/or implant concentration gradients at its edges rather than a binary change from implanted to non-implanted regions. Also, a buried region formed by implantation may result in some implantation in the region between the buried region and the surface over which the implantation is performed. Thus, the regions illustrated in the figures are schematic in nature and their shapes are not intended to illustrate the actual shape of a region of a device and are not intended to limit the scope of the invention.
An exemplary method for performing Optical Proximity Correction (OPC) by a computer-aided software tool is to first identify the edges of the reticle design pattern by OPC software so that each segment of the edges can move freely. The OPC software then simulates the pattern after the lithographic exposure and compares it to the reticle design pattern (as shown in fig. 2), the difference between which is known as edge placement error (EPE, edge Placement Error), which is an indicator of quality of correction. And the OPC software moves the edge position of the mask design graph when running, and calculates the corresponding edge placement error. This process is repeated until the calculated edge placement error reaches an acceptable value. In the EPE method described above, exemplary EPE values are defined as the values of the software simulated exposure minus the values of the reticle design pattern.
FIG. 3 is a flowchart of an optical proximity correction method according to an embodiment of the present application, including the following steps:
s110, obtaining a mask design pattern.
And after the integrated circuit is designed according to the actual requirements, obtaining design patterns of all layers conforming to the requirements as mask plate design patterns.
S120, analyzing and dividing the outer edge of the mask design graph.
The outer edge analysis and segmentation (segmentation) of the reticle design pattern is divided into multiple segments according to the OPC settings. Analytical segmentation is the segmentation of edges of a reticle design pattern into many small correction segments (line segments). The parsing and dividing mode can adopt rule matching dividing or marking manual dividing, and the specific parsing and dividing rule is not limited.
S130, judging whether the width of the line end adjacent side graph in the mask design graph is larger than a first size, and if so, executing a step S144; otherwise, step S142 is performed.
The line end adjacent edge graph refers to a line end graph affected by the adjacent graph. If the width of the line-end pattern is not very small, step S144 may be performed, and a simpler correction method is adopted to improve the correction efficiency. However, if the width of the line end pattern is smaller, there is a higher requirement for the accuracy of OPC correction, and if the simple correction method of step S144 is still adopted, there is a defect that the accuracy of correction of the pattern is not high, resulting in the occurrence of the ping phenomenon, and increasing the process risk. Therefore, when the width of the line-end pattern is not greater than the first size, step S142 is performed to improve OPC correction accuracy.
S142, placing a plurality of target points on the long edge of the adjacent edge graph at the line end according to the preset target point interval.
Referring to fig. 4, for the line-end pattern 10 (i.e., the line-end adjacent-edge pattern) adjacent to the other pattern (i.e., the pattern 20 in fig. 4), the exposure of the line-end pattern 10 is affected by the adjacent pattern 20, so that a plurality of Target points (Target points) are placed on the edge of the line-end pattern 10 by re-analyzing the line-end adjacent-edge pattern, that is, after the line-end adjacent-edge pattern is re-analyzed and divided, the segmentation points are changed from one Point to a plurality of points, and the Target points are reset accordingly, so that more dense correction is performed in the subsequent steps. The extending direction of the long side of the line end pattern 10 is the extending direction of the line end pattern 10 itself. If only one side of the line-end pattern 10 is adjacent to other patterns, a plurality of target points may be placed only on that side (a plurality of target points are placed in addition to the end points). In the embodiment shown in fig. 4, the line end pattern 10 is adjacent to the pattern 20 on both sides, so that a plurality of target points are placed on both long sides. In fig. 4, 4 target points (segment points) are shown on each of the two long sides of the line end graph 10 except for the end points. Generally, the length of the line-end pattern 10 is significantly larger than the preset target-point spacing (i.e. the length of the calibration segment); if the length of the line-end pattern 10 is not greater than the preset target-point interval, only one target point (except for the end points) may be placed on each long side. Referring to fig. 4, one end of the short side of the line-end pattern 10 is also adjacent to the pattern 20. It is considered that such a pattern 20 surrounding the line end pattern 10 from at least three sides has a large influence on the exposure of the line end pattern 10, and the foregoing more intensive correction is required. In other embodiments, the patterns surrounding the line end pattern 10 from at least three sides may not be connected to each other.
In one embodiment of the present application, the line end pattern 10 is not connected to other patterns (e.g., pattern 20) in the reticle design pattern and has no corners.
S144, only one target point is placed on the long side of the line end adjacent side graph except the long side end point.
If the width of the line end pattern 10 is small, only one target point may be placed on the corresponding long side even if the line end pattern 10 has an adjacent pattern, so as to improve correction efficiency. And for the line end graph with smaller width, the method can also meet the correction precision requirement. The inventors have found, after inventive efforts, that step S144 can be applied to the line-end pattern 10 having a width of more than 0.18 μm; for the line-end pattern 10 with a width not greater than 0.18 μm, the pin phenomenon occurs in step S144, so that step S142 is applicable.
And S150, simulating the design pattern of the mask plate according to the OPC model to obtain a simulated exposure pattern.
The reticle design pattern may be subjected to a simulated exposure using computer-aided software tools (OPC software) known in the art that may be used for OPC, which may have preset simulated exposure rules that may be modified by those skilled in the art. The application is not limited to specific simulated exposure rules.
S160, calculating Edge Placement Errors (EPEs) of the simulated exposure patterns and the mask design patterns.
In one embodiment of the present application, the edge placement error is the position of the simulated exposure pattern minus the position of the reticle design pattern, and the value of the edge placement error may be positive or negative.
S170, adjusting the mask design pattern according to the EPE to obtain the mask plate making pattern.
According to the optical proximity correction method, for the line end graph 10 (namely the line end adjacent edge segment) with other graphs around, whether the width of the line end graph is larger than the first size or not is used as a judging standard for judging whether the correction efficiency is required to be sacrificed to improve the correction accuracy, a plurality of target points are placed for the line end adjacent edge segment with the width not larger than the first size, and only one target point is placed for the line end adjacent edge segment with the width larger than the first size, so that the correction efficiency and the OPC correction accuracy are considered, the problem that the pinch occurs due to insufficient correction of the line end graph with the width not larger than the first size is avoided, the imaging accuracy of a photoetching layout on a wafer is improved, the process risk is reduced, the process window is improved, and the circuit performance and the product yield are improved.
In one embodiment of the present application, for the line end pattern 10 in which one target point is placed on the long side in step S144, the adjacent pattern 20 thereof is not projected on the line end pattern 10.
In one embodiment of the present application, for the line end pattern 10 with the plurality of target points placed on the long side in step S142, the adjacent pattern 20 is not projected on the line end pattern 10, and the line end pattern 10 may or may not be projected on the pattern 20.
In one embodiment of the present application, the pattern 20 being adjacent to the line end pattern 10 means that the pattern 20 is less than 0.55 microns from the line end pattern 10. For the case where only one side of the line-end pattern 10 has the adjacent patterns 20, step S142 may place a plurality of target points on only the long side of the side.
In one embodiment of the present application, step S120 includes a step of checking the lengths of adjacent edge segments of the line end graph, and performing parsing segmentation on the adjacent edge segments again according to the lengths of the adjacent edge segments, and then placing the target points according to a preset rule. Specifically, for the adjacent side segment with the width smaller than the first size of the line end graph, the adjacent side segment is divided into shorter segments after the parsing and dividing in the step S120, so that when the target point is placed, the result that a plurality of target points are placed on the adjacent side segment with the width smaller than the first size in the step S142 can be achieved.
Referring to fig. 5, in one embodiment of the present application, step S170 includes:
s452, adjusting the mask design graph according to the edge placement error.
In one embodiment of the present application, each correction segment of the reticle design pattern is moved according to the edge placement error such that the value of the edge placement error of each correction segment approaches zero or such that the absolute value of the edge placement error of each correction segment approaches a small value.
S454, simulating the adjusted mask design pattern according to the OPC model to obtain a re-simulated exposure pattern.
Step S454 is similar to step S150, and will not be described here.
And S456, calculating edge placement errors of the re-simulated exposure pattern and the adjusted mask design pattern.
Step S456 is similar to step S160 and will not be described again here.
After the step S456 is completed, judging whether a preset condition is met according to the edge placement error obtained in the step S456, and if so, taking the adjusted mask design pattern as a mask plate making pattern; otherwise, returning to step S452, each correction segment of the reticle design pattern is adjusted again.
In one embodiment of the present application, the preset condition is that an absolute value of an edge placement error corresponding to each correction segment is smaller than a preset value. The predetermined value may be a verification value.
In one embodiment of the present application, if the number of times of adjustment in step S452 reaches the preset threshold, no adjustment is performed any more, and the reticle design pattern obtained by the last adjustment is used as the reticle plate pattern.
Fig. 6a is a schematic diagram of a mask plate pattern obtained by the OPC correction method of a comparative example, and fig. 6b is a schematic diagram of a mask plate pattern obtained by the optical proximity correction method of an embodiment of the present application, wherein the diagonal line filling area is the mask plate pattern after OPC correction (i.e., the mask plate pattern obtained in step S170), and the rectangular solid color area is the mask design pattern obtained in step S110. The local area of the simulated pattern obtained by using the mask plate making pattern simulation exposure shown in fig. 6a is shown in fig. 1, and the width of the line end of the designed pattern marked in fig. 1 is 0.1450 (micrometers) and the width of the line end of the simulated pattern is 0.1350 (micrometers); the local area of the simulated pattern obtained using the reticle pattern simulation exposure shown in fig. 6b is shown in fig. 7, where the width of the line end of the design pattern is 0.1450 (micrometers) and the width of the line end of the simulated pattern is 0.1430 (micrometers) as marked in fig. 7. The optical proximity correction method can solve the problem of insufficient correction of the adjacent edge segments of the line end, and improves correction accuracy. The table below lists the errors of the simulated patterns obtained by simulated exposure of the reticle platemaking patterns at different line end widths of the designed patterns, wherein the units of each item of data are nanometers.
TABLE 1
The application correspondingly provides a mask plate manufactured by a mask plate making pattern obtained by the optical proximity correction method according to any embodiment.
The present application also provides a readable storage medium having stored thereon a computer program which, when executed by a processor, implements the steps of the optical proximity correction method described in any of the above embodiments.
The application also provides a computer device, which comprises a memory and a processor, wherein the memory stores a computer program, and the processor executes the computer program to implement the steps of the optical proximity correction method according to any one of the embodiments.
The present application also provides a computer program product comprising a computer program which, when executed by a processor, implements the steps of the optical proximity correction method according to any of the foregoing embodiments.
It should be understood that, although the steps in the flowcharts of this application are shown in order as indicated by the arrows, these steps are not necessarily performed in order as indicated by the arrows. The steps are not strictly limited to the order of execution unless explicitly recited herein, and the steps may be executed in other orders. Moreover, at least a portion of the steps in the flowcharts of this application may include a plurality of steps or a plurality of stages, which are not necessarily performed at the same time, but may be performed at different times, and the order of the execution of the steps or stages is not necessarily sequential, but may be performed in turn or alternately with at least a portion of the steps or stages in other steps or others.
In the description of the present specification, reference to the terms "some embodiments," "other embodiments," "desired embodiments," and the like, means that a particular feature, structure, material, or characteristic described in connection with the embodiment or example is included in at least one embodiment or example of the invention. In this specification, schematic descriptions of the above terms do not necessarily refer to the same embodiment or example.
The technical features of the above embodiments may be arbitrarily combined, and for brevity, all of the possible combinations of the technical features of the above embodiments are not described, however, as long as there is no contradiction between the combinations of the technical features, they should be considered as the scope of the description.
The above examples only represent a few embodiments of the present application, which are described in more detail and are not to be construed as limiting the scope of the claims. It should be noted that it would be apparent to those skilled in the art that various modifications and improvements could be made without departing from the spirit of the present application, which would be within the scope of the present application. Accordingly, the scope of protection of the present application is to be determined by the claims appended hereto.

Claims (10)

1. An optical proximity correction method, comprising:
obtaining a mask design pattern;
analyzing and dividing the outer edge of the mask design graph;
placing a plurality of target points on a first long edge of a line end graph with the width not larger than a first size in the mask plate design graph according to a preset target point interval; the mask design pattern comprises a pattern with a distance from the first long side not greater than a first distance;
simulating the design pattern of the mask plate according to the OPC model to obtain a simulated exposure pattern;
calculating edge placement errors of the simulated exposure pattern and the mask design pattern;
and adjusting the mask design pattern according to the edge placement error to obtain a mask plate making pattern.
2. The method of claim 1, wherein the step of parsing and dividing the outer edge of the reticle design pattern comprises: and only one target point is respectively placed on two long sides of the line end graph with the width larger than the first dimension in the mask design graph except for the long side end points.
3. The optical proximity correction method of claim 1 wherein the first dimension is 0.18 microns; and/or the first distance is 0.55 micrometers.
4. The optical proximity correction method of claim 1, wherein the reticle design pattern includes a pattern having a distance from a first long side of the line end pattern that is not greater than the first distance, and a pattern having a distance from a second long side of the line end pattern that is not greater than the first distance, the first long side and the second long side being a set of opposite sides.
5. The method of claim 4, wherein the reticle design pattern further comprises a pattern having a distance from a first short side of the line-end pattern that is not greater than the first distance.
6. The method of claim 1, wherein the step of adjusting the reticle design pattern according to the edge placement error comprises: and adjusting the mask design graph according to the value of the edge placement error corresponding to the outer edge of each section so as to enable the value of the edge placement error to be close to zero.
7. The method of claim 1, wherein the edge placement error is the position of the simulated exposure pattern minus the position of the reticle design pattern.
8. A reticle, characterized in that the reticle is manufactured from a reticle platemaking pattern obtained by the optical proximity correction method according to any one of claims 1 to 7.
9. A readable storage medium having stored thereon a computer program, which when executed by a processor realizes the steps of the method according to any of claims 1 to 7.
10. A computer device comprising a memory and a processor, the memory storing a computer program, characterized in that the processor implements the steps of the optical proximity correction method of any one of claims 1 to 7 when the computer program is executed.
CN202211048772.3A 2022-08-30 2022-08-30 Optical proximity correction method, mask plate, readable storage medium and computer equipment Pending CN117666272A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202211048772.3A CN117666272A (en) 2022-08-30 2022-08-30 Optical proximity correction method, mask plate, readable storage medium and computer equipment

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202211048772.3A CN117666272A (en) 2022-08-30 2022-08-30 Optical proximity correction method, mask plate, readable storage medium and computer equipment

Publications (1)

Publication Number Publication Date
CN117666272A true CN117666272A (en) 2024-03-08

Family

ID=90071927

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202211048772.3A Pending CN117666272A (en) 2022-08-30 2022-08-30 Optical proximity correction method, mask plate, readable storage medium and computer equipment

Country Status (1)

Country Link
CN (1) CN117666272A (en)

Similar Documents

Publication Publication Date Title
CN108333865B (en) Method for correcting mask graph
US6453457B1 (en) Selection of evaluation point locations based on proximity effects model amplitudes for correcting proximity effects in a fabrication layout
US6792590B1 (en) Dissection of edges with projection points in a fabrication layout for correcting proximity effects
US6601231B2 (en) Space classification for resolution enhancement techniques
US8788983B2 (en) Method for correcting layout pattern and mask thereof
US20030110460A1 (en) Dissection of printed edges from a fabrication layout for correcting proximity effects
CN110119062B (en) Optical proximity correction method, mask manufacturing method and patterning process
US6853743B2 (en) Mask pattern correction method, mask pattern creation system using the correction method, and computer-readable recording medium
CN107490931B (en) Method for correcting mask graph
CN108663897B (en) Optical proximity correction method
US6472108B1 (en) Optical proximity correction method
CN104865788A (en) Photoetching layout OPC (Optical Proximity Correction) method
US7820346B2 (en) Method for collecting optical proximity correction parameter
CN112241102A (en) Optical proximity correction, photomask manufacturing and imaging method
CN115509081A (en) Optical proximity correction method, mask and readable storage medium
US20230325579A1 (en) Geometric Mask Rule Check With Favorable and Unfavorable Zones
US8127257B2 (en) Designing method of photo-mask and method of manufacturing semiconductor device using the photo-mask
US20080134129A1 (en) Design rule checking for alternating phase shift lithography
CN109254494B (en) Optical proximity correction method
CN117666272A (en) Optical proximity correction method, mask plate, readable storage medium and computer equipment
CN112415864B (en) Method for determining OPC minimum segmentation length
CN115480441A (en) Optical proximity correction method, mask, readable storage medium and computer device
KR20090000868A (en) Method for optical proximity correct
CN112946994B (en) Optical proximity correction method and manufacturing method of mask
US6413685B1 (en) Method of reducing optical proximity effect

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination