CN117423609A - 光阻组合物及半导体装置的制造方法 - Google Patents

光阻组合物及半导体装置的制造方法 Download PDF

Info

Publication number
CN117423609A
CN117423609A CN202311236695.9A CN202311236695A CN117423609A CN 117423609 A CN117423609 A CN 117423609A CN 202311236695 A CN202311236695 A CN 202311236695A CN 117423609 A CN117423609 A CN 117423609A
Authority
CN
China
Prior art keywords
layer
silicon
groups
photoacid generator
group
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202311236695.9A
Other languages
English (en)
Inventor
何俊智
张庆裕
林进祥
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US18/133,933 external-priority patent/US20240118618A1/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN117423609A publication Critical patent/CN117423609A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Materials For Photolithography (AREA)

Abstract

一种光阻组合物及半导体装置的制造方法。制造半导体装置的方法包括:形成第一层于基板上,第一层具有有机材料。形成第二层于第一层上,第二层包括含硅聚合物,含硅聚合物具有侧酸基或侧光致产酸剂基。形成第二层包括:形成组合物层于第一层上,组合物层包括硅基聚合物和含酸基或光致产酸剂基的材料。漂浮含酸基或光致产酸剂基的材料于硅基聚合物上。将含酸基或光致产酸剂基的材料与硅基聚合物反应,以形成上第二层覆盖下第二层。上第二层包括硅基聚合物,硅基聚合物具有侧酸基或侧光致产酸剂。下第二层包括硅基聚合物。形成光敏层于第二层上。图案化光敏层。

Description

光阻组合物及半导体装置的制造方法
技术领域
本揭示案是关于一种光阻组合物及半导体装置的制造方法。
背景技术
随着消费类装置为了满足消费者的需求而变得越来越小,这些装置的各个元件也必然会随之缩小。构成移动电话、计算机平板等装置的主要组成的半导体装置被迫变得越来越小,半导体装置中的单个装置(例如,晶体管、电阻器、电容器等)也同样地被迫缩小尺寸。
在半导体装置制造工艺中使用的一项有利技术是光刻材料。这类材料应用于待图案化层的表面,然后暴露于能量下以图案化其自身。这样的曝光改变了光敏材料曝光区域的化学和物理特性。这种改变加上未改变的没有曝光的感光材料区域,可以用来去除一个区域而不去除另一个区域。
然而,随着单个装置的尺寸减小,光刻工艺的工艺视窗变得越来越紧。因此,光刻工艺领域的进步是必要的以保持缩小化装置的能力,并且,需要进一步的改进以满足所需的设计标准,从而可保持向越来越小的元件前进。
发明内容
本揭示内容的实施例为一种制造半导体装置的方法,方法包括:形成第一层于基板上,第一层包括有机材料。形成第二层于第一层上,第二层包括含硅聚合物,含硅聚合物具有多个侧酸基或多个侧光致产酸剂基。形成第二层包括:形成组合物层于第一层上,组合物层包括硅基聚合物和含酸基或光致产酸剂基的材料。漂浮含酸基或光致产酸剂基的材料于硅基聚合物上。将含酸基或光致产酸剂基的材料与硅基聚合物反应,以形成上第二层覆盖下第二层。上第二层包括硅基聚合物,硅基聚合物具有多个侧酸基或多个侧光致产酸剂基。下第二层包括硅基聚合物。形成光敏层于第二层上。图案化光敏层。
本揭示内容的另一实施例为一种制造半导体装置的方法,方法包括:形成底部抗反射涂层于基板上。形成中间层于底部抗反射涂层上,中间层包括下中间层和位于下中间层上方的上中间层,下中间层包括第一硅基聚合物,上中间层包括第二硅基聚合物,第二硅基聚合物具有多个侧酸基或多个侧光致产酸剂基,第二硅基聚合物和第一硅基聚合物具有不同组成。形成光敏层于中间层上。以光化辐射选择性曝光光敏层,以形成潜伏图案。显影选择性曝光的光敏层以形成图案于光敏层中。
本揭示内容的另一实施例为一种光阻组合物,包括:硅基聚合物、可漂浮材料及溶剂。可漂浮材料包括以下的至少一者。(i)具有酸基或光致产酸剂基的含硅化合物,(ii)具有多个酸基或多个光致产酸剂基的含硅聚合物,或(iii)具有酸基或光致产酸剂基的有机物。
附图说明
当与附图一起阅读时,从下面的详细描述中可以最好地理解本揭示内容。需要强调的是,根据行业内的标准做法,各种特征没有按比例绘制,仅用于说明目的。事实上,为了讨论清楚,各种特征的尺寸可以任意增加或减少。
图1绘示根据本揭示内容的实施方式的制造半导体装置的工艺流程;
图2A及图2B示出根据本揭示内容的实施方式的顺序操作的工艺阶段;
图3示出根据本揭示内容的一个实施方式的顺序操作的工艺阶段;
图4示出根据本揭示内容的一个实施方式的顺序操作的工艺阶段;
图5示出根据本揭示内容的一个实施方式的顺序操作的工艺阶段;
图6A及图6B示出根据本揭示内容的实施方式的顺序操作的工艺阶段;
图7示出根据本揭示内容的一个实施方式的顺序操作的工艺阶段;
图8示出根据本揭示内容的一个实施方式的顺序操作的工艺阶段;
图9A及图9B示出根据本揭示内容的实施方式的顺序操作的工艺阶段;
图10示出根据本揭示内容的一个实施方式的顺序操作的工艺阶段;
图11A及图11B示出根据本揭示内容的实施方式的顺序操作的工艺阶段;
图12A及图12B示出根据本揭示内容的实施方式的顺序操作的工艺阶段;
图13A及图13B示出根据本揭示内容的实施方式的顺序操作的工艺阶段;
图14A及图14B示出根据本揭示内容的实施方式的顺序操作的工艺阶段;
图15A及图15B示出根据本揭示内容的实施方式的顺序操作的工艺阶段;
图16A及图16B示出根据本揭示内容的实施方式的顺序操作的工艺阶段;
图17绘示根据本揭示内容的实施方式的底层组合物的聚合物;
图18绘示根据本揭示内容的实施方式的底层组合物的聚合物;
图19绘示根据本揭示内容的实施方式的底层组合物的聚合物;
图20A、图20B及图20C绘示根据本揭示内容的实施方式的底层组合物的聚合物;
图21绘示根据本揭示内容的一个实施方式的中间层的烘烤操作中的反应;
图22绘示根据本揭示内容的一个实施方式的中间层的烘烤操作中的反应;
图23绘示根据本揭示内容的一个实施方式的中间层的烘烤操作中的反应;
图24绘示根据本揭示内容的实施方式的光致产酸剂基阳离子;
图25绘示根据本揭示内容的实施方式的光致产酸剂基阴离子;
图26绘示根据本揭示内容的一个实施方式的聚合物结合光致产酸剂基的酸产生反应。
【符号说明】
S105:操作
S110:操作
S115:操作
S120:操作
S125:操作
S130:操作
S135:操作
S140:操作
S145:操作
S150:操作
S155:操作
S160:操作
10:基板
30:光罩
35:不透明图案
40:光罩基板
45:辐射
50:曝光区
52:未曝光区
55:开口图案
55’:开口图案
57:显影剂
62:分配器
65:反射光罩
70:玻璃基板
75:反射复合层
80:覆盖层
85:吸收层
90:背导电层
95:辐射
97:辐射
100:工艺流程
105:导电层
110:底层
115:中间层
115a:下中间层
115b:上中间层
115c:曝光区
117:产生的酸
120:光敏层
125:三层阻剂
140:开口
140’:开口
145:ILD层
150:导电接触
具体实施方式
应理解的是,以下揭示内容提供了许多不同的实施方式或实例,用于实现本揭示内容的不同特征。为了简化本揭示内容,下文描述了元件和安排的具体实施方式或实例。当然,这些只是例子,并不意味着是限制性的。例如,元件的尺寸不限于所揭示的范围或数值,而可能取决于工艺条件和/或装置的理想特性。此外,在下面的描述中,第一特征在第二特征上的形成可能包括第一和第二特征直接接触的实施方式、也可以包括附加的特征可以形成第一和第二特征之间这样的实施方式,这样第一和第二特征可以不直接接触。为了简单明了,各种特征可以任意地以不同的比例绘制。
此外,空间相对术语,如“下面”、“下方”、“之下”、“之上”、“上方”等,为了便于描述在此可用于描述一个元素或特征与图中所示的另一个(些)元素或特征的关系。空间上的相对术语旨在包括装置在使用或操作中的不同方向及图中描述的方向。该装置可以有其他方向(旋转90度或其他方向),这里使用的空间相对描述符也可以相应地解释。此外,术语“由…制成”可以是指“包括”或“由…组成”。该装置可以是其他方向的(旋转90度或其他方向),这里使用的空间相对描述符同样可以相应地解释。此外,在下面的制造工艺中,在所描述的操作之间可能有一个或多个额外的操作,而且操作的顺序可能会改变。就一个实施例所解释的材料、配置、尺寸、工艺和/或操作可以在其他实施例中采用,并且可以省略有关的详细描述。源极/漏极区取决于上下文可以是单独或集体地指源极或漏极。
随着半导体装置图案特征变得越来越小,光阻图案的解析度变得越来越重要。在13.5纳米处曝光的极紫外(extreme ultraviolet,EUV)光刻技术已用于20纳米以下的半导体装置关键尺寸。在化学放大阻剂(chemically amplified resists,CARs)中,由EUV光子产生的二级电子会激活光致产酸剂(photoacid generator,PAG)和光分解淬火剂(photodecomposable quencher,PDQ)。然而,由于光阻对13.5纳米辐射的吸收较弱,在EUV光刻工艺中可能会形成浮渣(scum)缺陷。低的EUV光子吸收将导致PAG/PDQ的激活效率低下。残留在沟槽中的未显影的阻剂可能会导致桥接线或起脚,从而导致光阻图案无法转移到其下方的层。此外,CAR可能会受到解析度、线边缘粗糙度和灵敏度(line-edge-roughness andsensitivity,RLS)及耐蚀性不足的影响而导致线宽粗糙度(line-width-roughness,LWR)和局部临界尺寸均匀性(local critical dimension uniformity,LCDU)较差。本揭示内容的实施方式解决了CAR的这些缺点并提供了更好的解析度、线边粗糙度、灵敏度、线宽粗糙度、局部关键尺寸均匀性和耐蚀性。
三层阻剂用来提供更高的图案解析度和蚀刻选择性。三层阻剂包括底层、中间层和上层(光敏层)。中间层中的高硅含量为光敏层和底层提供了良好的粘合力、低反射率和高程度的蚀刻选择性。在一些实施方式中,沉积的中间层包括加热时交联的单体或聚合物及与硅基聚合物中的Si-O键反应形成聚合物量聚合物的端羟基。底层,例如底部抗反射涂层(bottom anti-reflective coating,BARC)或旋涂碳(spin-on carbon,SOC)涂层,是用于在后续加工操作中使装置平面化或保护半导体装置特征,如金属栅极。本揭示内容的实施方式包括减少光阻图案中的浮渣缺陷的方法和材料,从而提高图案解析度,减少线宽粗糙度,减少线边缘粗糙度,并提高半导体装置产量。本揭示内容的实施方式进一步能够使用较低的曝光剂量来有效地对光阻进行曝光和图案化。
本揭示内容的实施方式包括在中间层中的酸基或PAG基,中间层包括含硅材料。在一些实施方式中,中间层包括聚合物结合的一个或多个PAG基或一个酸基。在一些实施方式中,酸基是羧基或磺酸基,PAG基包括阳离子的鎓基(onium group)。在一些实施方式中,酸基或PAG基与中间层的聚合物结合。在一些实施方式中,中间层的上部区域具有比中间层的下部区域更高的PAG基或酸基浓度。在暴露于光化辐射时,PAG基会在中间层产生酸。在中间层和光敏层的介面上的酸基可以补充光致产酸剂基在光敏层曝光区域内产生的酸,从而防止光阻底部浮渣。在一些实施方式中,中间层的酸扩散到光敏层中与阻剂聚合物反应,以减少浮渣缺陷。此外,从中间层扩散出来的酸可以补充上层的光生酸,从而减少了使光敏层完全曝光所需的曝光剂量。较低的所需曝光剂量增加了光刻操作中每小时可处理的晶圆数量(wafers per hour,WPH),从而提高了装置产量和装置制造效率。
本揭示内容的实施方式包括具有含硅聚合物的中间层,含硅聚合物具有侧(pendant)酸基或侧光致产酸剂基。中间层和光敏层之间的酸和扩散到光敏层的酸,降低了充分曝光光敏层所需的曝光剂量。较低的所需曝光剂量增加了在光刻操作中每小时可处理的晶片数量(WPH),从而提高了装置产量和增加了装置制造效率。此外,在中间层的烘烤操作中,中间层的成分可以交联,从而加强中间层。在一些实施方式中,具有酸基或光敏基的化合物或聚合物比中间层的其他成分密度小或疏水性强,例如硅基聚合物,具有酸基或光致产酸剂基的硅基化合物或聚合物漂浮在中间层的表面上。
图1绘示根据本揭示内容的实施方式制造半导体装置的工艺流程100。在操作S105中,如图2A所示,将第一层(或底层)组合物涂覆在基板10的表面上以形成第一层(或底层110)。在一些实施方式中,如图2B所示,形成装置特征于基板上。在一些实施方式中,底层110是底部抗反射涂层(BARC)或平面化层。在一些实施方式中,底层110是旋涂碳层。在一些实施方式中,底层110的厚度范围为约10纳米至约2,000纳米。在一些实施方式中,底层的厚度范围为约200纳米至约1,500纳米。底层厚度小于所揭示的范围,可能无法为半导体装置特征提供足够的保护,使其免受后续加工操作的影响或者无法提供足够的平面化。底层厚度大于所揭示的范围可能是不必要的厚度,并且可能不提供底层装置特征任何额外的重要保护或平面化。在一些实施方式中,底层特征包括具有鳍结构或栅极结构的晶体管。在一些实施方式中,底层特征包括导电层105,例如金属层。
在一些实施方式中,通过第一烘烤操作S110来蒸发底层110的溶剂或固化底层的成分。在一些实施方式中,烘烤操作S110将底层的成分交联起来。在足够的温度和时间下烘烤底层110以固化和干燥底层110。在一些实施方式中,底层在约40℃至约400℃的温度下加热,约10秒至约10分钟。在另一些实施方式中,底层110在约100℃至约400℃的温度下加热。在另一些实施方式中,底层110在约200℃至约350℃的温度下加热。在另一些实施方式中,底层110在约250℃至约300℃的温度下加热。在低于所揭示范围的温度下加热底层可能导致固化或交联不足,而在高于所揭示范围的温度下加热底层可能导致底层和底层装置特征的损坏。在一些实施方式中,固化操作S110是通过曝光底层以光化辐射来执行的。在一些实施方式中,光化辐射是紫外光辐射。在一些实施方式中,紫外光辐射的波长为约100纳米到小于约300纳米。
在一些实施方式中,底层组合物与基板10或导电层105之间的毛细管力增强了底层组合物的间隙填充。底层组合物中的聚合物中的极性基可与基板10或待图案化的目标层,如导电层105相互作用,这可增强间隙填充。
在操作S115中,如图3所示,在底层110的表面上涂上第二层(或中间层)组合物,以形成第二层(或中间层115)。中间层115可具有为光刻操作提供抗反射特性或硬遮罩特性的成分。在一些实施方式中,中间层115相对于底层和上层都有很高的蚀刻选择性,而且中间层115对底层和上层都有很好的附着力。在一些实施方式中,中间层115包括含硅材料(例如,硅硬遮罩材料)。中间层115可以包括旋涂玻璃或硅氧烷、硅氧烷低聚物和聚合物(例如,聚硅氧烷)。在一些实施方式中,中间层成分包括含硅聚合物的结合光致产酸剂基或酸基或其组合。
在一些实施方式中,中间层115的厚度范围为约10纳米至约500纳米。在一些实施方式中,中间层115的厚度范围为约20纳米至约200纳米。在一些实施方式中,底层厚度与中间层厚度的比例范围为约1:1至约200:1。中间层厚度小于所揭示的范围可能无法提供足够的粘附性或耐蚀性。中间层厚度大于所揭示的范围可能是不必要的厚度,并且可能不提供任何额外的明显的粘附性或耐蚀性。
在一些实施方式中,中间层组合物包括溶剂。在一些实施方式中,中间层115是由旋涂操作S120在底层110上形成。在另一些实施方式中,中间层115涂覆在底层110上,接着,对涂覆的基板进行旋涂操作S120。在一些实施方式中,在旋涂或旋转操作工艺中,包括结合的酸基或结合的PAG基的成分从中间层组合物中分离出来,并漂浮在其他成分(例如,溶剂和硅基聚合物)上面,如图4所示,形成上中间层115b和下中间层115a。
在一些实施方式中,随后对中间层115进行第二烘烤操作S125,以蒸发溶剂或固化中间层组合物。在一些实施方式中,第二烘烤操作S125增强了上中间层115b和下中间层115a之间的分离。在一些实施方式中,第二烘烤操作S125使上中间层115b中的成分相互反应或交联或与下中间层115a中的硅基聚合物反应或交联。中间层115(例如115a,115b)在约40℃至约400℃的温度下加热约10秒至约10分钟。在另一些实施方式中,在约150℃至约400℃的温度下加热中间层115,而在另一些实施方式中,在约200℃至约300℃的温度下加热中间层。在低于所揭示范围的温度下加热中间层可能导致固化或交联不足,而在高于所揭示范围的温度下加热中间层可能导致中间层和底层装置特征的损坏。
在操作S130中,如图5所示,在一些实施方式中,将阻剂组合物涂覆在中间层115上以形成光敏层120(又称为上层)。在一些实施方式中,光敏层120是光阻层。底层110、中间层115和光敏层120(或上层)共同组成了三层阻剂125。然后,在一些实施方式中,对光敏层120进行第三次烘烤操作S135(或预曝光烘烤)以蒸发阻剂组合物中的溶剂。在足够的温度和时间下烘烤光敏层120以固化和干燥光敏层120。在一些实施方式中,在约40℃至约120℃的温度下加热光敏层约10秒至约10分钟。
在操作S140中,在光敏层120的预曝光烘烤操作S135之后,以光化辐射45/97选择性曝光(或图案性曝光)光敏层120和中间层115(见图6A和图6B)。在一些实施方式中,选择性曝光光敏层120和中间层115于紫外光辐射。在一些实施方式中,辐射是电磁辐射,如g光线(波长约为436纳米)、i光线(波长约为365纳米)、紫外光辐射、深紫外光辐射、极紫外光辐射、电子束等等。在一些实施方式中,辐射源选自汞蒸气灯、氙灯、碳弧灯、KrF准分子激光(波长为248纳米)组成的群组、ArF准分子激光(波长为193纳米),F2准分子激光(波长为157纳米)或CO2激光激发的Sn电浆(极紫外,波长为13.5纳米)。
在一些实施方式中,如图6A所示,在照射光敏层120和中间层115之前,曝光辐射45穿过光罩30以形成光敏层120的曝光区50和中间层115的曝光区115c。在一些实施方式中,光罩30有图案要在光敏层120中复制。在一些实施方式中,该图案是由光罩基板40上的不透明图案35所形成的。不透明图案35可由对紫外光辐射不透明的材料(例如铬)所形成,而光罩基板40是由对紫外光辐射透明的材料(如熔融石英)所形成。
在一些实施方式中,使用极紫外光刻对光敏层120和中间层115进行选择性曝光以形成光敏层120的曝光区50和未曝光区52,中间层115的曝光区115c和未曝光区。在一些实施方式中,如图6B所示,在极紫外光刻操作中,反射光罩65用来形成图案化的曝光。反射光罩65包括低热膨胀的玻璃基板70,在其上形成由Si和Mo组成的反射复合层75。在反射复合层75上形成覆盖层80和吸收层85。在低热膨胀玻璃基板70的背面形成背导电层90。在极紫外光刻中,极紫外光辐射95以约6°的入射角指向反射光罩65。极紫外光辐射的一部份辐射97被Si/Mo的反射复合层75反射到涂有光阻的基板10,而入射到吸收层85的极紫外光辐射部分被光罩吸收。在一些实施方式中,在反射光罩65和涂有光阻的基板之间有额外的光学装置,包括镜子。
相对于未暴露于辐射的光阻层的未曝光区52,暴露于辐射的光阻层的曝光区50发生化学反应,从而改变其在随后施加的显影剂中的溶解度。在一些实施方式中,光化辐射使暴露于辐射的部分的中间层115中的光致产酸剂产生酸。在一些实施方式中,光化辐射使光敏层120中的光致产酸剂产生酸。在一些实施方式中,光敏层120中的光致产酸剂化合物的阴离子或阳离子与中间层115中的光致产酸剂的阴离子或阳离子不同。
接下来,在操作S145中,三层阻剂125经过第四次烘烤(或曝光后烘烤(post-exposure bake,PEB))。在一些实施方式中,在约50℃至约160℃的温度下加热光敏层120和中间层115约20秒至约120秒。曝光后烘烤可用于帮助在曝光工艺中由辐射45/97撞击光敏层120和中间层115产生的酸或淬火剂的生成、分散和反应。如图7所示,曝光后的烘烤操作S145协助在中间层的辐射曝光区115c产生的酸117从中间层扩散到光敏层120的辐射曝光区50。这种帮助有助于产生或加强化学反应,同时在光阻层内的曝光区50和未曝光区52之间产生化学差异,从而提高随后显影图案的分辨率,减少光敏层120底部可能出现的阻剂浮渣。
接着,在操作S150中,显影经选择性曝光的光敏层,对经选择性曝光后光敏层施加显影剂。如图8所示,显影剂57由分配器62提供给选择性曝光的光敏层120。在一些实施方式中,如图9A所示,光阻是正型阻剂,用显影剂57去除光阻层的曝光区50,在光敏层120中形成开口图案55以曝光中间层115。在另一些实施方式中,如图9B所示,光阻是负型阻剂,用显影剂57去除光阻层的未曝光区52,在光敏层120中形成开口图案55'以曝光中间层115。
在一些实施方式中,在操作S155中,光阻层中的开口图案55及开口图案55'延伸穿过中间层115和底层110,使用合适的蚀刻剂选择性地作用于各层以形成延伸的开口图案55或开口图案55',如图10所示。在一些实施方式中,如图11A所示,使用合适的蚀刻操作移除在延伸的开口图案55'中的基板10的曝光部分。在另一些实施方式中,如图11B所示,在基板上形成待图案化的目标层,例如导电层105(见图2B),用合适的蚀刻技术去除目标层(导电层105)的曝光部分。随后,如图12A和图12B所示,在操作S160中,使用合适的光阻剥离、蚀刻或电浆灰化操作移除光敏层120、中间层115和底层110。在另一些实施方式中,在光敏层120的图案55延伸到中间层115以形成图案化的中间层。移除光敏层120,然后使用该图案化的中间层作为蚀刻掩模对底层110、其下方的层(导电层105)或基板10进行图案化。
在另一些实施方式中,形成目标层在基板10或设置在基板上的特征上,例如层间介电(inter-layer dielectric,ILD)层(ILD层145)。使用本文描述的材料和操作在目标层(ILD层145)上形成三层阻剂125,并在三层阻剂125中形成开口140,如图13A和图13B所示。在一些实施方式中,通过合适的光阻剥离或电浆灰化操作去除光敏层120,如图14A和图14B所示。然后,用中间层115作为硬掩模,将开口140延伸到ILD层145中,形成用以曝光基板10或导电层105的开口140',如图15A和图15B所示。在形成开口140'后,通过适当的操作,如蚀刻和电浆灰化,去除中间层和底层,如图16A和图16B所示。在一些实施方式中,随后,通过适当的沉积技术在开口140'中填充导电材料以在开口中形成导电接触150,如图16A和图16B所示。在一些实施方式中,沉积技术包括电镀、化学气相沉积(CVD)、物理气相沉积(PVD)或原子层沉积(ALD)技术。在一些实施方式中,导电接触150是由一或多个金属形成,金属选自钨、铜、镍、钛、钽、铝及其合金。在一些实施方式中,执行平面化操作,例如化学机械抛光或回蚀操作,以去除沉积在ILD层145的上表面的金属。
在一些实施方式中,基板10包括至少其表面部分的单晶半导体层。基板10可以包括单晶半导体材料,例如,但不限于硅(Si)、锗(Ge)、硅锗(SiGe)、砷化镓(GaAs)、锑化铟(InSb)、磷化镓(GaP)、锑化镓(GaSb)、砷化铝铟(InAlAs)、砷化铟镓(InGaAs)、磷锑化镓(GaSbP)、锑化砷镓(GaAsSb)及磷化铟(InP)。在一些实施方式中,基板10是绝缘体上覆硅(silicon-on-insulator,SOI)基板的硅层。在某些实施方式中,基板10是由晶体硅制成的。
基板10可以在其表面区域包括一个或多个缓冲层(未示出)。缓冲层的作用是逐渐改变晶格常数,从基板的晶格常数到随后形成的源极/漏极区的晶格常数。缓冲层可以由磊晶生长的单晶半导体材料形成,例如,但不限于Si、Ge、GeSn、SiGe、GaAs、InSb、GaP、GaSb、InAlAs、InGaAs、GaSbP、GaAsSb、GaN和InP。在一个实施方式中,硅锗缓冲层是磊晶生长在硅基板10上。硅锗缓冲层的锗浓度可以从最底层缓冲层的30原子百分比增加到最顶层缓冲层的70原子百分比(atomic%)。
在一些实施方式中,基板10包含一或多层,其为金属、金属合金及具有式MXa的金属氮化物/硫化物/氧化物/硅化物的至少一者,其中M是金属,X是N、S、Se、O、Si,a是约0.4至约2.5。在一些实施方式中,基板10包括钛、铝、钴、钌、氮化钛、氮化钨、氮化钽及其组合。
在一些实施方式中,基板10包含介电质,其具有硅或具有式MXb的金属氧化物或氮化物的至少一者,其中M是金属或Si,X是N或O,b的范围为约0.4至约2.5。在一些实施方式中,基板10包括二氧化硅、氮化硅、氧化铝、氧化铪、氧化镧及其组合。
图17绘出了根据本揭示内容一些实施方式的底层、BARC、平面化层或旋涂碳层(底层)组合物的一些成分。在一些实施方式中,底层组合物包括有机聚合物,包括但不限于聚羟基苯乙烯、聚丙烯酸酯、聚甲基丙烯酸酯、聚乙烯醇、聚苯乙烯及其共聚物。在一些实施方式中,有机聚合物是聚(4-羟基苯乙烯)、聚(4-乙烯基苯酚-co-甲基丙烯酸酯)共聚物和聚(苯乙烯)-b-聚(4-羟基苯乙烯)共聚物,如图17所示。
在一些实施方式中,底层组合物包括碳主链聚合物,第一交联剂及第二交联剂。
在一些实施方式中,第一交联剂是一或多个选自由A-(OR)x、A-(NR)x及/>组成的群组,其中A是单体、低聚物或分子量100至约20,000的第二聚合物;R是烷基、环烷基、环烷氧基或C3-C15杂环基;OR是烷氧基、环烷氧基、碳酸酯基、碳酸烷基酯基、羧酸烷基酯基、甲苯磺酸酯基或甲磺酸酯基;NR是烷基酰胺基或烷基氨基;x的范围是约2至约1000。在一些实施方式中,低聚物或第二聚合物的分子量是重量平均分子量。在一些实施方式中,R是(CH2)yCH3,其中0≤y≤14。在一些实施方式中,OR是(-O(CH2CH2O)a-CH2CH3),其中1≤a≤6。在一些实施方式中,R、OR和NR包括链结构、环结构或三维结构。在一些实施方式中,三维结构选自由降莰基(norbornyl)、金刚烷基(adamantyl)、篮烷基(basketanyl)、异三环癸烷基(twistanyl)、立方烷基(cubanyl)和十二面烷基(dodecahedranyl)组成的群组。
在一些实施方式中,第二交联剂是一个或多个选自由A-(OH)x、A-(OR')x、A-(C=C)x和A-(C≡C)x组成的群组,其中A是单体、低聚物或分子量100至20,000的第二聚合物;R'是烷氧基、烯基或炔基;x的范围是约2至约1000。在一些实施方式中,R是(CH2)yCH3,其中0≤y≤14。在一些实施方式中,R和OR包括链状结构、环状结构或三维结构。在一些实施方式中,三维结构选自由降莰基、金刚烷基、篮烷基、异三环癸烷基、立方烷基和十二面烷基组成的群组。
在一些实施方式中,碳主链聚合物在聚合物上含有交联点。
在一些实施方式中,第一交联剂和第二交联剂的浓度占第一和第二交联剂及碳主链聚合物的总重量的约20wt.%至约50wt.%。在一些实施方式中,少于约20wt.%的交联剂会导致交联不足。在一些实施方式中,超过约50wt.%的交联剂在交联过程没有或只有可忽略不计的改善。在一些实施方式中,第一交联剂的浓度占第一和第二交联剂及碳主链聚合物的总重量的约5wt.%至约40wt.%。在一些实施方式中,第二交联剂的浓度占第一和第二交联剂及碳主链聚合物的总重量的约5wt.%至约40wt.%。在一些实施方式中,第一交联剂的浓度与第二交联剂的浓度大致相同。
在一些实施方式中,在约100℃至约170℃的温度下对底层110进行第一加热,以形成部分交联的层。在一些实施方式中,第一加热的温度范围是约100℃至约150℃。
选择底层组合物的粘度以使其在基板上旋涂时提供目标厚度。在一些实施方式中,底层组合物在约20℃时具有约0.1至约1×106Pa-s的粘度,并以约1500rpm的速度旋涂在基板上。在一些实施方式中,在约100℃至约170℃的第一加热下使部分聚合物交联,并使粘度为约0.11×106Pa-s增加至约100Pa-s至约1×108Pa-s。在约170℃至约300℃的第二加热温度下,使聚合物进一步的交联,并使粘度为约100Pa-s增加至约1×108Pa-s,形成固态层。第一加热温度低于约100℃可能会导致部分交联不足。第一加热温度高于约170℃可能会导致可忽略不计的额外部分交联或可能过早地触发第二交联剂。在一些实施方式中,在第一温度下加热底层110约10秒至约5分钟以部分交联底层110。在一些实施方式中,第一加热的时间约为30秒至约3分钟。在一些实施方式中,第一加热的时间约为30秒至约3分钟。
在一些实施方式中,第一加热后,让底层110在约20℃至约25℃下冷却约10秒至约1分钟。然后将底层110在高于第一温度的第二温度下进行第二加热,形成进一步或完全交联的底层110。在一些实施方式中,第二温度范围为约170℃至约300℃。在一些实施方式中,第二温度范围为约180℃至约300℃。在一些实施方式中,第二层温度范围为约200℃至约280℃。第二加热温度低于约170℃时可能导致交联不足。第二加热温度高于约300℃或400℃时,可能会导致层回流的增加或导致形成底层110的有机材料分解或降解,这是不可接受的。在一些实施方式中,在第二温度下加热底层110约30秒至约3分钟。在另一些实施方式中,第二加热的时间约为30秒至约2分钟。在另一些实施方式中,第二加热的时间约10秒至约1分钟,然后进行后续处理。
图18绘出了根据本揭示内容实施方式的底层110的交联操作的例子。在一个实施方式中,底层110包括主聚合物(例如聚羟基苯乙烯)、具有四个烷氧基交联基的低活化能(Ea)的交联剂及具有四个羟基的高活化能(Ea)的交联剂。底层经受低温烘烤操作,例如在约130℃下加热,触发低Ea交联剂部分交联主聚合物。然后,进行高温烘烤操作,例如在约250℃下加热,促使高Ea交联剂更充分地交联主聚合物。
在一些实施方式中,底层是由聚合物组合物组成,聚合物组合物包括具有一个或多个图19的重复单元1-12的聚合物。在图18中,a、b、c、d、e、f、g、h和i各自独立地是H、-OH、-ROH、-R(OH)2、-NH2、-NHR、-NR2、-SH、-RSH或-R(SH)2,其中每个重复单元1-12上的a、b、c、d、e、f、g、h和i中的至少一个不是H。R、R1和R2各自独立地是C1-C10烷基、C3-C10环烷基、C1-C10羟基烷基、C2-C10烷氧基、C2-C10烷氧基烷基、C2-C10乙酰基、C3-C10乙酰基、C1-C10羧基、C2-C10烷基羧基或C4-C10环烷基羧基,并且n为2-1000。由图18的重复单元1-12形成的聚合物在加热或暴露于光化辐射时可交联。在一些实施方式中,底层组合物包括一或多个交联剂或偶合剂。当加热或暴露于光化辐射时,交联剂将底层组合物交联起来。根据本揭示内容的实施方式的重复单元1-12的例子绘示在图20A、图20B及图20C。在一些实施方式中,每个重复单元包括两个或更多个官能基。
在一些实施方式中,聚合物包括具有一个或多个羟基、胺基或巯基的重复单元。在一些实施方式中,每个重复单元包括至少两个选自-OH、-ROH、-R(OH)2、-NH2、-NHR、-NR2、-SH、-RSH或-R(SH)2中的一个或多个官能基,其中R是C1-C10烷基、C3-C10环烷基、C1-C10羟基烷基,C2-C10烷氧基,C2-C10烷氧基烷基,C2-C10乙酰基,C3-C10乙酰基,C1-C10羧基,C2-C10烷基羧基或C4-C10环烷基羧基。
在一些实施方式中,底层组合物包括具有本文所揭示的图19至图20C中的一个或多个重复单元的聚合物。在一些实施方式中,至少一个重复单元包括-OH、-ROH、-R(OH)2、-NH2、-NHR、-NR2、-SH、-RSH或-R(SH)2中的三个或更多。在一些实施方式中,聚合物包括至少一个具有三个或更多-OH基的重复单元。
在一些实施方式中,该交联剂具有以下结构:在另一些实施方式中,该交联剂具有以下结构:/>其中C是碳,n为1到15之间;A和B独立地包括氢原子、羟基、卤化物、芳香族碳环或直链或环状的烷基、烷氧基/氟、烷基/氟烷氧基链,其碳数为1到12之间;每个碳C包含A和B;在碳链的第一端有包括X的第一端碳C,在碳链的第二端有包括Y的第二端碳C,其中X和Y分别包括胺基、硫醇基、羟基、异丙醇基或异丙胺基,但当n=1时,X和Y与同一个碳C键结。交联剂的材料的具体例子包括以下:/>
另外,在一些实施方式中,代替或除了添加交联剂到底层组合物中,还添加偶合剂。在交联剂之前,偶合剂通过与聚合物中碳氢结构上的基团反应来协助交联反应,从而降低交联反应的反应能并提高反应速度。然后键结的偶合剂再与交联剂反应,从而将交联剂与聚合物偶合。
另外,在一些实施方式中,在没有交联剂的情况下,添加偶合剂到底层组合物中。用偶合剂将聚合物中碳氢结构中的一个基团与另一个碳氢结构中的第二个基团偶合,以便将这两个聚合物交联并键结。然而,在这样的实施方式中,与交联剂不同,偶合剂并不作为聚合物的一部分,而只是协助将碳氢结构直接与另一个碳氢结构键结。
在一些实施方式中,偶合剂具有以下结构:其中R是碳原子、氮原子、硫原子或氧原子;M包括氯原子、溴原子、碘原子、--NO2、--SO3-、--H--、--CN、--NCO、--OCN、--CO2-、--OH、--OR*、--OC(O)CR*、--SR、--SO2N(R*)2、--SO2R*、SOR、--OC(O)R*、--C(O)OR*、--C(O)R*、--Si(OR*)3、--Si(R*)3、环氧基或类似物;并且R*是被取代或未被取代的C1-C12烷基、C1-C12芳基、C1-C12芳烷基或类似物。在一些实施方式中,偶合剂的材料的具体例子包括以下:/>
在一些实施方式中,在溶剂中制备聚合物和可选的交联剂或偶合剂的底层涂层组合物,以形成底层110。溶剂可以是任何适合溶解聚合物的溶剂。将底层涂层组合物涂(例如通过旋涂)在基板10或装置特征上。然后,如本文所述,将底层组合物进行烘烤,以干燥底层并交联聚合物。
在一些实施方式中,底层组合物包括溶剂。在一些实施方式中,溶剂的选择要使聚合物和添加剂(例如交联剂)能够均匀地溶解到溶剂中并分配到基板上。
在一些实施方式中,溶剂是有机溶剂,有机溶剂包括任何合适的溶剂,例如酮类、醇类、多元醇类、醚类、乙二醇醚类、环醚类中的一或多个醚、乙二醇醚、环醚、芳香烃、酯、丙酸酯、乳酸酯、亚烷基乙二醇单烷基醚、烷基乳酸酯、烷基烷氧基丙酸酯、环状内酯、含有环的单酮化合物、亚烷基碳酸盐、亚烷基烷氧基乙酸盐、亚烷基丙酮酸盐、乳酸酯、乙二醇烷基醚醋酸盐、二甘醇、丙二醇烷基醚醋酸盐、亚烷基乙二醇烷基醚酯、亚烷基乙二醇单烷基酯或类似物。
底层可使用的溶剂的材料的具体例子包括丙酮、甲醇、乙醇、丙醇、异丙醇(isopropanol,IPA)、正丁醇、甲苯、二甲苯、4-羟基-4-甲基-2-戊酮、四氢呋喃(tetrahydrofuran,THF)、甲基乙基酮、环己酮(cyclohexanone,CHN)、甲基异戊酮、2-庚酮(methyln-amyl ketone,MAK)、乙二醇、1-乙氧基-2-丙醇、甲基异丁基甲醇(methylisobutyl carbinol,MIBC)、乙二醇单乙酸酯、乙二醇二甲醚、乙二醇甲基乙醚、乙二醇单乙醚、醋酸纤维素甲酯,醋酸纤维素乙酯,二甘醇,二甘醇单乙酸酯,二甘醇单甲醚,二甘醇二甲醚,二甘醇乙甲醚、二甘醇单乙醚,二甘醇单丁醚,2-羟基丙酸乙酯,2-羟基-2-甲基丙酸甲酯,2-羟基-2-甲基丙酸乙酯,乙氧基乙酸乙酯,羟基乙酸甲酯,2-羟基-2-甲基丁酸甲酯、3-甲氧基丙酸甲酯、3-甲氧基丙酸乙酯、3-乙氧基丙酸甲酯、乙酸甲酯、乙酸乙酯、乙酸丙酯、乙酸正丁酯(n-butyl acetate,nBA)、乳酸甲酯、乳酸乙酯(ethyl lactate,EL)、乳酸丙酯、乳酸丁酯、丙二醇、丙二醇单醋酸酯、丙二醇单乙醚醋酸酯、丙二醇单甲醚醋酸酯、丙二醇单丙醚醋酸酯、丙二醇单丁醚醋酸酯、丙二醇单乙醚丙酸酯、丙二醇单乙醚丙酸酯、丙二醇甲醚醋酸酯、丙二醇乙醚醋酸酯、乙二醇单甲醚醋酸酯、乙二醇单乙醚醋酸酯、丙二醇单甲醚、丙二醇单乙醚、丙二醇单丙醚、丙二醇单丁醚、3-甲氧基丙酸甲酯、3-乙氧基丙酸甲酯和3-甲氧基丙酸乙酯、β-丙内酯、β-丁内酯、γ-丁内酯(γ-butyrolactone,GBL)、α-甲基-γ-丁内酯、β-甲基-γ-丁内酯、γ-戊内酯、γ-己内酯、γ-辛内酯、α-羟基-γ-丁内酯、2-丁酮、3-甲基丁酮、皮纳可酮、2-戊酮、3-戊酮、4-甲基-2-戊酮、2-甲基-3-戊酮、4,4-二甲基-2-戊酮,2,4-二甲基-3-戊酮,2,2,4,4-四甲基-3-戊酮,2-己酮,3-己酮,5-甲基-3-己酮,3-庚酮,4-庚酮,2-甲基-3-庚酮,5-甲基-3-庚酮,2,6-二甲基-4-庚酮,2-辛酮,3-辛酮、2-壬酮、3-壬酮、5-壬酮、2-癸酮、3-癸酮、4-癸酮、5-己烯-2-酮、3-戊烯-2-酮、环戊酮、2-甲基环戊酮、3-甲基环戊酮、2,2-二甲基环戊酮、2,4,4-三甲基环戊酮、3-甲基环己酮、4-甲基环己酮,4-乙基环己酮,2,2-二甲基环己酮,2,2,6-三甲基环己酮,环庚酮,2-甲基环庚酮,3-甲基环庚酮,碳酸丙烯酯,碳酸乙烯酯,碳酸乙烯酯、碳酸丁脂,乙酸-2-甲氧基乙基,乙酸-2-乙氧基乙基,乙酸-2-(2-乙氧基乙基)乙基,乙酸-3-甲氧基-3-甲基丁基,乙酸-1-甲氧基-2-丙基,二丙二醇,单甲基醚,单乙基醚,单丙基醚,单丁基醚、单苯醚,二丙二醇单乙酸酯,二氧六环,丙酮酸甲酯,丙酮酸乙酯,丙酮酸丙酯,甲氧基丙酸甲酯,乙氧基丙酸乙酯,N-甲基吡咯烷酮(n-methylpyrrolidone,NMP),2-甲氧基乙醚(二甘醇二甲醚),乙二醇单甲醚、丙酸甲酯,丙酸乙酯,3-乙氧基丙酸乙酯,丙二醇甲醚醋酸酯(propylene glycolmethyl ether acetat,PGMEA),亚甲基纤维素,2-乙氧基乙醇,N-甲基甲酰胺,N,N-二甲基甲酰胺(N,N-dimethylformamide,DMF),N-甲基甲酰胺,N,N-二甲基乙酰胺、二甲基亚砜、芐基乙醚、二己醚、丙酮、异佛尔酮、己酸、辛酸、1-辛醇、1-壬醇、苯甲醇、乙酸芐酯、苯甲酸乙酯、草酸二乙酯、马来酸二乙酯、乙酸苯丙酯或类似物。
在一些实施方式中,中间层115包括含硅层(例如,硅硬遮罩材料)。中间层115可包括硅基聚合物。在一些实施方式中,硅基聚合物是聚硅氧烷。中间层115可以与相邻的层(例如,底层110和上层(光敏层120))键结,例如通过共价键、氢键或亲水对亲水力。因此,中间层115可包括在曝光工艺和/或随后的烘烤工艺之后,可在中间层115和其上方的光敏层120之间形成共价键的组合物。
在一些实施方式中,中间层115包括一成分,此成分包括从中间层组合物中分离出来并漂浮在其他成分(例如,溶剂和硅基聚合物)上的结合的酸基或结合的PAG基,形成上中间层115b和下中间层115a,如图4所示。在一些实施方式中,中间层115随后会进行第二烘烤操作S125,以蒸发溶剂或固化中间层组合物。在一些实施方式中,第二烘烤操作S125增强了上中间层115b和下中间层115a之间的分离。在一些实施方式中,第二烘烤操作S125使上中间层115b中的成分相互反应或交联或与下中间层115a中的硅基聚合物交联。上中间层115b可以通过使具有酸基或PAG基的含硅化合物与中间层中的硅基聚合物反应而形成。
包括结合的酸基或结合的PAG基的成分可以是具有酸基或PAG基的化合物或具有酸基或PAG基的含硅聚合物。在一些实施方式中,含硅聚合物是聚硅氧烷。在一些实施方式中,具有酸基或PAG基的化合物是硅基化合物。在另一些实施方式中,具有酸基或PAG基的化合物是有机物。
在一些实施方式中,具有酸基或PAG基的含硅化合物是由(R3O)3Si-R2-A代表的硅基化合物,其中:R3是被取代或未被取代的C1-C12烷基、C2-C12烯基、C1-C12羟烷基、C1-C12烷基胺基;R2是-CyXy+2-,其中X是F、Cl、Br或I,y=1至15,被1至5个卤素或羟基取代的苯基,一维C2-C40线性烷基,C2-C40烯基、C2-C40羟基烷基,C2-C40烷基氨基,二维C3-C40支链烷基或环烷基,C6-C40芳基,C7-C40芳烷基或三维C7-C40烷基;A是羧基、磺酸基或PAG基中的一个或多个。在一些实施方式中,三维结构选自由降莰基、金刚烷基、篮烷基、异三环癸烷基、立方烷基和十二面烷基组成的群组。
图21绘出含硅化合物与聚硅氧烷之间的反应,其中含硅化合物中的R3是乙基(Et),聚硅氧烷具有侧的乙氧基(EtO)和R1基,其中R1是C1-C12烷基、C2-C12烯基、C1-C12羟烷基、C1-C12烷基氨基或苯基。在第二烘烤操作S125期间,含硅化合物与聚硅氧烷反应或交联,以形成具有侧的酸基或PAG基的硅基聚合物。
在一些实施方式中,具有酸基或PAG基的有机物由HOOC-R2-A表示,其中:R2是CyXy+2,其中X是F、Cl、Br或I,且y=1至15,被1至5个卤素或羟基取代的苯基、一维C2-C40线性烷基、C2-C40烯基、二维C3-C40支链烷基或环烷基,C6-C40芳基、C7-C40芳烷基或三维C7-C40烷基;A是羧基、磺酸基或光致产酸剂基。在一些实施方式中,三维结构选自由降莰基、金刚烷基、篮烷基、异三环癸烷基、立方烷基和十二面烷基组成的群组。
图22绘出有机物与具有侧氧化乙酯基和R1基的聚硅氧烷之间的反应,其中R1是C1-C12烷基、C2-C12烯基、C1-C12羟基烷基、C1-C12烷基氨基或苯基。在第二烘烤操作S125期间,有机物与硅氧烷反应或交联,形成具有垂体酸基或PAG基的硅基聚合物。
在一些实施方式中,具有酸基或光致产酸剂基的含硅聚合物表示为其中n为10至1,000;R2是CyXy+2,其中X是F、Cl、Br或I,y=1至15,被1至5个卤素或羟基取代的苯基、一维C2-C40线性烷基、C2-C40烯基、二维C3-C40支链烷基或环烷基、C6-C40芳基、C7-C40芳烷基或三维C7-C40烷基;A是羧基、磺酸基或光致产酸剂基。在一些实施方式中,三维结构选自由降莰基、金刚烷基、篮烷基、异三环癸烷基、立方烷基和十二面烷基组成的群组。在一些实施方式中,n为20至500。
图23绘出含硅聚合物与具有侧氧化乙酯基和R1基的聚硅氧烷之间的反应,其中R1是C1-C12烷基、C2-C12烯基、C1-C12羟基烷基、C1-C12烷基氨基或苯基。在第二烘烤操作S125期间,含硅聚合物与硅氧烷交联,形成具有侧酸基或PAG基的硅基聚合物。
在一些实施方式中,基于组合物的总重量,沉积在底层110上的中间层组合物包括约0.01wt.%至约60wt.%的具有酸基或光致产酸剂基的含硅化合物、具有酸基或光致产酸剂基的含硅聚合物或具有酸基或光致产酸剂基的有机物。在另一些实施方式中,基于组合物的总重量,沉积在底层110上的中间层组合物包括约0.1wt.%至约50wt.%的具有酸基或光致产酸剂基的含硅化合物,具有酸基或光致产酸剂基的含硅聚合物或具有酸基或光致产酸剂基的有机物;或约1wt.%至约40wt.%,在其他实施方式中。当具有酸基或光致产酸剂基的含硅化合物、含硅聚合物或有机物的浓度低于所揭示的范围时,可能没有足够的酸来有效地防止光阻浮渣的形成。当具有酸基或光致产酸剂基的含硅化合物、含硅聚合物或有机物的浓度高于所揭示的范围时,中间层的特性可能会出现降解。
在一些实施方式中,含硅化合物、有机物或含硅聚合物具有结合的PAG基。PAG基包括阴离子和阳离子。阳离子可以与中间层成分中的含硅化合物、有机物或含硅聚合物结合。在一些实施方式中,阳离子是鎓,包括碘鎓或硫鎓阳离子。在一些实施方式中,硫鎓是三苯硫鎓。在一些实施方式中,阴离子是亚硫酸根阴离子。在一些实施方式中,阴离子是带有有机基取代物的亚硫酸盐阴离子。在一些实施方式中,阴离子包括氟碳取代基。在一些实施方式中,PAG基包括图24中的一或多个阳离子。在一些实施方式中,PAG基包括图25中的一个或多个阴离子。
根据一些实施方式的中间层的硅氧烷的侧的PAG基结合聚硅氧烷单元的例子,如下所示:其中Z是直接键、C1-C5烷基、C1-C5环烷基、C1-C5羟基烷基、C1-C5烷氧基、C1-C5烷氧基烷基、C1-C5乙酰基、C1-C5乙酰基烷基、C1-C5羧基或C1-C5烷基羧基;R4独立地为C6-C12芳基、C6-C12烷基、C6-C12环烷基、C6-C12羟基烷基、C6-C12烷氧基、C6-C12烷氧基烷基、C6-C12乙酰基、C6-C12乙酰基烷基、C6-C12羧基、C6-C12环烷基羧基、C3-C15饱和或不饱和烃环或C2-C15杂环基;R5是C1-C20的氟碳基、C6-C20的芳基或C10-C20的金刚烷基;a、b、c和d各自独立地是H或C1-C6的烷基。在一些实施方式中,R4和R5独立地含有1至3个碘原子。
图26绘示根据本揭示内容的一些实施方式的酸生成反应。包括阳离子和阴离子的光致产酸剂基与聚合物结合。与阳离子聚合物结合的PAG基不会扩散到光敏层120,因其在中间层形成的操作中与中间层聚合物结合。当暴露于光化辐射时,阴离子(酸)会从PAG基中释放出来。在暴露于光化辐射后,产生的酸可以自由地扩散到光敏层。随后的曝光后烘烤操作S145,加速了酸向光敏层120的曝光部分的扩散。
在一些实施方式中,将光致产酸剂化合物先与含硅化合物、有机物或含硅聚合物反应,然后将反应产物与硅基聚合物和溶剂结合,并将所得的混合物涂在底层110上。溶剂可以是本文揭示的用于底层成分的任何溶剂。在旋转操作中或在加热或烘烤操作中,含硅化合物、有机物或含硅聚合物从混合物中分离出来。含硅化合物、有机物或含硅聚合物形成上中间层115b,漂浮在由硅基聚合物组成的下中间层115a上。在一些实施方式中,含硅化合物、有机物或含硅聚合物的中R2基具有较多的碳原子数量,有更强的疏水性,更容易漂浮在下中间层115a上。上中间层115b的含硅化合物、有机物或含硅聚合物的浓度高于下中间层115a,而下中间层115a的硅基聚合物的浓度高于上中间层115b。在一些实施方式中,旋转操作是旋涂操作或基板涂上中间层组合物后进行旋转。加热或烘烤操作使含硅化合物、有机物或含硅聚合物与硅基聚合物交联反应。上中间层115b由具有侧酸基或侧PAG基的硅基聚合物组成,在加热或烘烤操作后,由含硅化合物、有机物或含硅聚合物与硅基聚合物反应而形成的。
在中间层组合物的加热或烘烤操作期间,含硅化合物或含硅聚合物和硅基聚合物发生溶胶凝结反应,如图21和图23所示。在中间层组合物的加热或烘烤操作工艺中,有机物和硅基聚合物发生酯化反应,如图22所示。在约40℃至约400℃的温度下加热中间层115(例如115a,115b)约10秒至约10分钟。在另一些实施方式中,在约150℃至约400℃的温度下加热中间层115,并且在另一些实施方式中,在约200℃至约300℃的温度下加热中间层,如本文提到的操作S125(图1),使中间层组合物的成分发生反应或交联。
在一个实施方式中,硅基聚合物具有侧酸基。侧酸基的pKa范围为5至-8。
在一些实施方式中,光敏层120是光阻层,通过暴露在光化辐射下而图案化。通常,被入射辐射击中的光阻区域的化学性质某种程度取决于所使用的光阻类型。光敏层120是正型阻剂或负型阻剂之一。正型阻剂指的是当暴露于辐射(例如紫外线)时,光阻材料变得可溶于显影剂,而未暴露(或较少暴露)的光阻区域则不溶于显影剂。另一方面,负型阻剂指的是当暴露于辐射时,光阻材料不溶于显影剂,而未暴露(或较少暴露)的光阻区域则可溶于显影剂。负型阻剂在暴露于辐射后的区域变得不溶于水,可能是由于暴露于辐射引起的交联反应而变得不溶。
阻剂是正型还是负型可能取决于用于显影阻剂的显影剂类型。例如,当显影剂是水性显影剂时,例如四甲基氢氧化铵(tetramethylammonium hydroxide,TMAH),一些正型阻剂提供正图案(即曝光区域被显影剂去除)。另一方面,当显影剂是有机溶剂时,相同的光阻则提供了负图案(即未曝光区域被显影剂除去)。此外,在一些用TMAH溶液显影的负型阻剂中,光阻的未曝光区域被TMAH去除,而光阻的曝光区域在以光化辐射曝光后发生交联,在显影后仍留在基板上。
在一些实施方式中,根据本揭示内容的实施方式的阻剂组合物(例如光阻)包括聚合物或可聚合单体或低聚物及一或多个光活性化合物(photoactive compounds,PACs)。在一些实施方式中,基于阻剂组合物的总重量,聚合物、单体或低聚物的浓度为约1wt.%至约75wt.%不等。在另一些实施方式中,聚合物、单体或低聚物的浓度为约5wt.%至约50wt.%。当聚合物、单体或低聚物的浓度低于所揭示的范围时,聚合物、单体或低聚物对阻剂性能的影响可忽略不计。当浓度高于所揭示的范围时,阻剂的性能没有实质性的改善或者在形成一致的阻剂层时有降解。
在一些实施方式中,可聚合的单体或低聚物包括丙烯酸、丙烯酸酯、羟基苯乙烯或亚烷基。在一些实施方式中,此聚合物包括一种碳氢结构(如脂环族碳氢结构),当此碳氢结构与酸、碱或由PACs产生的自由基(如下文进一步描述)混合时,此碳氢结构含有一个或多个会分解(例如,酸性不稳定团,acid labile groups)或会发生反应的基团。在一些实施方式中,碳氢结构包括形成聚合物树脂的主链主干的重复单元,这个重复单元可以包括丙烯酸酯、甲基丙烯酸酯、巴豆酸酯、乙烯基酯、马来酸二酯、富马酸二酯、衣康酸二酯、(甲基)丙烯腈、(甲基)丙烯酰胺、苯乙烯、乙烯基醚及其组合或类似物。
在一些实施方式中,利用碳氢结构的重复单元的具体结构包括一或多个丙烯酸甲酯、丙烯酸乙酯、丙烯酸正丙酯、丙烯酸异丙酯、丙烯酸正丁酯、丙烯酸异丁酯、丙烯酸叔丁酯、丙烯酸正己酯、丙烯酸2-乙基己酯、丙烯酸乙氧基乙酯、丙烯酸苯酯、丙烯酸2-羟乙酯、丙烯酸2-甲氧基乙酯、丙烯酸2-乙氧基乙酯、丙烯酸2-(2-甲氧基乙氧基)乙酯、丙烯酸环己酯、丙烯酸芐酯、2-烷基-2-金刚烷(甲基)丙烯酸酯或(1-金刚烷)甲基丙烯酸二烷基酯、甲基丙烯酸甲酯、甲基丙烯酸乙酯、甲基丙烯酸正丙酯、甲基丙烯酸异丙酯、甲基丙烯酸正丁酯、甲基丙烯酸异丁酯、甲基丙烯酸叔丁酯、甲基丙烯酸正己酯、甲基丙烯酸2-乙基己酯、甲基丙烯酸乙酰氧乙酯、甲基丙烯酸苯酯、甲基丙烯酸2-羟基乙酯、甲基丙烯酸2-甲氧基乙酯、2-乙氧基乙基甲基丙烯酸酯、2-(2-甲氧基乙氧基)乙基甲基丙烯酸酯、甲基丙烯酸环己酯、甲基丙烯酸芐酯、3-氯-2-羟基丙基甲基丙烯酸酯、3-乙酰氧基-2-羟基丙基甲基丙烯酸酯、3-氯乙酰氧基-2-羟基丙基甲基丙烯酸酯、巴豆酸丁酯、巴豆酸己酯或类似物。乙烯基酯的例子包括乙酸乙烯酯、丙酸乙烯酯、丁基乙烯酯、甲氧基乙酸乙烯酯、苯甲酸乙烯酯、马来酸二甲酯、马来酸二乙酯、马来酸二丁酯、富马酸二甲酯、富马酸二乙酯、富马酸二丁酯、丙烯酰胺,甲基丙烯酰胺、乙基丙烯酰胺、丙基丙烯酰胺、正丁基丙烯酰胺、叔丁基丙烯酰胺、环己基丙烯酰胺、2-甲氧基乙基丙烯酰胺、二甲基丙烯酰胺、二乙基丙烯酰胺、苯基丙烯酰胺、芐基丙烯酰胺、甲基丙烯酰胺、甲基丙烯酰胺甲酯、甲基丙烯酰胺乙酯、甲基丙烯酰胺丙酯、甲基丙烯酰胺正丁酯、甲基丙烯酰胺叔丁酯、甲基丙烯酰胺环己酮、甲基丙烯酰胺2-甲氧基乙酯、二甲基甲基丙烯酰胺、二乙基甲基丙烯酰胺、苯基甲基丙烯酰胺、芐基甲基丙烯酰胺、甲基乙烯基醚、丁基乙烯基醚、己基乙烯基醚、甲氧基乙基乙烯基醚、二甲基氨基乙基乙烯基醚或类似物。苯乙烯的例子包括苯乙烯、甲基苯乙烯、二甲基苯乙烯、三甲基苯乙烯、乙基苯乙烯、异丙基苯乙烯、丁基苯乙烯、甲氧基苯乙烯、丁氧基苯乙烯、乙酰氧基苯乙烯、羟基苯乙烯、氯苯乙烯、二氯苯乙烯、溴苯乙烯、乙烯基甲基苯甲酸酯、α-甲基苯乙烯、马来酰亚胺、乙烯基吡啶、乙烯基吡咯烷酮、乙烯基咔唑及其组合或类似物。
在一些实施方式中,聚合物是聚羟基苯乙烯、聚甲基丙烯酸甲酯或聚羟基苯乙烯-丙烯酸丁酯,例如
在一些实施方式中,碳氢结构的重复单元中也有单环或多环碳氢结构取代基或者单环或多环碳氢结构是重复单元,以形成脂环烃结构。在一些实施方式中,单环结构的具体例子包括双环烷、三环烷、四环烷、环戊烷、环己烷或类似物。在一些实施方式中,多环结构的具体例子包括金刚烷(adamantane)、降冰片(norbornane)、异冰片(isobornane)、三环十二烷、四环十二烷或类似物。
会分解的基团又称为离去基(leaving group),或者在一些实施方式中,PACs是光致产酸剂基,是酸性不稳定团,连接到碳氢结构上,以便在曝光期间与PACs产生的酸/碱/自由基反应。在一些实施方式中,会分解的基团是羧酸基、氟化醇基、酚类醇基、磺酸基、磺酰胺基、磺酰亚胺基、(烷基磺酰基)(烷基羰基)亚甲基、(烷基磺酰基)(烷基羰基)亚氨基、双(烷基羰基)亚甲基、双(烷基羰基)亚氨基、双(烷基磺酰基)亚甲基、双(烷基磺酰基)亚氨基、三(烷基羰基亚甲基)、三(烷基磺酰基)亚甲基及其组合或类似物。在一些实施方式中,用于氟化醇基的特定基团包括氟化羟基烷基(如六氟异丙醇基)。用于羧酸基的特定基团包括丙烯酸基、甲基丙烯酸基等。
在一些实施方式中,聚合物还包括连接到碳氢结构的其他基团,这些基团有助于改善可聚合树脂的各种性能。例如,在碳氢结构中加入内酯基有助于减少光阻显影后的线边缘粗糙度,从而有助于减少显影期间发生的缺陷数量。在一些实施方式中,内酯基包括具有5至7个成员的环,尽管任何合适的内酯结构都可替代性地用于内酯基。
在一些实施方式中,聚合物包括可以帮助提高光敏层120与其下方的中间层115的粘附性的基团。极性基可用于帮助提高粘附性。合适的极性基包括羟基、氰基或类似的基团,尽管任何合适的极性基都可以替代性地使用。
可选地,在一些实施方式中,聚合物包括一个或多个不包含会分解的基团的脂环碳氢结构。在一些实施方式中,不包含会分解的基团的碳氢结构包括例如1-金刚烷基(甲基)丙烯酸酯、三环十二烷基(甲基)丙烯酸酯、环己基(甲基)丙烯酸酯及其组合或类似物。
在一些实施方式中,例如使用EUV辐射时,根据本揭示内容的阻剂组合物是含金属的阻剂。含金属的阻剂包括在溶剂中与一或多个配体复合的金属核。在一些实施方式中,该阻剂包括金属颗粒。在一些实施方式中,金属颗粒是纳米颗粒。如本文所使用的,纳米颗粒是具有平均粒径在约1纳米和约20纳米之间的颗粒。在一些实施方式中,金属核包括1至约18个金属颗粒,在溶剂中与一或多个有机配体复合。在一些实施方式中,金属核包括3、6、9或更多的金属纳米粒子,在溶剂中与一或多个有机配体复合。
在一些实施方式中,金属粒子是钛(Ti)、锌(Zn)、锆(Zr)、镍(Ni)中的一个或多个、钴(Co)、锰(Mn)、铜(Cu)、铁(Fe)、锶(Sr)、钨(W)、钒(V)、铬(Cr)、锡(Sn)、铪(Hf)、铟(In)、镉(Cd)、钼(Mo)、钽(Ta)、铌(Nb)、铝(Al)、铯(Cs)、钡(Ba)、镧(La)、铈(Ce)、银(Ag)、锑(Sb)、铈(Ce)及其组合或其氧化物。
在一些实施方式中,金属纳米颗粒的平均粒径在约2纳米和约5纳米之间。在一些实施方式中,基于纳米颗粒和溶剂的重量,金属纳米颗粒在阻剂组合物中的量为约0.5wt.%至约15wt.%。在一些实施方式中,基于纳米颗粒和溶剂的重量,纳米颗粒在阻剂组合物中的量为约5wt.%至约10wt.%。在一些实施方式中,基于溶剂和金属颗粒的重量,金属颗粒的浓度为1wt.%到7wt.%。低于约0.5wt.%的金属纳米颗粒,阻剂涂层会太薄。超过约15wt.%的金属纳米颗粒,阻剂涂层会太厚和粘稠。
在一些实施方式中,金属核与配体复合,其中配体包括支链或不支链、环状或非环状的饱和有机基,包括C1-C7烷基或C1-C7氟烷基。C1-C7烷基或C1-C7氟烷基包括一个或多个选自-CF3、-SH、-OH、=O、-S-、-P-、-PO2、-C(=O)SH、-C(=O)OH、-C(=O)O-、-O-、-N-、-C(=O)NH、-SO2OH、-SO2SH、-SOH和-SO2-取代基组成的群组。在一些实施方式中,配体包括一个或多个选自-CF3、-OH、-SH和-C(=O)OH取代基组成的群组。
在一些实施方式中,配体是羧酸或磺酸配体。例如,在一些实施方式中,配体是甲基丙烯酸。在一些实施方式中,金属颗粒是纳米颗粒,金属纳米颗粒与包括脂肪族或芳香族基的配体复合。脂肪族或芳香族基可以是不分枝的,也可以是含有1-9个碳的环状或非环状饱和侧的,包括烷基、烯基和苯基。分支的基团可以进一步被氧或卤素取代。在一些实施方式中,每个金属粒子与1至25个配体单元复合。在一些实施方式中,每个金属粒子与3至18个配体单元复合。
在一些实施方式中,基于阻剂组合物总重量,阻剂组合物包括约0.1wt.%至约20wt.%的配体。在一些实施方式中,光阻包括约1wt.%至约10wt.%的配体。在一些实施方式中,基于金属颗粒的和配体的重量,配体浓度为约10wt.%至约40wt.%。低于约10wt.%的配体,有机金属光阻不能很好地发挥作用。超过约40wt.%的配体,较难形成一致的光阻层。在一些实施方式中,基于配体和溶剂重量,配体以约5wt.%至约10wt.%的重量范围溶解在涂层溶剂中,例如丙二醇甲醚醋酸酯(PGMEA)。
在一些实施方式中,共聚物和PACs及任何所需的添加剂或其他制剂被添加到应用的溶剂中。一旦加入后,混合该混合物使整个光阻的组成是均匀的,以确保没有因混合不均或的不均匀的光阻组成造成缺陷。一旦混合在一起,光阻可以在使用前储存或立即使用。
溶剂可以是任何合适的溶剂,包括用于涂覆底层成分的溶剂,如本文所述。
光阻的一些实施方式包括一或多个光活性化合物(PACs)。该PACs是光活性成分,例如光致产酸剂(PAG)、光致产碱剂(photobase generator,PBG)、光可分解基(decomposable base,PDB)、自由基产生剂或类似物。PACs可以是正作用的或负作用的。在一些实施方式中,PACs是光致产酸剂(PAG),PACs包括卤代三嗪、鎓盐、重氮盐、芳族重氮盐、鏻盐、锍盐、碘鎓盐、肟磺酸盐、重氮二砜、二砜、邻硝基苯基磺酸盐、磺化酯、卤代磺酰氧基二甲酰亚胺、α-氰氧胺磺酸盐、亚胺磺酸盐、酮二唑磺酸盐、磺酰重氮酯、1,2-二(芳基磺酰基)肼、硝基芐酯和均三嗪衍生物及其组合或类似物。
PAGs的具体例子包括α-(三氟甲基磺酰氧基)-双环[2.2.1]庚-5-烯-2,3-二碳酰亚胺(α-(trifluoromethylsulfonyloxy)-bicyclo[2.2.1]hept-5-ene-2,3-dicarb-o-ximide,MDT)、N-羟基萘酰亚胺(N-hydroxy-naphthalimide,DDSN)、安息香对甲苯磺酸盐、t-丁基苯基-α-(对甲苯磺酰氧基)-乙酸盐和t-丁基-α-(对甲苯磺酰氧基)-乙酸盐、三芳基锍和二芳基锍的六氟锑酸盐、六氟砷酸盐、三氟甲磺酸盐、全氟辛烷磺酸碘、N-樟脑磺酰氧基萘胺,N-五氟苯磺酰氧基萘甲酰胺、离子碘磺酸盐如二芳基碘(烷基或芳基)磺酸盐和双(二-t-丁基苯基)碘樟烷磺酸盐、全氟烷烃磺酸盐如全氟戊烷磺酸盐、全氟辛烷磺酸盐、全氟甲磺酸盐、芳基(例如,芳烃或苯基)磺酸盐,如三氟酸盐如三苯基锍三氟酸盐或双-(t-丁基苯基)碘三氟酸盐;焦果醇衍生物(如吡戈洛尔的三甲基酯)、羟基酰亚胺的三氟甲磺酸酯、α,α'-双磺酰重氮甲烷、硝基取代的芐醇的磺酸盐、萘醌-4-二氮化物、烷基二砜或类似物。
在一些实施方式中,光敏层120中的PAG包括阴离子或阳离子,此阴离子或阳离子与中间层115中与聚合物结合的光致产酸剂的阴离子或阳离子不同。
在一些实施方式中,PACs是自由基产生剂,PACs包括正苯甘氨酸;芳香族酮类,包括二苯甲酮、N,N'-四甲基-4,4'-二氨基二苯甲酮、N,N'-四乙基-4,4'-二氨基二苯甲酮、4-甲氧基-4'-二甲基氨基二苯甲酮、3,3'-二甲基-4-甲氧基二苯甲酮、p,p'-双(二甲基氨基)二苯甲酮、p,p'-双(二乙基氨基)二苯甲酮;蒽醌、2-乙基蒽醌;萘醌;和菲醌;安息香、包括安息香、安息香甲基醚、安息香异丙基醚、安息香正丁基醚、安息香苯醚、甲基安息香和乙基安息香;芐基衍生物、包括二芐基、芐基二硫化物和芐基二甲醚;吖啶衍生物、包括9-苯基吖啶和1,7-双(9-吖啶基)庚烷;硫杂蒽酮,包括2-氯硫杂蒽酮、2-甲基硫杂蒽酮、2,4-二乙基硫杂蒽酮、2,4-二甲基硫杂蒽酮和2-异丙基硫杂蒽酮;苯乙酮,包括1,1-二氯苯乙酮、对叔丁基二氯苯乙酮、2,2-二乙氧基苯乙酮、2,2-二甲氧基-2-苯基苯乙酮和2,2-二氯-4苯氧基苯乙酮;2,4,5-三芳基咪唑二聚体,包括2-(邻氯苯基)-4,5-二苯基咪唑二聚体、2-(邻氯苯基)-4,5-二(间甲氧基苯基咪唑)二聚体、2-(邻氟苯基)-4,5-二苯基咪唑二聚体、2-(邻甲氧基苯基)-4、5-二苯基咪唑二聚体、2-(对甲氧基苯基)-4,5-二苯基咪唑二聚体、2,4-二(对甲氧基苯基)-5-苯基咪唑二聚体、2-(2,4-二甲氧基苯基)-4,5-二苯基咪唑二聚体和2-(对甲基巯基苯基)-4,5-二苯基咪唑二甲基及其组合或类似物。
正如本领域的普通技术人员将认识到的那样,此处列出的化学化合物仅仅旨在作为PACs的说明性实例,并不欲将本揭示内容的实施方式仅限制于具体描述的那些PACs。相反地,可以使用任何合适的PACs,并且所有这样的PACs都完全有意包括在本实施方式的范围内。
在一些实施方式中,添加交联剂或偶合剂到光阻中。该交联剂与聚合物树脂中碳氢结构中的一个基团反应,并且还与碳氢结构中的第二个基团反应,以便将两个碳氢结构交联并键结。这种键结和交联增加了交联反应的聚合物产物的分子量,并增加了光阻的整体连接密度。这种密度和连接密度的增加有助于改善光阻的图案。偶合剂协助交联反应。交联剂或偶合剂可以是参考本文底层所揭示的交联剂或偶合剂中的任何一个。
将光阻的各个组分放入溶剂中,以帮助光阻的混合和分配。为了帮助光阻的混合和分配,溶剂的选择至少部分是基于为聚合物树脂及PACs选择的材料。在一些实施方式中,溶剂的选择要使聚合物树脂和PACs能够均匀地溶解在溶剂中,并分配到要图案化的层上。
在一些实施方式中,添加淬火剂到光阻中,以抑制生成的酸/碱/自由基在光阻中的扩散。淬火剂改善了阻剂图案的配置及光阻的稳定性。在一些实施方式中,该淬火剂是光可分解淬火剂(PDQ)。在一些实施方式中,PDQ选自1,2-二环己基-4,4,5,5-四甲基双胍的正丁基三苯基硼酸盐、2-硝基苯基甲基4-甲基丙烯酰氧基哌啶-1-甲酸酯、二硫代氨基甲酸季铵、α氨基酮、肟基聚氨酯、二苯甲酮肟六亚甲基二脲、四有机硼酸铵盐和N-(2-硝基芐氧羰基)环胺及其组合。在一些实施方式中,PDQ与光致产碱剂(PBG)相同。
在一些实施方式中,添加到光阻的另一个添加剂是稳定剂,其有助于防止在光阻曝光期间产生的酸的不希望的扩散。
在一些实施方式中,另一个添加到光阻的添加剂是溶解抑制剂,以帮助控制光阻在显影期间的溶解。
在一些实施方式中,另一个添加到光阻的添加剂是着色剂。着色剂观察者检查光阻并发现任何可能需要在进一步加工之前进行补救的缺陷。
在一些实施方式中,另一个添加到光阻的添加剂是表面整平剂,表面整平剂帮助光阻的顶部表面整平,从而使入射光线不会因不整平的表面而受到不利影响。
一旦准备好,将光阻材料涂在中间层115上,如图5所示,以形成光敏层120。在一些实施方式中,光敏层使用诸如旋涂法、浸涂法、气刀涂布法、帘式涂布法、线棒涂布法、凹版涂布法、层压法、挤压涂布法及其组合或类似物。在一些实施方式中,光敏层120的厚度范围为约10纳米至约300纳米。
在一些实施方式中,显影剂57在显影操作S150期间对光敏层120使用旋转式工艺。在旋转工艺中,显影剂57从光敏层120的上方施加到光敏层120上,旋转光敏层120,如图7所示。在一些实施方式中,显影剂57以约5毫升/分钟和约800毫升/分钟的速度供应,而涂有光阻的基板10以约100转/分钟和约2000转/分钟的速度旋转。在一些实施方式中,显影剂的温度在约10℃和约80℃之间。在一些实施方式中,显影操作持续了约30秒到约10分钟。
虽然旋涂操作是显影曝光后的光敏层120的合适方法,但它旨在说明,而不是为了限制本实施例。相反地,任何合适的显影操作,包括浸渍工艺、水坑工艺和喷涂方法,都可以替代性地使用。所有这些显影操作都包括在本揭示内容的范围内。
在一些实施方式中,光阻显影剂57包括溶剂和酸或基。在一些实施方式中,基于光阻显影剂的总重量,溶剂的浓度为约60wt.%至约99wt.%。基于光阻显影剂的总重量,酸或碱的浓度为约0.001wt.%至约20wt.%。在某些实施方式中,基于光阻显影剂的总重量,显影剂中的酸或碱浓度为约0.01wt.%至约15wt.%。
在一些实施方式中,显影剂是水溶液,例如四甲基氢氧化铵的水溶液。在另一些实施方式中,显影剂57是有机溶剂。该有机溶剂可以是任何合适的溶剂。在一些实施方式中,溶剂是选自丙二醇甲醚醋酸酯(PGMEA)、丙二醇单甲醚(PGME)、1-乙氧基-2-丙醇(PGEE)、γ-丁内酯(GBL)、环己酮(CHN)、乳酸乙酯(EL)的一或多个、甲醇、乙醇、丙醇、正丁醇、4-甲基-2-戊醇、丙酮、甲乙酮、二甲基甲酰胺(DMF)、异丙醇(IPA)、四氢呋喃(THF)、甲基异丁基甲醇(MIBC)、乙酸正丁酯(nBA)、2-庚酮(MAK)和二恶烷。
在一些实施方式中,本揭示内容的三层阻剂用于制造半导体装置,例如场效晶体管(field effect transistor,FET)的栅极结构。诸如本文揭示的实施方式一般不仅适用于平面场效晶体管,而且还适用于鳍式场效晶体管(fin field effect transistor,FinFET)、双栅极场效晶体管、环绕栅极场效晶体管、omega栅极场效晶体管或全栅极(gate-all-around,GAA)场效晶体管,和/或纳米线晶体管或任何在栅极结构中具有一个或多个功函数调节材料(work function adjustment material,WFM)层的合适装置。
其他实施例包括在上述操作之前、期间或之后的其他操作。在一些实施方式中,所揭示的方法包括形成半导体装置,包括鳍式场效晶体管(FinFET)结构。在一些实施方式中,在半导体基板上形成多个有源鳍式。这样的实施方式,进一步包括通过图案化硬遮罩的开口蚀刻基板,在基板中形成沟槽;用电介质材料填充沟槽;执行化学机械抛光(chemicalmechanical polishing,CMP)工艺,形成浅沟槽隔离(shallow trench isolation,STI)特征;磊晶生长或凹陷STI特征,形成鳍状活性区域。在一些实施方式中,在基板上形成一个或多个栅极电极。一些实施方式包括形成栅极间隔、掺杂源极/漏极区域、栅极/源/漏特征的接触等。在另一些实施方式中,形成多层互连结构中的金属线的目标图案。例如,金属线可以形成在基板的层间电介质(ILD)层中,蚀刻底层以形成多个沟槽。沟槽中可以填充导电材料,如金属;导电材料可以采用化学机械平坦化(CMP)等工艺进行抛光,以曝光出图案化的ILD层,从而在ILD层中形成金属线。以上是使用本文所述方法可以制造和/或改进的装置/结构的非限制性例子。
在一些实施方式中,有源元件如二极管、场效晶体管(FET)、金属氧化物半导体场效晶体管(metal-oxide semiconductor field effect transistors,MOSFET)、互补金属氧化物半导体(complementary metal-oxide semiconductor,CMOS)晶体管、形成双极晶体管、高压晶体管、高频晶体管、FinFETs、其他三维FETs、其他存储单元及其组合,根据本内容揭示的实施方式。
根据本揭示内容的新颖的中间层组合物和半导体装置的制造方法提供更高的半导体装置特征的产率。本揭示内容的实施方式包括减少浮渣缺陷的方法和材料,从而提高图案分辨率,降低线宽粗糙度,降低线边缘粗糙度,并提高半导体装置的产量。本揭示内容的实施方式进一步实现了使用较低的曝光剂量来有效曝光和图案化光阻。
本揭示内容的实施例为一种制造半导体装置的方法,方法包括:形成第一层于基板上,第一层具有有机材料。形成第二层于第一层上,第二层包括含硅聚合物,含硅聚合物具有侧酸基或侧光致产酸剂基。形成第二层包括:形成组合物层于第一层上,组合物层包括硅基聚合物和含酸基或光致产酸剂基的材料。漂浮含酸基或光致产酸剂基的材料于硅基聚合物上。将含酸基或光致产酸剂基的材料与硅基聚合物反应,以形成上第二层覆盖下第二层。上第二层包括硅基聚合物,硅基聚合物具有侧酸基或侧光致产酸剂基。下第二层包括硅基聚合物。形成光敏层于第二层上。图案化光敏层。在一个实施方式中,漂浮含酸基或光致产酸剂基的材料于硅基聚合物上包括旋转基板同时涂上组合物于第一层上或在涂上组合物于第一层上之后旋转基板。在一个实施方式中,将含酸基或光致产酸剂基的材料与硅基聚合物反应包括在40℃至400℃的温度范围下加热含酸基或光致产酸剂基的材料与硅基聚合物。在一个实施方式中,硅基聚合物为聚硅氧烷。在一个实施方式中,上第二层的硅基聚合物包括侧酸基,侧酸基具有5至-8的pKa范围。在一个实施方式中,侧酸基包括羧基或磺酸基。在一个实施方式中,将含酸基或光致产酸剂基的材料与硅基聚合物反应是通过溶胶凝胶反应或酯化反应。在一个实施方式中,含酸基或光致产酸剂基的材料是选自由含硅化合物、含硅聚合物或有机物组成的群组中的一或多者。
本揭示内容的另一实施例为一种制造半导体装置的方法,方法包括:形成底部抗反射涂层于基板上。形成中间层于底部抗反射涂层上,中间层包括下中间层和位于下中间层上方的上中间层,下中间层包括第一硅基聚合物,上中间层包括第二硅基聚合物,第二硅基聚合物具有侧酸基或侧光致产酸剂基,第二硅基聚合物和第一硅基聚合物具有不同组成。形成光敏层于中间层上。以光化辐射选择性曝光光敏层,以形成潜伏图案。显影选择性曝光的光敏层以形成图案于光敏层中。在一个实施方式中,第一硅基聚合物为聚硅氧烷。在一个实施方式中,第二硅基聚合物包括侧酸基,侧酸基具有5至-8的pKa范围。在一个实施方式中,侧酸基包括羧基或磺酸基。在一个实施方式中,第二硅基聚合物包括侧光致产酸剂基,且侧光致产酸剂基包括鎓阳离子。
本揭示内容的另一实施例为一种制造半导体装置的方法,方法包括:形成三层阻剂的底层于基板上。形成三层阻剂的中间层于底层上。形成中间层包括:形成下中间层和形成位于下中间层上方的上中间层。下中间层包括硅基聚合物。形成上中间层包括:将具有酸基或光致产酸剂基的含硅化合物和硅基聚合物反应。将具有酸基或光致产酸剂基的含硅聚合物与硅基聚合物反应。将具有酸基或光致产酸剂基的有机物与硅基聚合物反应。形成光敏层于中间层上。以光化辐射选择性曝光光敏层和中间层。将显影剂组合物涂在选择性曝光的光敏层上以形成图案于光敏层中。在一个实施方式中,将具有酸基或光致产酸剂基的含硅化合物和硅基聚合物反应。将具有酸基或光致产酸剂基的含硅聚合物与硅基聚合物反应。将具有酸基或光致产酸剂基的有机物与硅基聚合物反应包括:在40℃至400℃的温度范围下加热中间层。在一个实施方式中,硅基聚合物为聚硅氧烷。在一个实施方式中,形成上中间层包括将具有酸基的含硅化合物和硅基聚合物反应。具有酸基或光致产酸剂基的含硅化合物表示为(R3O)3Si-R2-A,其中R3为被取代或未被取代的C1-C12烷基、C2-C12烯基、C1-C12羟烷基或C1-C12烷基氨基。R2为-CyXy+2,其中X为F、Cl、Br或I,且y为1至15,被1至5个卤素或羟基取代的苯基、一维的C2-C40直链烷基、C2-C40烯基、C2-C40羟烷基、C2-C40烷基氨基、二维的C3-C40支链烷基或环烷基、C6-C40芳基、C7-C40芳烷基或三维的C7-C40烷基。A为一或多个羧基、磺酸基或光致产酸剂基。在一个实施方式中,形成上中间层包括将具有酸基或光致产酸剂基的含硅聚合物和硅基聚合物反应。具有酸基或光致产酸剂基的含硅聚合物表示为其中:n为10至1000。R2为-CyXy+2,其中X为F、Cl、Br或I,且y为1至15,被1至5个卤素或羟基取代的苯基、一维的C2-C40直链烷基、C2-C40烯基、C2-C40羟烷基、C2-C40烷基氨基、二维的C3-C40支链烷基或环烷基、C6-C40芳基、C7-C40芳烷基或三维的C7-C40烷基。A为羧基、磺酸基或光致产酸剂基。在一个实施方式中,形成上中间层包括将具有酸基或光致产酸剂基的有机物和硅基聚合物反应。具有酸基或光致产酸剂基的有机物表示为HOOC-R2-A,其中R2为-CyXy+2,其中X为F、Cl、Br或I,且y为1至15,被1至5个卤素或羟基取代的苯基、一维的C2-C40直链烷基、C2-C40烯基、C2-C40羟烷基、C2-C40烷基氨基、二维的C3-C40支链烷基或环烷基、C6-C40芳基、C7-C40芳烷基或三维的C7-C40烷基。A为羧基、磺酸基或光致产酸剂基。在一个实施方式中,具有酸基或光致产酸剂基的含硅化合物、具有酸基或光致产酸剂基的含硅聚合物和具有酸基或光致产酸剂基的有机物包括光致产酸剂基,光致产酸剂基包括鎓阳离子。
本揭示内容的另一实施例为一种光阻组合物,包括:硅基聚合物、可漂浮材料及溶剂。可漂浮材料包括以下的至少一者。(i)具有酸基或光致产酸剂基的含硅化合物,(ii)具有酸基或光致产酸剂基的含硅聚合物,或(iii)具有酸基或光致产酸剂基的有机物。在一个实施方式中,硅基聚合物为聚硅氧烷。在一个实施方式中,光阻组合物包括具有酸基或光致产酸剂基的含硅化合物。含硅化合物表示为(R3O)3Si-R2-A,其中R3为被取代或未被取代的C1-C12烷基、C2-C12烯基、C1-C12羟烷基或C1-C12烷基氨基。R2为-CyXy+2,其中X为F、Cl、Br或I,且y为1至15,被1至5个卤素或羟基取代的苯基、一维的C2-C40直链烷基、C2-C40烯基、C2-C40羟烷基、C2-C40烷基氨基、二维的C3-C40支链烷基或环烷基、C6-C40芳基、C7-C40芳烷基或三维的C7-C40烷基。A为一或多个羧基、磺酸基或光致产酸剂基。在一个实施方式中,光阻组合物包括具有酸基或光致产酸剂基的含硅聚合物。含硅聚合物表示为其中n为10至1000。R2为-CyXy+2,其中X为F、Cl、Br或I,且y为1至15,被1至5个卤素或羟基取代的苯基、一维的C2-C40直链烷基、C2-C40烯基、C2-C40羟烷基、C2-C40烷基氨基、二维的C3-C40支链烷基或环烷基、C6-C40芳基、C7-C40芳烷基或三维的C7-C40烷基。A为羧基、磺酸基或光致产酸剂基。在一个实施方式中,光阻组合物包括具有酸基或光致产酸剂基的有机物。有机物表示为HOOC-R2-A,其中R2为-CyXy+2,其中X为F、Cl、Br或I,且y为1至15,被1至5个卤素或羟基取代的苯基、一维的C2-C40直链烷基、C2-C40烯基、C2-C40羟烷基、C2-C40烷基氨基、二维的C3-C40支链烷基或环烷基、C6-C40芳基、C7-C40芳烷基或三维的C7-C40烷基。A为羧基、磺酸基或光致产酸剂基。在一个实施方式中,可漂浮材料包括光致产酸剂基。光致产酸剂基包括鎓阳离子。在一个实施方式中,基于光阻组合物的总重量,光阻组合物包括0.01wt.%至60wt.%的可漂浮材料。
上述内容概述了几个实施例或示例的特征,以便本领域的技术人员可以更好地理解本揭示内容的各个方面。本领域技术人员应认识到,他们可以很容易地将本揭示内容作为设计或修改其他工艺和结构的基础,以实现相同的目的和/或实现本文介绍的实施例或示例的相同优点。本领域的技术人员还应该认识到,这种等效的结构并不偏离本揭示内容的精神和范围,他们可以在不偏离本揭示内容的精神和范围的情况下对本文进行各种改变、替换和改动。

Claims (10)

1.一种制造半导体装置的方法,其特征在于,包括:
形成一第一层于一基板上,该第一层包括一有机材料;
形成一第二层于该第一层上,其中该第二层包括一含硅聚合物,该含硅聚合物具有多个侧酸基或多个侧光致产酸剂基,其中形成该第二层包括:
形成一组合物层于第一层上,该组合物层包括一硅基聚合物和一含酸基或光致产酸剂基的材料;
漂浮该含酸基或光致产酸剂基的材料于该硅基聚合物上;以及
将该含酸基或光致产酸剂基的材料与该硅基聚合物反应,以形成一上第二层覆盖一下第二层,该上第二层包括一硅基聚合物,该硅基聚合物具有多个侧酸基或多个侧光致产酸剂基,该下第二层包括该硅基聚合物;
形成一光敏层于该第二层上;以及
图案化该光敏层。
2.如权利要求1所述的方法,其特征在于,其中该上第二层的该硅基聚合物包括多个侧酸基,所述多个侧酸基具有5至-8的pKa范围。
3.如权利要求1所述的方法,其特征在于,其中将该含酸基或光致产酸剂基的材料与该硅基聚合物反应是通过一溶胶凝胶反应或一酯化反应。
4.一种制造半导体装置的方法,其特征在于,包括:
形成一底部抗反射涂层于一基板上;
形成一中间层于该底部抗反射涂层上,其中该中间层包括一下中间层和位于该下中间层上方的一上中间层,
其中该下中间层包括一第一硅基聚合物,该上中间层包括一第二硅基聚合物,该第二硅基聚合物具有多个侧酸基或多个侧光致产酸剂基;以及
其中该第二硅基聚合物和该第一硅基聚合物具有不同组成;
形成一光敏层于该中间层上;
以光化辐射选择性曝光该光敏层,以形成一潜伏图案;以及
显影选择性曝光的该光敏层,以形成一图案于该光敏层中。
5.如权利要求4所述的方法,其特征在于,其中该第一硅基聚合物为一聚硅氧烷。
6.如权利要求4所述的方法,其特征在于,其中该第二硅基聚合物包括多个侧光致产酸剂基,且所述多个侧光致产酸剂基包括一鎓阳离子。
7.一种光阻组合物,其特征在于,包括:
一硅基聚合物;
一可漂浮材料,该可漂浮材料包括以下的至少一者
(i)一具有酸基或光致产酸剂基的含硅化合物,
(ii)一具有多个酸基或多个光致产酸剂基的含硅聚合物,或
(iii)一具有酸基或光致产酸剂基的有机物;以及
一溶剂。
8.如权利要求7所述的光阻组合物,其特征在于,其中该光阻组合物包括该具有酸基或光致产酸剂基的含硅化合物,该含硅化合物表示为(R3O)3Si-R2-A,其中:
R3为被取代或未被取代的C1-C12烷基、C2-C12烯基、C1-C12羟烷基或C1-C12烷基氨基;
R2为-CyXy+2,其中X为F、Cl、Br或I,且y为1至15,被1至5个卤素或羟基取代的苯基、一维的C2-C40直链烷基、C2-C40烯基、C2-C40羟烷基、C2-C40烷基氨基、二维的C3-C40支链烷基或环烷基、C6-C40芳基、C7-C40芳烷基或三维的C7-C40烷基;以及
A为一或多个羧基、磺酸基或光致产酸剂基。
9.如权利要求7所述的光阻组合物,其特征在于,其中该光阻组合物包括具有多个酸基或多个光致产酸剂基的该含硅聚合物,且该含硅聚合物表示为
其中:
n为10至1000;
R2为-CyXy+2,其中X为F、Cl、Br或I,且y为1至15,被1至5个卤素或羟基取代的苯基、一维的C2-C40直链烷基、C2-C40烯基、C2-C40羟烷基、C2-C40烷基氨基、二维的C3-C40支链烷基或环烷基、C6-C40芳基、C7-C40芳烷基或三维的C7-C40烷基;以及
A为羧基、磺酸基或光致产酸剂基。
10.如权利要求7所述的光阻组合物,其特征在于,其中该光阻组合物包括具有酸基或光致产酸剂基的该有机物,且该有机物表示为HOOC-R2-A,其中:
R2为-CyXy+2,其中X为F、Cl、Br或I,且y为1至15,被1至5个卤素或羟基取代的苯基、一维的C2-C40直链烷基、C2-C40烯基、C2-C40羟烷基、C2-C40烷基氨基、二维的C3-C40支链烷基或环烷基、C6-C40芳基、C7-C40芳烷基或三维的C7-C40烷基;以及
A为羧基、磺酸基或光致产酸剂基。
CN202311236695.9A 2022-09-26 2023-09-25 光阻组合物及半导体装置的制造方法 Pending CN117423609A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US63/410,029 2022-09-26
US18/133,933 US20240118618A1 (en) 2022-09-26 2023-04-12 Method of manufacturing a semiconductor device
US18/133,933 2023-04-12

Publications (1)

Publication Number Publication Date
CN117423609A true CN117423609A (zh) 2024-01-19

Family

ID=89529147

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202311236695.9A Pending CN117423609A (zh) 2022-09-26 2023-09-25 光阻组合物及半导体装置的制造方法

Country Status (1)

Country Link
CN (1) CN117423609A (zh)

Similar Documents

Publication Publication Date Title
KR20200040671A (ko) 포토레지스트 조성물 및 포토레지스트 패턴의 형성 방법
US20210271166A1 (en) Photoresist underlayer and method of manufacturing a semiconductor device
TWI757987B (zh) 光阻劑組成物、製造半導體裝置的方法、以及形成光阻劑圖案的方法
CN110609441A (zh) 形成光阻图案的方法
KR20210145083A (ko) 포토레지스트 조성물 및 포토레지스트 패턴의 형성 방법
CN112748647A (zh) 光致抗蚀剂显影剂和使光致抗蚀剂显影的方法
US20210349391A1 (en) Photoresist under-layer and method of forming photoresist pattern
CN117423609A (zh) 光阻组合物及半导体装置的制造方法
CN114068300A (zh) 旋涂碳合成物与制造半导体元件的方法
US20240118618A1 (en) Method of manufacturing a semiconductor device
US20240096623A1 (en) Method of manufacturing a semiconductor device
TW202414593A (zh) 光阻組合物及半導體裝置的製造方法
TWI790553B (zh) 光阻劑組成物與製造半導體裝置的方法
TWI777426B (zh) 光阻底層組成物與製造半導體裝置的方法
US20230063073A1 (en) Method of manufacturing a semiconductor device
CN110955112B (zh) 光阻组成物及形成光阻图案的方法
US20230102166A1 (en) Method of manufacturing a semiconductor device
CN117352374A (zh) 半导体器件的制造方法
US20230393478A1 (en) Underlayer composition and method of manufacturing a semiconductor device
TWI792437B (zh) 製造半導體裝置的方法
TW202411780A (zh) 製造半導體裝置的方法及光阻劑組成物
TWI815097B (zh) 光阻劑組成物與製造半導體裝置的方法
US20230384675A1 (en) Underlayer composition and method of manufacturing a semiconductor device
KR20210157347A (ko) 포토레지스트 조성물 및 포토레지스트 패턴 형성 방법
TW202136327A (zh) 製造半導體裝置的方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination