CN117396810A - Composition for forming silicon-containing resist underlayer film - Google Patents

Composition for forming silicon-containing resist underlayer film Download PDF

Info

Publication number
CN117396810A
CN117396810A CN202280037974.XA CN202280037974A CN117396810A CN 117396810 A CN117396810 A CN 117396810A CN 202280037974 A CN202280037974 A CN 202280037974A CN 117396810 A CN117396810 A CN 117396810A
Authority
CN
China
Prior art keywords
group
underlayer film
silicon
resist underlayer
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202280037974.XA
Other languages
Chinese (zh)
Inventor
加藤宏大
柴山亘
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nissan Chemical Corp
Original Assignee
Nissan Chemical Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nissan Chemical Corp filed Critical Nissan Chemical Corp
Priority claimed from PCT/JP2022/016230 external-priority patent/WO2022210954A1/en
Publication of CN117396810A publication Critical patent/CN117396810A/en
Pending legal-status Critical Current

Links

Landscapes

  • Materials For Photolithography (AREA)

Abstract

The purpose of the present invention is to provide a composition for forming a silicon-containing resist underlayer film, which is used for forming a silicon-containing resist underlayer film that is also capable of obtaining a good resist pattern without pattern collapse in the formation of very fine patterns having a resolution (hp) of less than 25 nm. A composition for forming a resist underlayer film containing silicon, which comprises [ A ] a polysiloxane having a siloxane unit structure having an ester structure, and [ B ] a solvent.

Description

Composition for forming silicon-containing resist underlayer film
Technical Field
The present invention relates to a composition for forming a resist underlayer film, and particularly to a composition for forming a silicon-containing resist underlayer film, which can form a silicon-containing resist underlayer film having good lithographic characteristics and high chemical removal properties.
Background
Conventionally, in the manufacture of semiconductor devices, micromachining has been performed by photolithography using a photoresist. The micromachining is a processing method in which a thin film of a photoresist is formed on a semiconductor substrate such as a silicon wafer, active light such as ultraviolet rays is irradiated thereto through a mask pattern in which a pattern of a semiconductor device is drawn, the resultant photoresist pattern is developed, and the substrate is etched as a protective film, whereby fine irregularities corresponding to the pattern are formed on the surface of the substrate.
In the progress of high integration of semiconductor devices, active light rays used have tended to be shortened from KrF excimer laser (248 nm) to ArF excimer laser (193 nm), and exposure techniques using EUV (Extreme Ultra violet: extreme ultraviolet) and electron beams have been further studied. As the wavelength of the active light becomes shorter, the influence of reflection of the active light from the semiconductor substrate becomes a great problem, and a method of providing a resist underlayer film called an antireflection film (bottom anti-ReflectiveCoating, BARC) between the photoresist and the substrate to be processed is widely used. As such a resist underlayer film, an underlayer film containing silicon or the like is proposed (patent document 1 and the like).
With the miniaturization of resist patterns in the most sophisticated semiconductor devices in recent years, the demand for thinning of resists has become more remarkable. In particular, in a 3-layer process including a resist film, a silicon-containing resist underlayer film, and an organic underlayer film, good lithographic properties of a resist on the silicon-containing resist underlayer film are required.
Prior art literature
Patent literature
Patent document 1: japanese patent laid-open No. 2007-163846
Disclosure of Invention
Problems to be solved by the invention
Due to the further thinning of the resist, collapse of the pattern and deterioration of the pattern shape are also spread in a system capable of forming a good resist pattern so far, and in a recent semiconductor manufacturing process in which the thinning of a film used for the pattern is remarkable, the performance of imparting good lithographic characteristics to a resist underlayer film is important.
In particular, in the formation of very fine patterns such as EUV lithography, which are indicators of resolution, the collapse of high aspect ratio patterns accompanied by miniaturization of patterns has become a serious problem in the formation of very fine patterns such as a half pitch (hp) of 10 to 25 nm. In such a case, a resist underlayer film forming composition using a silane compound having a specific functional group such as a norbornene ring and a resist underlayer film using the composition have been reported for the purpose of imparting high lithographic properties. However, such silane compounds having specific functional groups are generally difficult to obtain, expensive, and a photolithographic material which can achieve both high photolithographic characteristics and manufacturing cost inhibition cannot be realized.
The present invention has been made in view of the above circumstances, and an object of the present invention is to provide a composition for forming a silicon-containing resist underlayer film, which is used for forming a silicon-containing resist underlayer film having no good resist pattern collapse even in the formation of very fine patterns having a resolution (hp) of less than 25nm, and further less than 20 nm.
Means for solving the problems
In the present invention, as the 1 st aspect, there is provided a composition for forming a silicon-containing resist underlayer film, comprising:
[A] a polysiloxane comprising a siloxane unit structure having an ester structure; and
[B] and (3) a solvent.
As the 2 nd aspect, there is provided the composition for forming a silicon-containing resist underlayer film according to the 1 st aspect, wherein the siloxane unit structure having an ester structure in the [ a ] polysiloxane comprises: an ester structure produced by reaction of a hydroxyl group and/or an epoxy group with a compound selected from carboxylic acids, dicarboxylic acids and dicarboxylic acid anhydrides.
As the 3 rd aspect, the composition for forming a silicon-containing resist underlayer film according to the 2 nd aspect is directed, wherein the carboxylic acid, the dicarboxylic acid and the dicarboxylic anhydride comprise: at least one group selected from alicyclic groups, aromatic ring groups, cyano groups, alkenyl groups and alkynyl groups.
The invention according to item 4 relates to the composition for forming a silicon-containing resist underlayer film according to any one of items 1 to 3, wherein the content of the siloxane unit structure having an ester structure in the [ a ] polysiloxane is 0.1 mol% or more and 10 mol% or less based on the total mole number of the siloxane unit structures of the [ a ] polysiloxane.
The composition for forming a silicon-containing resist underlayer film according to any one of the aspects 1 to 4, wherein the [ A ] polysiloxane further comprises a siloxane unit structure having an organic group containing a quaternary ammonium-nitrate structure.
The composition for forming a silicon-containing resist underlayer film according to the aspect 1 is the composition for forming a silicon-containing resist underlayer film according to the aspect 6,
the polysiloxane of [ A ] above comprises: a hydrolysis condensate [ I ] containing a siloxane unit structure having an ester structure,
the hydrolytic condensate [ I ] is a hydrolytic condensate of a hydrolyzable silane containing at least 1 hydrolyzable silane represented by the following formula (1).
R 1 a R 2 b Si(R 3 ) 4-(a+b) (1)
(in the formula (I),
R 1 is a group bonded to a silicon atom, represented by the formula (1-1),
*-R 101 -O-C(=O)-R 102 (1-1)
(in the formula (1-1), R 101 Represents an alkylene group having 2 to 20 carbon atoms, an arylene group having 6 to 12 carbon atoms, or a combination thereof, which may contain an ether bond and may be substituted with a hydroxyl group,
R 102 an organic group having at least one group selected from the group consisting of an alicyclic group which may be substituted, an aromatic ring group which may be substituted, a cyano group, an alkenyl group and an alkynyl group. ),
R 2 for the groups bound to silicon atoms, independently of one another, represent alkyl groups which may be substituted, which may beSubstituted aryl, substituted aralkyl, substituted haloaryl, substituted haloaralkyl, substituted alkoxyalkyl, substituted alkoxyaryl, substituted alkoxyaaralkyl, substituted alkenyl, or an organic group having an epoxy, acryl, methacryl, mercapto, amino, amide, alkoxy, sulfonyl, or cyano group, or a combination thereof,
R 3 Is a group or atom bonded to a silicon atom, and independently represents an alkoxy group, an aralkyloxy group, an acyloxy group, or a halogen atom,
a represents an integer of 1, b represents an integer of 0 to 2, and a+b represents an integer of 1 to 3. )
In a 7 th aspect, the composition for forming a resist underlayer film containing silicon according to the 6 th aspect is characterized in that the content of at least 1 hydrolyzable silane represented by the formula (1) in the hydrolyzable silane is 0.1 mol% or more and 10 mol% or less based on the total mole number of all hydrolyzable silanes contained in the hydrolyzable silane.
The composition for forming a silicon-containing resist underlayer film according to the aspect 8 is the composition for forming a resist underlayer film according to the aspect 6 or the aspect 7, wherein the [ a ] polysiloxane comprises: a hydrolysis condensate [ I-1] comprising a siloxane unit structure having an ester structure and a siloxane unit structure having an organic group having a quaternary ammonium-nitrate structure, wherein the hydrolysis condensate [ I-1] is a hydrolysis condensate comprising a mixture of a hydrolyzable silane and nitric acid, and the hydrolyzable silane comprises a hydrolyzable silane represented by the above formula (1) and a hydrolyzable silane containing an organic group having an amino group represented by the following formula (2).
R 4 c R 5 d Si(R 6 ) 4-(c+d) (2)
(in the formula (I),
R 4 for the group bonded to the silicon atom, represents an organic group containing an amino group,
R 5 For the radicals bound to silicon atoms, independently of one another, represent alkyl radicals which may be substituted, may be takenSubstituted aryl, substituted aralkyl, substituted haloaryl, substituted haloaralkyl, substituted alkoxyalkyl, substituted alkoxyaryl, substituted alkoxyaaralkyl, substituted alkenyl, or an organic group having an acryl, methacryl, mercapto, amino, amido, alkoxy, sulfonyl, or cyano group, or a combination thereof,
R 6 is a group or atom bonded to a silicon atom, and independently represents an alkoxy group, an aralkyloxy group, an acyloxy group, or a halogen atom,
c represents an integer of 1, d represents an integer of 0 to 2, and c+d represents an integer of 1 to 3. )
The composition for forming a silicon-containing resist underlayer film according to any one of the aspects 1 to 8, as the 9 th aspect, comprises a curing catalyst.
The 10 th aspect relates to the composition for forming a silicon-containing resist underlayer film according to any one of the 1 st to 9 th aspects, wherein the [ B ] solvent contains water.
The composition for forming a silicon-containing resist underlayer film according to any one of the aspects 1 to 10, as the 11 th aspect, further comprising a pH adjuster.
The 12 th aspect relates to the composition for forming a silicon-containing resist underlayer film according to any one of the 1 st to 11 th aspects, further comprising a metal oxide.
The 13 th aspect relates to any one of the 1 st to 12 th aspects of the composition for forming a resist underlayer film containing silicon, which is used for forming a resist underlayer film for EUV lithography.
As a 14 th aspect, the present invention relates to a resist underlayer film, which is a cured product of the composition for forming a silicon-containing resist underlayer film according to any one of the 1 st to 13 th aspects.
As a 15 th aspect, the present invention relates to a substrate for semiconductor processing, comprising a semiconductor substrate and the resist underlayer film according to the 14 th aspect.
As a 16 th aspect, there is provided a method for manufacturing a semiconductor device, comprising:
forming an organic underlayer film on a substrate;
a step of forming a silicon-containing resist underlayer film on the organic underlayer film using the silicon-containing resist underlayer film forming composition according to any one of the aspects 1 to 13; and
and forming a resist film on the silicon-containing resist underlayer film.
As the 17 th aspect, the manufacturing method according to the 16 th aspect,
in the step of forming the silicon-containing resist underlayer film, the composition for forming the silicon-containing resist underlayer film, which was filtered by a nylon filter, was used.
As an 18 th aspect, there is provided a pattern forming method comprising:
forming an organic underlayer film on a semiconductor substrate;
a step of forming a silicon-containing resist underlayer film by applying the silicon-containing resist underlayer film forming composition according to any one of the aspects 1 to 13 to the organic underlayer film and firing the composition;
a step of forming a resist film by applying a resist film-forming composition to the silicon-containing resist underlayer film;
exposing and developing the resist film to obtain a resist pattern;
etching the silicon-containing resist underlayer film using the resist pattern as a mask; and
and etching the organic underlayer film using the patterned silicon-containing resist underlayer film as a mask.
ADVANTAGEOUS EFFECTS OF INVENTION
According to the present invention, there can be provided a composition for forming a silicon-containing resist underlayer film, which can form a silicon-containing resist underlayer film having no pattern collapse even in the formation of very fine patterns having a resolution (hp) of less than 25 nm.
Further, according to the present invention, a composition for forming a silicon-containing resist underlayer film, which can be suitably used in a photolithography step requiring further thinning/miniaturization, and which can suppress the production cost, can be provided.
Detailed Description
The present invention relates to a composition for forming a silicon-containing resist underlayer film (hereinafter, also simply referred to as "composition for forming a resist underlayer film") containing a polysiloxane having an ester structure of [ a ] and a solvent of [ B ] for forming a silicon-containing resist underlayer film, which can prevent collapse of a resist pattern accompanying thinning/miniaturization of a semiconductor system.
The present invention will be described in detail below.
[A] Polysiloxane
In the present invention, the [ A ] polysiloxane is not particularly limited as long as it is a polymer having a siloxane bond and containing a siloxane unit structure having an ester structure.
In a preferred embodiment, the above-mentioned ester structure may be an ester structure produced by a reaction of a hydroxyl group and/or an epoxy group bonded to a hydrocarbon group bonded to a silicon atom, and a compound selected from carboxylic acids, dicarboxylic acids and dicarboxylic acid anhydrides (hereinafter, also referred to as carboxylic acids).
Further, the above-mentioned [ A ] polysiloxane may be a polysiloxane further comprising a siloxane unit structure having an organic group comprising a quaternary ammonium-nitrate structure in addition to the above-mentioned siloxane unit structure having an ester structure.
The polysiloxane [ A ] may have a structure having any of a cage type, a ladder type, a linear chain type and a branched chain type. Further, as the polysiloxane, a commercially available polysiloxane can be used.
Further, as an example, the above-mentioned [ a ] polysiloxane contained in the composition for forming a resist underlayer film containing silicon of the present invention contains a hydrolytic condensate of a hydrolyzable silane.
In the present invention, the hydrolysis condensate (hydrolysis condensation product) includes not only a polyorganosiloxane polymer as a condensate in which condensation is completed completely, but also a polyorganosiloxane polymer as a partial hydrolysis condensate in which condensation is not completed completely. Like the condensate in which the condensation is completely completed, such a partially hydrolyzed condensate is a polymer obtained by hydrolysis and condensation of a hydrolyzable silane compound, but is partially stopped during the hydrolysis and is not condensed, and therefore si—oh groups remain. The composition for forming a silicon-containing resist underlayer film of the present invention may contain uncondensed hydrolysates (complete hydrolysates and partial hydrolysates) and monomers (hydrolyzable silane compounds) in addition to the hydrolytic condensate.
In the present specification, the "hydrolyzable silane" may be simply referred to as "silane compound".
As will be described later, the hydrolyzable silane may include a hydrolyzable silane represented by the following formula (1), and may include a hydrolyzable silane represented by the following formula (2) and, if necessary, other hydrolyzable silanes.
[A] The polysiloxane may comprise a hydrolytic condensate [ I ] containing a siloxane unit structure having an ester structure.
The hydrolysis condensate [ I ] may be a hydrolysis condensate of a hydrolyzable silane containing at least 1 hydrolyzable silane represented by the following formula (1), for example.
R 1 a R 2 b Si(R 3 ) 4-(a+b) (1)
In formula (1), R 1 The group bonded to a silicon atom is represented by the following formula (1-1).
*-R 101 -O-C(=O)-R 102 (1-1)
In the formula (1-1), R 101 Represents an alkylene group having 2 to 20 carbon atoms, an arylene group having 6 to 12 carbon atoms, or a combination thereof, which may contain an ether bond and may be substituted with a hydroxyl group.
In addition R 102 Represents an aromatic compound having an alicyclic group which may be substituted and an aromatic group which may be substitutedAn organic group of at least one group selected from the group consisting of a cyclic group, a cyano group, an alkenyl group and an alkynyl group.
As R 101 Specific examples of the alkylene group having 2 to 20 carbon atoms include branched alkylene groups such as ethylene group, 1, 3-propylene group, methylethylene group, 1, 4-butylene group, 1, 5-pentylene group, 1, 6-hexylene group, 1, 7-heptylene group, 1, 8-octylene group, 1, 9-nonylene group, 1, 10-decylene group, etc., linear alkylene groups such as 1-methyl 1, 3-propylene group, 2-methyl 1, 3-propylene group, 1-dimethylethylene group, 1-methyl 1, 4-butylene group, 2-methyl 1, 4-butylene group, 1-dimethyl 1, 3-propylene group, 1, 2-dimethyl 1, 3-propylene group, 2-dimethyl 1, 3-propylene group, 1-ethyl 1, 3-propylene group, etc., branched alkylene groups such as 1, 2-cyclopropanediyl group, 1, 2-cyclobutanediyl group, 1, 3-cyclobutanediyl group, 1, 2-cyclohexanedidiyl group, and cyclic alkylene groups, etc., but are not limited thereto.
As R 101 Specific examples of the arylene group having 6 to 12 carbon atoms include 1, 2-phenylene, 1, 3-phenylene and 1, 4-phenylene; 1, 5-naphthalenediyl, 1, 8-naphthalenediyl, 2, 6-naphthalenediyl, 2, 7-naphthalenediyl, 1, 2-anthracenediyl, 1, 3-anthracenediyl, 1, 4-anthracenediyl, 1, 5-anthracenediyl, 1, 6-anthracenediyl, 1, 7-anthracenediyl, 1, 8-anthracenediyl, 2, 3-anthracenediyl, 2, 6-anthracenediyl, 2, 7-anthracenediyl, 2, 9-anthracenediyl, 2, 10-anthracenediyl, 9, 10-anthracenediyl and the like, and a derivative thereof by removing two hydrogen atoms from the aromatic ring of the condensed ring aromatic hydrocarbon compound; examples of the group derived from the 4,4' -biphenyldiyl group and the 4,4 "-terphenyldiyl group include, but are not limited to, a group derived from the aromatic ring of the aromatic hydrocarbon compound by removing two hydrogen atoms from the ring.
R 101 The alkylene groups, arylene groups, and alkylene groups and arylene groups may be each combined, may contain 1 or more ether linkages, and may have 1 or more hydrogen atoms of the alkylene groups and arylene groups substituted with hydroxyl groups.
In addition R 102 The alicyclic group in (a) may be norbornene or bicyclo [2.2.2]Examples of the "aromatic ring group" include groups derived from a cyclic group such as 5-octene or 3, 6-epoxy-1-cyclohexene, from which one hydrogen atom is removed Phenyl.
These alicyclic groups and aromatic ring groups may be substituted with an alkyl group such as methyl or ethyl, a carboxyl group, a hydroxyl group, or the like.
In addition R 102 Examples of the alkenyl group include alkenyl groups having 2 to 10 carbon atoms such as vinyl, propenyl, butenyl, pentenyl, hexenyl, heptenyl, octenyl and nonenyl, and R 102 Examples of the alkynyl group include alkenyl groups having 2 to 10 carbon atoms such as an ethynyl group, propynyl group, butynyl group, pentynyl group, hexynyl group, heptynyl group, octynyl group, nonynyl group, decynyl group, and the like, but the present invention is not limited thereto.
R is as described above 2 The groups to be bonded to the silicon atom represent, independently of each other, an alkyl group which may be substituted, an aryl group which may be substituted, an aralkyl group which may be substituted, a haloalkyl group which may be substituted, a haloaryl group which may be substituted, a haloaralkyl group which may be substituted, an alkoxyalkyl group which may be substituted, an alkoxyaryl group which may be substituted, or an alkenyl group which may be substituted, or an organic group having an epoxy group, an acryl group, a methacryl group, a mercapto group, an amino group, an amide group, an alkoxy group, a sulfonyl group, or a cyano group, or a combination thereof.
R 3 An alkoxy group, an aralkyloxy group, an acyloxy group, or a halogen atom is represented, independently of each other, as a group or atom bonded to a silicon atom.
Further, a represents an integer of 1, b represents an integer of 0 to 2, and a+b represents an integer of 1 to 3.
In the above formula (1), examples of the alkyl group include straight-chain or branched alkyl groups having 1 to 10 carbon atoms, examples thereof include methyl, ethyl, n-propyl, isopropyl, n-butyl, isobutyl, sec-butyl, tert-butyl, n-pentyl, 1-methyl-n-butyl, 2-methyl-n-butyl, 3-methyl-n-butyl, 1-dimethyl-n-propyl, 1, 2-dimethyl-n-propyl, 2-dimethyl-n-propyl, 1-ethyl-n-propyl, n-hexyl, 1-methyl-n-pentyl, 2-methyl-n-pentyl, 3-methyl-n-pentyl 4-methyl-n-pentyl, 1-dimethyl-n-butyl, 1, 2-dimethyl-n-butyl, 1, 3-dimethyl-n-butyl, 2-dimethyl-n-butyl, 2, 3-dimethyl-n-butyl, 3-dimethyl-n-butyl, 1-ethyl-n-butyl, 2-ethyl-n-butyl, 1, 2-trimethyl-n-propyl, 1, 2-trimethyl-n-propyl, 1-ethyl-1-methyl-n-propyl, 1-ethyl-2-methyl-n-propyl, and the like.
In addition, cyclic alkyl groups, for example, cyclic alkyl groups having 3 to 10 carbon atoms, examples thereof include cyclopropyl, cyclobutyl, 1-methyl-cyclopropyl, 2-methyl-cyclopropyl, cyclopentyl, 1-methyl-cyclobutyl, 2-methyl-cyclobutyl, 3-methyl-cyclobutyl, 1, 2-dimethyl-cyclopropyl, 2, 3-dimethyl-cyclopropyl, 1-ethyl-cyclopropyl, 2-ethyl-cyclopropyl, cyclohexyl, 1-methyl-cyclopentyl, 2-methyl-cyclopentyl, 3-methyl-cyclopentyl, 1-ethyl-cyclobutyl, 2-ethyl-cyclobutyl, 3-ethyl-cyclobutyl, 1, 2-dimethyl-cyclobutyl, 1, 3-dimethyl-cyclobutyl cycloalkyl groups such as 2, 2-dimethyl-cyclobutyl, 2, 3-dimethyl-cyclobutyl, 2, 4-dimethyl-cyclobutyl, 3-dimethyl-cyclobutyl, 1-n-propyl-cyclopropyl, 2-n-propyl-cyclopropyl, 1-isopropyl-cyclopropyl, 2-isopropyl-cyclopropyl, 1, 2-trimethyl-cyclopropyl, 1,2, 3-trimethyl-cyclopropyl, 2, 3-trimethyl-cyclopropyl, 1-ethyl-2-methyl-cyclopropyl, 2-ethyl-1-methyl-cyclopropyl, 2-ethyl-2-methyl-cyclopropyl and 2-ethyl-3-methyl-cyclopropyl, and a cycloalkyl group having a crosslinked ring such as a cyclobutyl group, a dicyclopentyl group, a dicyclohexyl group, a bicycloheptyl group, a bicyclooctyl group, a bicyclononyl group, and a bicyclodecyl group.
The aryl group may be any of a 1-valent group derived by removing one hydrogen atom from a phenyl group or a condensed aromatic hydrocarbon compound, and a 1-valent group derived by removing one hydrogen atom from a ring-linked aromatic hydrocarbon compound, and the number of carbon atoms is not particularly limited, but is preferably 40 or less, more preferably 30 or less, and even more preferably 20 or less.
Examples of the aryl group include aryl groups having 6 to 20 carbon atoms, and examples thereof include phenyl, 1-naphthyl, 2-naphthyl, 1-anthryl, 2-anthryl, 9-anthryl, 1-phenanthryl, 2-phenanthryl, 3-phenanthryl, 4-phenanthryl, 9-phenanthryl, 1-naphthacene, 2-naphthacene, 5-naphthacene and 2-Radicals, 1-pyrenyl, 2-pyrenyl, pentacenyl, benzopyrenyl, benzo [9,10 ]]Phenanthryl; biphenyl-2-yl (o-biphenyl), biphenyl-3-yl (m-biphenyl), biphenyl-4-yl (p-biphenyl), p-terphenyl-4-yl, m-terphenyl-4-yl, o-terphenyl-4-yl, 1 '-binaphthyl-2-yl, 2' -binaphthyl-1-yl and the like, but are not limited thereto.
The aralkyl group is an aryl-substituted alkyl group, and specific examples of such aryl groups and alkyl groups include the same examples as described above. The number of carbon atoms of the aralkyl group is not particularly limited, but is preferably 40 or less, more preferably 30 or less, and still more preferably 20 or less.
Specific examples of the aralkyl group include, but are not limited to, phenylmethyl (benzyl), 2-phenylethylene, 3-phenyl-n-propyl, 4-phenyl-n-butyl, 5-phenyl-n-pentyl, 6-phenyl-n-hexyl, 7-phenyl-n-heptyl, 8-phenyl-n-octyl, 9-phenyl-n-nonyl, 10-phenyl-n-decyl, and the like.
The haloalkyl group, the haloaryl group and the haloaralkyl group are alkyl groups, aryl groups and aralkyl groups substituted with 1 or more halogen atoms, and specific examples of such alkyl groups, aryl groups and aralkyl groups include the same ones as those described above.
Examples of the halogen atom include a fluorine atom, a chlorine atom, a bromine atom, and an iodine atom.
The number of carbon atoms of the haloalkyl group is not particularly limited, but is preferably 40 or less, more preferably 30 or less, still more preferably 20 or less, and still more preferably 10 or less.
As a specific example of the haloalkyl group, examples thereof include monofluoromethyl, difluoromethyl, trifluoromethyl, bromodifluoromethyl, 2-chloroethyl, 2-bromoethyl, 1-difluoroethyl, 2-trifluoroethyl, 1, 2-tetrafluoroethyl, 2-chloro-1, 2-trifluoroethyl pentafluoroethyl, 3-bromopropyl, 2, 3-tetrafluoropropyl, 1,2, 3-hexafluoropropyl, 1, 3-hexafluoropropan-2-yl, 3-bromo-2-methylpropyl, 4-bromobutyl, perfluoropentyl and the like, however, the present invention is not limited to these.
The number of carbon atoms of the halogenated aryl group is not particularly limited, but is preferably 40 or less, more preferably 30 or less, and still more preferably 20 or less.
Specific examples of the halogenated aryl group include 2-fluorophenyl, 3-fluorophenyl, 4-fluorophenyl, 2, 3-difluorophenyl, 2, 4-difluorophenyl, 2, 5-difluorophenyl, 2, 6-difluorophenyl, 3, 4-difluorophenyl, 3, 5-difluorophenyl, 2,3, 4-trifluorophenyl, 2,3, 5-trifluorophenyl, 2,3, 6-trifluorophenyl, 2,4, 5-trifluorophenyl, 2,4, 6-trifluorophenyl, 3,4, 5-trifluorophenyl, 2,3,4, 5-tetrafluorophenyl, 2,3,4, 6-tetrafluorophenyl, 2,3,5, 6-tetrafluorophenyl, pentafluorophenyl, 2-fluoro-1-naphthyl, 3-fluoro-1-naphthyl, 4-fluoro-1-naphthyl, 6-fluoro-1-naphthyl, 7-fluoro-1-naphthyl, 8-fluoro-1-naphthyl, 4, 5-difluoro-1, 7-difluoro-1-naphthyl, 7-fluoro-2, 5-difluoro-1-naphthyl, 7-fluoro-2, 7-fluoro-1-naphthyl, 7-fluoro-2-fluoro-1-naphthyl, further, among these groups, a group in which a fluorine atom (fluoro group) is optionally replaced with a chlorine atom (chloro group), a bromine atom (bromo group), or an iodine atom (iodo group) is exemplified, but the present invention is not limited thereto.
The number of carbon atoms of the halogenated aralkyl group is not particularly limited, but is preferably 40 or less, more preferably 30 or less, and still more preferably 20 or less.
Specific examples of the halogenated aralkyl group include a 2-fluorobenzyl group, a 3-fluorobenzyl group, a 4-fluorobenzyl group, a 2, 3-difluorobenzyl group, a 2, 4-difluorobenzyl group, a 2, 5-difluorobenzyl group, a 2, 6-difluorobenzyl group, a 3, 4-difluorobenzyl group, a 3, 5-difluorobenzyl group, a 2,3, 4-trifluorobenzyl group, a 2,3, 5-trifluorobenzyl group, a 2,3, 6-trifluorobenzyl group, a 2,4, 5-trifluorobenzyl group, a 2,4, 6-trifluorobenzyl group, a 2,3,4, 5-tetrafluorobenzyl group, a 2,3,4, 6-tetrafluorobenzyl group, a 2,3,5, 6-tetrafluorobenzyl group, a 2,3,4,5, 6-pentafluorobenzyl group, and the fluorine atom (fluorine group) in these groups may be optionally replaced with a chlorine atom (chlorine group), a bromine atom (bromine group) or an iodine atom (iodine group).
The alkoxyalkyl group, the alkoxyaryl group, and the alkoxyarylalkyl group are alkyl groups, aryl groups, and aralkyl groups substituted with 1 or more alkoxy groups, and specific examples of the alkyl groups, aryl groups, and aralkyl groups include the same examples as described above.
The alkoxy group includes an alkoxy group having a linear, branched or cyclic alkyl moiety having 1 to 20 carbon atoms. As the straight-chain or branched alkoxy group, examples thereof include methoxy, ethoxy, n-propoxy, isopropoxy, n-butoxy, isobutoxy, sec-butoxy, tert-butoxy, n-pentoxy, 1-methyl-n-butoxy, 2-methyl-n-butoxy, 3-methyl-n-butoxy, 1-dimethyl-n-propoxy, 1, 2-dimethyl-n-propoxy, 2-dimethyl-n-propoxy, 1-ethyl-n-propoxy, n-hexyloxy, 1-methyl-n-pentoxy, 2-methyl-n-pentoxy, and 3-methyl-n-pentyloxy, 4-methyl-n-pentyloxy, 1-dimethyl-n-butyloxy, 1, 2-dimethyl-n-butyloxy, 1, 3-dimethyl-n-butyloxy, 2-dimethyl-n-butyloxy, 2, 3-dimethyl-n-butyloxy, 3-dimethyl-n-butyloxy, 1-ethyl-n-butyloxy, 2-ethyl-n-butyloxy, 1, 2-trimethyl-n-propyloxy, 1, 2-trimethyl-n-propyloxy, 1-ethyl-1-methyl-n-propyloxy, 1-ethyl-2-methyl-n-propyloxy, and the like. In addition, as the cyclic alkoxy group, examples thereof include cyclopropyloxy, cyclobutoxy, 1-methyl-cyclopropyloxy, 2-methyl-cyclopropyloxy, cyclopentyloxy, 1-methyl-cyclobutoxy, 2-methyl-cyclobutoxy, 3-methyl-cyclobutoxy, 1, 2-dimethyl-cyclopropyloxy, 2, 3-dimethyl-cyclopropyloxy, 1-ethyl-cyclopropyloxy, 2-ethyl-cyclopropyloxy, cyclohexyloxy, 1-methyl-cyclopentyloxy, 2-methyl-cyclopentyloxy, 3-methyl-cyclopentyloxy, 1-ethyl-cyclobutoxy, 2-ethyl-cyclobutoxy, 3-ethyl-cyclobutoxy, 1, 2-dimethyl-cyclobutoxy, 1, 3-dimethyl-cyclobutoxy, 2-dimethyl-cyclobutoxy, 2, 3-dimethyl-cyclobutoxy, 2, 4-dimethyl-cyclobutoxy, 3-dimethyl-cyclopropyloxy, 1-n-propyl-cyclopropyloxy, 2-n-propyl-cyclopropyloxy, 1-isopropyl-cyclopropyloxy, 2-isopropyl-2, 2-methyl-cyclopropyloxy, 2-isopropyl-cyclopropyloxy, 2-methyl-cyclopropyloxy, 2-isopropyl-3-cyclopropyloxy, 2-methyl-cyclopropyloxy, 2-propoxy, 2, 3-dimethyl-cyclopropyloxy and 2-dimethyl-cyclopropyloxy, 2-ethyl-2-methyl-cyclopropyloxy and 2-ethyl-3-methyl-cyclopropyloxy, and the like.
Specific examples of the alkoxyalkyl group include, but are not limited to, lower (about 5 or less carbon atoms) alkyloxy lower (about 5 or less carbon atoms) alkyl groups such as methoxymethyl, ethoxymethyl, 1-ethoxyethyl, 2-ethoxyethyl, and ethoxymethyl.
Specific examples of the alkoxyaryl group include, but are not limited to, 2-methoxyphenyl, 3-methoxyphenyl, 4-methoxyphenyl, 2- (1-ethoxy) phenyl, 3- (1-ethoxy) phenyl, 4- (1-ethoxy) phenyl, 2- (2-ethoxy) phenyl, 3- (2-ethoxy) phenyl, 4- (2-ethoxy) phenyl, 2-methoxynaphthalen-1-yl, 3-methoxynaphthalen-1-yl, 4-methoxynaphthalen-1-yl, 5-methoxynaphthalen-1-yl, 6-methoxynaphthalen-1-yl, and 7-methoxynaphthalen-1-yl.
Specific examples of the alkoxyarylalkyl group include, but are not limited to, 3- (methoxyphenyl) benzyl and 4- (methoxyphenyl) benzyl.
Examples of the alkenyl group include alkenyl groups having 2 to 10 carbon atoms, examples thereof include vinyl (vinyl group), 1-propenyl, 2-propenyl, 1-methyl-1-vinyl, 1-butenyl, 2-butenyl, 3-butenyl, 2-methyl-1-propenyl, 2-methyl-2-propenyl, 1-ethylvinyl, 1-methyl-1-propenyl, 1-methyl-2-propenyl, 1-pentenyl, 2-pentenyl, 3-pentenyl, 4-pentenyl, 1-n-propylvinyl, 1-methyl-1-butenyl, 1-methyl-2-butenyl, 1-methyl-3-butenyl, 2-ethyl-2-propenyl, 2-methyl-1-butenyl, 2-methyl-2-butenyl, 2-methyl-3-butenyl, 3-methyl-1-butenyl, 3-methyl-2-butenyl, 3-methyl-3-butenyl, 1-dimethyl-2-propenyl, 1-isopropyl vinyl, 1, 2-dimethyl-1-propenyl, 1, 2-dimethyl-2-propenyl, 1-methyl-2-pentenyl, 2-hexenyl, 3-hexenyl, 5-hexenyl and the like, 1-methyl-1-pentenyl, 1-methyl-2-pentenyl, 1-methyl-3-pentenyl, 1-methyl-4-pentenyl, 1-n-butylvinyl, 2-methyl-1-pentenyl, 2-methyl-2-pentenyl, 2-methyl-3-pentenyl, 2-methyl-4-pentenyl, 2-n-propyl-2-propenyl, 3-methyl-1-pentenyl, 3-methyl-2-pentenyl, 3-methyl-3-pentenyl, 3-methyl-4-pentenyl, 3-ethyl-3-butenyl, 4-methyl-1-pentenyl 4-methyl-2-pentenyl, 4-methyl-3-pentenyl, 4-methyl-4-pentenyl, 1-dimethyl-2-butenyl, 1-dimethyl-3-butenyl, 1, 2-dimethyl-1-butenyl, 1, 2-dimethyl-2-butenyl, 1, 2-dimethyl-3-butenyl, 1-methyl-2-ethyl-2-propenyl, 1-sec-butylvinyl, 1, 3-dimethyl-1-butenyl, 1, 3-dimethyl-2-butenyl, 1, 3-dimethyl-3-butenyl, 1-isobutyl vinyl, 2-dimethyl-3-butenyl, 2, 3-dimethyl-1-butenyl, 2, 3-dimethyl-2-butenyl, 2, 3-dimethyl-3-butenyl, 2-isopropyl-2-propenyl, 3-dimethyl-1-butenyl, 1-ethyl-2-butenyl, 1-ethyl-3-butenyl, 1-n-propyl-1-propenyl, 1-n-propyl-2-propenyl, 2-ethyl-1-butenyl, 2-ethyl-2-butenyl, 2-ethyl-3-butenyl, 1, 2-trimethyl-2-propenyl, 1-t-butylvinyl 1-methyl-1-ethyl-2-propenyl, 1-ethyl-2-methyl-1-propenyl, 1-ethyl-2-methyl-2-propenyl, 1-isopropyl-1-propenyl, 1-isopropyl-2-propenyl, 1-methyl-2-cyclopentenyl, 1-methyl-3-cyclopentenyl, 2-methyl-1-cyclopentenyl, 2-methyl-2-cyclopentenyl, 2-methyl-3-cyclopentenyl, 2-methyl-4-cyclopentenyl, 2-methyl-5-cyclopentenyl, 2-methylene-cyclopentyl, 2-methyl-cyclopentyl, 3-methyl-1-cyclopentenyl, 3-methyl-2-cyclopentenyl, 3-methyl-3-cyclopentenyl, 3-methyl-4-cyclopentenyl, 3-methyl-5-cyclopentenyl, 3-methylene-cyclopentyl, 1-cyclohexenyl, 2-cyclohexenyl, 3-cyclohexenyl and the like, and further, a cross-linked-cyclic alkenyl group such as bicycloheptenyl (norbornyl) and the like may be mentioned.
Examples of the substituent in the above-mentioned alkyl group, aryl group, aralkyl group, haloalkyl group, haloaryl group, haloaralkyl group, alkoxyalkyl group, alkoxyaryl group, and alkenyl group include alkyl group, aryl group, aralkyl group, haloalkyl group, haloaryl group, haloaralkyl group, alkoxyalkyl group, aryloxy group, alkoxyaryl group, alkenyl group, alkoxy group, and aralkyloxy group, and specific examples thereof and suitable numbers of carbon atoms thereof include the same examples as those described above or described below.
The aryloxy group mentioned as the substituent is a group in which an aryl group is bonded via an oxygen atom (-O-), and specific examples of such aryl groups include the same examples as mentioned above. The number of carbon atoms of the aryloxy group is not particularly limited, but is preferably 40 or less, more preferably 30 or less, and still more preferably 20 or less, and specific examples thereof include phenoxy, naphthalen-2-yloxy, and the like, but are not limited thereto.
In addition, in the case where there are 2 or more substituents, the substituents may be bonded to each other to form a ring.
Examples of the organic group having an epoxy group include a glycidoxymethyl group, a glycidoxyethyl group, a glycidoxypropyl group, a glycidoxybutyl group, a epoxycyclohexyl group, and the like.
Examples of the organic group having an acryl group include an acryl methyl group, an acryl ethyl group, and an acryl propyl group.
Examples of the organic group having a methacryloyl group include methacryloyl methyl group, methacryloyl ethyl group, and methacryloyl propyl group.
Examples of the organic group having a mercapto group include an ethylmercapto group, a butylmercapto group, a hexylmercapto group, an octylmercapto group, and a mercaptophenyl group.
Examples of the organic group containing an amino group include, but are not limited to, an amino group, an aminomethyl group, an aminoethyl group, an aminophenyl group, a dimethylaminoethyl group, and a dimethylaminopropyl group.
Examples of the organic group containing an alkoxy group include, but are not limited to, methoxymethyl and methoxyethyl. However, the alkoxy group is other than a group directly bonded to a silicon atom.
Examples of the organic group containing a sulfonyl group include, but are not limited to, a sulfonylalkyl group and a sulfonylaryl group.
Examples of the organic group having a cyano group include a cyanoethyl group, a cyanopropyl group, a cyanophenyl group, and a thiocyanate group.
The above aralkyloxy group is a group derived by removing a hydrogen atom from a hydroxyl group of an aralkyl alcohol, and the same examples as described above are given as specific examples of such an aralkyl group.
The number of carbon atoms of the above-mentioned aralkyloxy group is not particularly limited, but may be, for example, 40 or less, preferably 30 or less, and more preferably 20 or less.
Specific examples of the aralkyloxy group include, but are not limited to, phenylmethyloxy (benzyloxy), 2-phenylethyleneoxy, 3-phenyl-n-propyloxy, 4-phenyl-n-butyloxy, 5-phenyl-n-pentyloxy, 6-phenyl-n-hexyloxy, 7-phenyl-n-heptyloxy, 8-phenyl-n-octyloxy, 9-phenyl-n-nonyloxy, 10-phenyl-n-decyloxy and the like.
The acyloxy group is a group derived by removing a hydrogen atom from a carboxyl group (-COOH) of a carboxylic acid compound, and typically, an alkylcarbonyloxy group, arylcarbonyloxy group or aralkylcarbonyloxy group derived by removing a hydrogen atom from a carboxyl group of an alkylcarboxylic acid, arylcarboxylic acid or aralkylcarboxylic acid is exemplified, but not limited thereto. Specific examples of the alkyl group, aryl group and aralkyl group in the alkyl carboxylic acid, aryl carboxylic acid and aralkyl carboxylic acid include the same examples as described above.
Specific examples of the acyloxy group include acyloxy groups having 2 to 20 carbon atoms, examples thereof include methylcarbonyloxy, ethylcarbonyloxy, n-propylcarbonyloxy, isopropylcarbonyloxy, n-butylcarbonyloxy, isobutylcarbonyloxy, sec-butylcarbonyloxy, tert-butylcarbonyloxy, n-pentylcarbonyloxy, 1-methyl-n-butylcarbonyloxy, 2-methyl-n-butylcarbonyloxy, 3-methyl-n-butylcarbonyloxy, 1-dimethyl-n-propylcarbonyloxy, 1, 2-dimethyl-n-propylcarbonyloxy, 2-dimethyl-n-propylcarbonyloxy, 1-ethyl-n-propylcarbonyloxy, n-hexylcarbonyloxy, 1-methyl-n-pentylcarbonyloxy, 2-methyl-n-pentylcarbonyloxy 3-methyl-n-pentylcarbonyloxy, 4-methyl-n-pentylcarbonyloxy, 1-dimethyl-n-butylcarbonyloxy, 1, 2-dimethyl-n-butylcarbonyloxy, 1, 3-dimethyl-n-butylcarbonyloxy, 2-dimethyl-n-butylcarbonyloxy, 2, 3-dimethyl-n-butylcarbonyloxy, 1-ethyl-n-butylcarbonyloxy, 2-ethyl-n-butylcarbonyloxy, 1, 2-trimethyl-n-propylcarbonyloxy, 1, 2-trimethyl-n-propylcarbonyloxy, 1-ethyl-1-methyl-n-propylcarbonyloxy, 1-ethyl-2-methyl-n-propylcarbonyloxy, phenylcarbonyloxy, and tosylcarbonyloxy, and the like.
As the hydrolyzable silane represented by the formula (1), for example, a hydrolyzable silane containing an epoxy group represented by the following formula and an esterification reaction product with a carboxylic acid described below can be used.
In the case of obtaining a polysiloxane (hydrolysis condensate of a hydrolyzable silane) described later, the reaction product after the esterification reaction of a hydrolyzable silane containing an epoxy group with a carboxylic acid may be used as it is without purification/separation. That is, a reaction mixture containing an epoxy group-containing hydrolyzable silane and a carboxylic acid represented by the following formula may be used in the hydrolysis/condensation of a hydrolyzable silane described below. In this case, for example, a reaction mixture having a conversion rate of 50% or more, or 60% or more, or 70% or more from the following epoxy group-containing hydrolyzable silane to the ester group-containing hydrolyzable silane may be used.
In the above formula, T represents methyl or ethyl.
Specific examples of the carboxylic acids, that is, carboxylic acids, dicarboxylic acids, and dicarboxylic anhydrides include, for example,
formic acid, acetic acid, propionic acid, butyric acid, valeric acid, caproic acid, enanthic acid, caprylic acid, pelargonic acid, capric acid, oleic acid, linoleic acid, linolenic acid, arachidonic acid, eicosapentaenoic acid, docosahexaenoic acid, sorbic acid, lactic acid, malic acid, citric acid, benzoic acid, norbornenecarboxylic acid analogues (3 a,4,7 a-tetrahydro-4, 7-ethylidenebenzofuran-1, 3-dione, 3a,4,7 a-tetrahydro-4, 7-epoxyisobenzofuran-1, 3-dione, etc.
(mono) carboxylic acids such as cyclohexenoic acid, acrylic acid, crotonic acid, tiglic acid, hexenoic acid, oleic acid, pentynoic acid, heptenoic acid, and the like;
dicarboxylic acids such as oxalic acid, malonic acid, succinic acid, glutaric acid, adipic acid, fumaric acid, maleic acid, phthalic acid, isophthalic acid, terephthalic acid, and norbornene dicarboxylic acid;
dicarboxylic anhydrides such as succinic anhydride, phthalic anhydride, maleic anhydride, benzoic anhydride, and norbornene dicarboxylic anhydride; further, cyano-substituted compounds of these carboxylic acids and the like are not limited thereto.
In a preferred embodiment, the carboxylic acid has at least one group selected from the group consisting of an alicyclic group, an aromatic ring group, a cyano group, an alkenyl group and an alkynyl group,
further, the following shows another example of the carboxylic acids. In the following formula, n represents a natural number of 1 or more, and FG represents a functional group.
FG represents a hydrogen atom, an alkyl group, an aryl group, an aralkyl group, a halogen atom, a haloalkyl group, a haloaryl group, a haloaralkyl group, an alkoxyalkyl group, an alkoxyaryl group, an alkynyl group, an alkenyl group, an acryl group, a methacryl group, a mercapto group, an amino group, an amide group, an alkoxy group, an alkoxycarbonyl group, an acyloxy group, a sulfonyl group, a phosphono group, a cyano group, a carbonyl group, an aldehyde group, or the like.
An alkoxycarbonyl group is a group in which an alkoxy group and a carbonyl group (-CO-) are bonded, and specific examples of such an alkoxy group include the same ones as those described above.
Specific examples of the alkoxycarbonyl group include alkoxycarbonyl groups having 2 to 20 carbon atoms, such as methoxycarbonyl group, ethoxycarbonyl group, n-propoxycarbonyl group, isopropoxycarbonyl group, n-butoxycarbonyl group, isobutoxycarbonyl group, sec-butoxycarbonyl group, tert-butoxycarbonyl group, n-pentyloxycarbonyl group, phenoxycarbonyl group, and the like, but the present invention is not limited thereto.
As specific examples of the other groups than alkoxycarbonyl groups and halogen atoms, there may be mentioned those represented by R 1 、R 3 And R is 102 The groups and atoms exemplified in (a).
The polysiloxane [ A ] may contain a hydrolytic condensate [ I-1] having a siloxane unit structure containing an organic group having a quaternary ammonium-nitrate structure in addition to the siloxane unit structure represented by the above formula (1).
The hydrolysis condensate [ I-1] may be, for example, a hydrolysis condensate comprising a mixture of a hydrolyzable silane containing a hydrolyzable silane represented by the above formula (1) and at least 1 hydrolyzable silane containing an organic group containing an amino group represented by the following formula (2), and nitric acid. The hydrolysis condensate is a hydrolysis condensate which forms a quaternary ammonium-nitrate structure by the nitric acid and the amino group and contains the same.
R 4 c R 5 d Si(R 6 ) 4-(c+d) (2)
In formula (2), R 4 The group bonded to a silicon atom represents an organic group containing an amino group.
In addition R 5 The groups to be bonded to the silicon atom represent, independently of each other, an alkyl group which may be substituted, an aryl group which may be substituted, an aralkyl group which may be substituted, a haloalkyl group which may be substituted, a haloaryl group which may be substituted, a haloaralkyl group which may be substituted, an alkoxyalkyl group which may be substituted, an alkoxyaryl group which may be substituted, or an alkenyl group which may be substituted, or an organic group having an acryl group, a methacryl group, a mercapto group, an amino group, an amide group, an alkoxy group, a sulfonyl group, or a cyano group, or a combination thereof.
R 6 An alkoxy group, an aralkyloxy group, an acyloxy group, or a halogen atom is represented, independently of each other, as a group or atom bonded to a silicon atom.
Further, c represents an integer of 1, d represents an integer of 0 to 2, and c+d represents an integer of 1 to 3.
The above R 5 Alkyl, aryl, aralkyl, haloalkyl, haloaryl, haloaralkyl, alkoxyalkyl, alkoxyaryl, alkenyl, and groups comprising acryl, methacryl, mercapto, amino, amido, alkoxy, sulfonyl, or cyano groups Is an organic group of (2), R 6 Specific examples of the alkoxy group, aralkyloxy group, acyloxy group and halogen atom, and substituents thereof, and the number of carbon atoms suitable therefor, etc. are as follows 2 And R is 3 And the same examples as described above.
In the above formula (2), R 4 The organic group containing an amino group in (a) is not particularly limited as long as it is an organic group containing an amino group, but a group represented by the following formula (A1) is preferable as an example.
In formula (A1), R 103 And R is 104 Independently of one another, a hydrogen atom or a hydrocarbon radical, and L independently of one another, an alkylene radical which may be substituted.
Examples of the hydrocarbon group include, but are not limited to, an alkyl group, an alkenyl group, and an aryl group. Specific examples of these alkyl, alkenyl and aryl groups include those described in R 2 The same examples as described above.
The alkylene group may be linear or branched, and the number of carbon atoms is usually 1 to 10, preferably 1 to 5. Examples thereof include linear alkylene groups such as methylene, ethylene, 1, 3-propylene, 1, 4-butylene, 1, 5-pentylene, 1, 6-hexylene, 1, 7-heptylene, 1, 8-octylene, 1, 9-nonylene and 1, 10-decylene.
Examples of the organic group containing an amino group include, but are not limited to, an amino group, an aminomethyl group, an aminoethyl group, an aminophenyl group, a dimethylaminoethyl group, a dimethylaminopropyl group, an allylaminopropyl group, and a phenylaminopropyl group.
Specific examples of the hydrolyzable silane represented by the formula (2) include, but are not limited to, 3-allylaminopropyl trimethoxysilane, 3-allylaminopropyl triethoxysilane, 3-phenylaminopropyl trimethoxysilane, 3-phenylaminopropyl triethoxysilane, dimethylaminopropyl trimethoxysilane, and the like.
[ other silane Compound (hydrolyzable silane) ]
Further, examples of the polysiloxane [ A ] include a hydrolytic condensate of a hydrolyzable silane comprising a hydrolyzable silane represented by the above formula (1) and a hydrolyzable silane comprising an amino group-containing organic group represented by the above formula (2), and further comprising another hydrolyzable silane as described below.
Examples of the other hydrolyzable silane include a hydrolyzable silane represented by the following formula (3) and a hydrolyzable silane represented by the following formula (4).
R 7 e Si(R 8 ) 4-e (3)
[R 9 f Si(R 10 ) 3-f ] 2 R 11 g (4)
In formula (3), R 7 The groups to be bonded to the silicon atom represent, independently of each other, an alkyl group which may be substituted, an aryl group which may be substituted, an aralkyl group which may be substituted, a haloalkyl group which may be substituted, a haloaryl group which may be substituted, a haloaralkyl group which may be substituted, an alkoxyalkyl group which may be substituted, an alkoxyaryl group which may be substituted, or an alkenyl group which may be substituted, or an organic group having an epoxy group, an acryl group, a methacryl group, a mercapto group, an amide group, an alkoxy group, a sulfonyl group, or a cyano group, or a combination thereof.
In addition R 8 An alkoxy group, an aralkyloxy group, an acyloxy group, or a halogen atom is represented, independently of each other, as a group or atom bonded to a silicon atom.
And e represents an integer of 0 to 3.
As R as above 7 Specific examples of each group in (a) and the number of carbon atoms suitable for them include R 2 And the above groups and the number of carbon atoms.
As R as above 8 Specific examples of each group in (a) and the number of carbon atoms suitable for them include R 3 And the above groups and the number of carbon atoms.
In formula (4), R 9 Are groups bound to silicon atoms, independently of one another, representAlkyl which may be substituted, aryl which may be substituted, aralkyl which may be substituted, haloalkyl which may be substituted, haloaryl which may be substituted, haloaralkyl which may be substituted, alkoxyalkyl which may be substituted, alkoxyaryl which may be substituted, alkenyl which may be substituted, or an organic group comprising an epoxy group, an acryl group, a methacryl group, a mercapto group, an amino group, an amide group, an alkoxy group, a sulfonyl group, or a cyano group, or a combination thereof.
In addition R 10 An alkoxy group, an aralkyloxy group, an acyloxy group, or a halogen atom is represented, independently of each other, as a group or atom bonded to a silicon atom.
R 11 For the groups bound to silicon atoms, alkylene or arylene groups are represented independently of one another.
Further, f represents an integer of 0 or 1, and g represents an integer of 0 or 1.
As R as above 9 Specific examples of each group in (a) and the number of carbon atoms suitable for them include R 2 And the above groups and the number of carbon atoms.
As R as above 10 Specific examples of each group and atom in (a) and the number of carbon atoms suitable for them include R 3 And the above groups and atoms and the number of carbon atoms.
In addition as R above 11 In the specific example of the alkylene group in (a), examples thereof include branched alkylene groups such as methyl 1, 3-propylene, 2-methyl 1, 3-propylene, 1-dimethylethylene, 1-methyl 1, 4-butylene, 2-methyl 1, 4-butylene, 1-dimethyl 1, 3-propylene, 1, 2-dimethyl 1, 3-propylene, 2-dimethyl 1, 3-propylene, and 1-ethyl 1, 3-propylene, and the like, and methane tri-group, ethane-1, 2-tri-group, ethane-1, 2-tri-group, and the like ethane-2, 2-triyl, propane-1, 1-triyl, propane-1, 2-triyl, propane-1, 2, 3-triyl, propane-1, 2-triyl, propane-1, 3-triyl, butane-1, 1-triyl, butane-1, 2-triyl butane-1, 3-triyl, butane-1, 2, 4-triyl, butane-1, 2-triyl, butane-2, 3-triyl, 2-methylpropan-1, 1-triyl, 2-methyl Alkanetriyl groups such as propane-1, 2-triyl and 2-methylpropane-1, 3-triyl, etc., but are not limited thereto.
Specific examples of the arylene group include a 1, 2-phenylene group, a 1, 3-phenylene group, and a 1, 4-phenylene group; 1, 5-naphthalenediyl, 1, 8-naphthalenediyl, 2, 6-naphthalenediyl, 2, 7-naphthalenediyl, 1, 2-anthracenediyl, 1, 3-anthracenediyl, 1, 4-anthracenediyl, 1, 5-anthracenediyl, 1, 6-anthracenediyl, 1, 7-anthracenediyl, 1, 8-anthracenediyl, 2, 3-anthracenediyl, 2, 6-anthracenediyl, 2, 7-anthracenediyl, 2, 9-anthracenediyl, 2, 10-anthracenediyl, 9, 10-anthracenediyl and the like, and a derivative thereof by removing two hydrogen atoms from the aromatic ring of the condensed ring aromatic hydrocarbon compound; examples of the group derived from the 4,4' -biphenyldiyl group and the 4,4 "-terphenyldiyl group include, but are not limited to, a group derived from the aromatic ring of the aromatic hydrocarbon compound by removing two hydrogen atoms from the ring.
Further, f is preferably 0, and g is preferably 1.
As a specific example of the hydrolyzable silane represented by the formula (3), examples thereof include tetramethoxysilane, tetrachlorosilane, tetraacetoxysilane, tetraethoxysilane, tetra-n-propoxysilane, tetra-isopropoxysilane, tetra-n-butoxysilane, methyltrimethoxysilane, methyltrichlorosilane, methyltriacetoxysilane, methyltriethoxysilane, methyltrimethoxysilane, methyltributoxysilane, methyltripentyloxysilane, methyltriphenoxysilane, methyltribenzyloxysilane, methyltriphenylethoxysilane, epoxypropoxymethyltrimethoxysilane, epoxypropoxymethyltriethoxysilane, alpha-epoxypropoxyethyltrimethoxysilane, alpha-epoxypropoxyethyltriethoxysilane, beta-epoxypropoxyethyltrimethoxysilane beta-glycidoxylethyl triethoxysilane, alpha-glycidoxypropyl trimethoxysilane, alpha-glycidoxypropyl triethoxysilane, beta-glycidoxypropyl trimethoxysilane, beta-glycidoxypropyl triethoxysilane, gamma-glycidoxypropyl trimethoxysilane, gamma-glycidoxypropyl triethoxysilane, gamma-glycidoxypropyl tripropoxysilane, gamma-glycidoxypropyl tributoxysilane, gamma-glycidoxypropyl triphenoxysilane, alpha-glycidoxybutyl trimethoxysilane, alpha-glycidoxybutyl triethoxysilane, beta-glycidoxybutyl triethoxysilane, gamma-glycidoxybutyl trimethoxysilane, gamma-glycidoxybutyl triethoxysilane, delta-glycidoxybutyl trimethoxysilane, delta-glycidoxybutyl triethoxysilane, beta- (3, 4-epoxycyclohexyl) methyltrimethoxysilane, beta- (3, 4-epoxycyclohexyl) ethyltrimethoxysilane, beta- (3, 4-epoxycyclohexyl) ethyltriethoxysilane, beta- (3, 4-epoxycyclohexyl) ethyltripropoxysilane, beta- (3, 4-epoxycyclohexyl) ethyltrimethoxysilane, beta- (3, 4-epoxycyclohexyl) ethyltriphenoxysilane, gamma- (3, 4-epoxycyclohexyl) propyltrimethoxysilane, gamma- (3, 4-epoxycyclohexyl) propyltriethoxysilane, delta- (3, 4-epoxycyclohexyl) butyltrimethoxysilane, delta- (3, 4-epoxycyclohexyl) butyltriethoxysilane, glycidoxymethyl dimethoxysilane, glycidoxymethyl diethoxysilane, alpha-glycidoxymethyl dimethoxysilane, alpha-glycidoxymethyl diethoxysilane, beta-epoxymethyl diethoxysilane, beta-glycidoxymethyl dimethoxypropyl silane, alpha-glycidoxymethyl propyldimethoxysilane, gamma-glycidoxypropyl methyldimethoxy silane, gamma-glycidoxypropyl methyldiethoxy silane, gamma-glycidoxypropyl methyldimethoxy silane, gamma-glycidoxypropyl methyldiphenoxy silane, gamma-glycidoxypropyl ethyldimethoxy silane, gamma-glycidoxypropyl vinyldimethoxy silane, gamma-glycidoxypropyl vinyldiethoxy silane, ethyltrimethoxy silane, ethyltriethoxy silane, vinyltrimethoxy silane, vinyltriethoxy silane, vinyltrichloro silane, vinyltriacetoxy silane, methylvinyldimethoxy silane, methylvinyldiacetoxy silane, dimethylvinylethoxy silane, dimethylvinylchloro silane, dimethylvinylacetoxy silane, divinyl dimethoxy silane, divinyl diethoxy silane, divinyl dichloro silane, divinyl diacetoxy silane, gamma-epoxypropyl dimethoxy silane, gamma-epoxypropyl diethoxy silane, diethyleneglycoxy silane, diethylenetriamine, dimethoxy-propyl silane, diethylenetriamine-propyl methoxyethoxy silane, diethylenetriamine-propyl silane, diacetoxy silane, allyl dimethyl ethoxysilane, allyl dimethyl chlorosilane, allyl dimethyl acetoxysilane, diallyl dimethoxy silane, diallyl diethoxy silane, diallyl dichloro silane, diallyl diacetoxy silane, p-styryl trimethoxy silane, phenyl triethoxy silane, phenyl trichloro silane, phenyl triacetoxy silane, phenyl methyl dimethoxy silane, phenyl methyl diethoxy silane, phenyl methyl dichloro silane, phenyl methyl diacetoxy silane, phenyl dimethyl methoxy silane, phenyl dimethyl ethoxy silane, phenyl dimethyl chloro silane, phenyl dimethyl acetoxy silane, diphenyl methyl methoxy silane, diphenyl methyl ethoxy silane, diphenyl methyl chloro silane diphenylmethylacetoxysilane, diphenyldimethoxysilane, diphenyldiethoxysilane, diphenyldichlorosilane, diphenyldiacetoxysilane, triphenylmethoxysilane, triphenylethoxysilane, triphenylacetoxysilane, triphenylchlorosilane, dimethoxymethyl-3- (3-phenoxypropylthiopropyl) silane, triethoxy ((2-methoxy-4- (methoxymethyl) phenoxy) methyl) silane, benzyltrimethoxysilane, benzyltriethoxysilane, benzylmethyldimethoxysilane, benzylmethyldiethoxysilane, benzyldimethylmethoxysilane, benzyldimethylethoxysilane, benzyldimethylchlorosilane, pheneyltrimethoxysilane, pheneyltriethoxysilane, pheneyltriacetoxysilane, phenethyl methyldimethoxy silane, phenethyl methyldiethoxy silane, phenethyl methyldichloro silane, phenethyl methyldiacetoxy silane, methoxyphenyltrimethoxy silane, methoxyphenyltriethoxy silane, methoxyphenyltriacetoxy silane, methoxyphenyltrichloro silane, methoxybenzyl trimethoxy silane, methoxybenzyl triethoxy silane, methoxybenzyl triacetoxy silane, methoxybenzyl trichloro silane, methoxyphenylethyl trimethoxy silane, methoxyphenylethyl triethoxy silane, methoxyphenylethyl triacetoxy silane, methoxyphenylethyl trichloro silane, ethoxyphenyl trimethoxy silane, ethoxyphenyl triethoxy silane, ethoxyphenyl triacetoxy silane, ethoxyphenyl trichloro silane, ethoxybenzyl trimethoxy silane, ethoxybenzyl triethoxy silane ethoxybenzyl triacetoxy silane, ethoxybenzyl trichloro silane, isopropoxyphenyl trimethoxy silane, isopropoxyphenyl triethoxy silane, isopropoxyphenyl triacetoxy silane, isopropoxyphenyl trichloro silane, isopropoxycenyl trimethoxy silane, isopropoxycenyl triethoxy silane, isopropoxycenyl triacetoxy silane, isopropoxycenyl trimethoxy silane, tert-butoxyphenyl triethoxy silane, tert-butoxyphenyl triacetoxy silane, tert-butoxyphenyl trichloro silane, tert-butoxybenzyl trimethoxy silane, tert-butoxybenzyl triethoxy silane, tert-butoxybenzyl triacetoxy silane, tert-butoxybenzyl trichloro silane, methoxynaphtyl trimethoxy silane, methoxynaphtyl triethoxy silane, methoxy naphthyl triacetoxy silane, methoxy naphthyl trichloro silane, ethoxy naphthyl trimethoxy silane, ethoxy naphthyl triethoxy silane, ethoxy naphthyl triacetoxy silane, ethoxy naphthyl trichloro silane, gamma-chloropropyl trimethoxy silane, gamma-chloropropyl triethoxy silane, gamma-chloropropyl triacetoxy silane, 3-trifluoropropyl trimethoxy silane, gamma-methacryloxypropyl trimethoxy silane, gamma-mercaptopropyl triethoxy silane, beta-cyanoethyl triethoxy silane, thiocyanate propyl triethoxy silane, chloromethyl trimethoxy silane, chloromethyl triethoxy silane, triethoxy silyl propyl diallyl isocyanurate, bicyclo [2, 1] heptenyl triethoxy silane, benzenesulfonyl propyl triethoxy silane, benzenesulfonamide propyl triethoxy silane, dimethyl dimethoxy silane, phenyl methyl dimethoxy silane, dimethyl diethoxy silane, phenyl methyl diethoxy silane, gamma-chloropropyl methyldimethoxy silane, gamma-chloropropyl methyldiethoxy silane, gamma-dimethylpropyl diethoxy silane, gamma-methylpropyl diethoxy silane (methyl) methyl-vinyl propyl silane, gamma-diethoxy silane (methyl) methyl propyl-vinyl-A-diethoxy silane, however, the present invention is not limited to these.
/>
/>
Specific examples of the hydrolyzable silane represented by the formula (4) include methylenebis (trimethoxysilane), methylenebis (trichlorosilane), methylenebis (triacetoxysilane), ethylenebis (triethoxysilane), ethylenebis (trichlorosilane), ethylenebis (triacetoxysilane), propylenebis (triethoxysilane), butylenebis (trimethoxysilane), phenylenedi (triethoxysilane), phenylenedi (methyldiethoxysilane), phenylenedi (methyldimethoxysilane), naphthylbis (trimethoxysilane), bis (trimethoxysilyl) ethane, bis (triethoxysilyl) ethane, bis (ethyldiethoxysilyl) ethane, bis (methyldimethoxysilyl) ethane, and the like, but are not limited thereto.
The other hydrolyzable silane may further have a structure having an intramolecular structureThe silane compound having a group, the silane compound having a sulfone group, the silane compound having a sulfonamide group, the silane compound having a cyclic urea skeleton in the molecule, and the like, but are not limited thereto.
Having an intramolecular structureSilane compound (hydrolyzable organosilane) of radical
It is expected to have an intramolecular structure The silane compound of the group can effectively and efficiently promote the crosslinking reaction of the hydrolyzable silane. />
Having in the moleculeA suitable example of the silane compound of the group is represented by formula (5).
R 12 h R 13 i Si(R 14 ) 4-(h+i) (5)
R 12 Is a group bonded to a silicon atom, and meansA radical or comprise->Organic radicals of radicals.
R 13 For the groups bound to silicon atoms, independently of one another, represent groups which may be substitutedAn alkyl group which may be substituted, an aryl group which may be substituted, a haloalkyl group which may be substituted, a haloaryl group which may be substituted, a haloaralkyl group which may be substituted, an alkoxyalkyl group which may be substituted, an alkoxyaryl group which may be substituted, or an alkenyl group which may be substituted, or an organic group containing an acryl group, a methacryl group, a mercapto group, or a cyano group, or a combination thereof.
R 14 An alkoxy group, an aralkyloxy group, an acyloxy group, or a halogen atom is represented, independently of each other, as a group or atom bonded to a silicon atom.
h represents 1 or 2, i represents 0 or 1, and 1.ltoreq.h+i.ltoreq.2 is satisfied.
Specific examples of the substituents for the above alkyl, aryl, aralkyl, haloalkyl, haloaryl, haloaralkyl, alkoxyalkyl, alkoxyaryl, alkenyl, and organic groups including acryl, methacryl, mercapto, or cyano, alkoxy, aralkyloxy, acyloxy, halogen atom, furthermore alkyl, aryl, aralkyl, haloalkyl, haloaryl, haloaralkyl, alkoxyalkyl, alkoxyaryl, and alkenyl, and suitable numbers of carbon atoms thereof are as for R 13 R is as follows 2 While the above examples relate to R 14 R is as follows 3 And the examples described above.
If more detailed, then asSpecific examples of the group include a cyclic ammonium group and a chain ammonium group, and a tertiary ammonium group and a quaternary ammonium group are preferable.
Namely, asA radical or comprise->Examples of suitable organic radicals of the radicals include cyclic ammonium radicals orA chain ammonium group or an organic group comprising at least one of them, preferably a tertiary ammonium group or a quaternary ammonium group or an organic group comprising at least one of them.
In the process ofIn the case where the group is a cyclic ammonium group, the nitrogen atom constituting the ammonium group also serves as the atom constituting the ring. In this case, the nitrogen atom constituting the ring may be bonded to the silicon atom directly or via a 2-valent linking group, or the carbon atom constituting the ring may be bonded to the silicon atom directly or via a 2-valent linking group.
In one example of a suitable embodiment of the present invention, R is a group bonded to a silicon atom 12 Is a heteroaromatic cyclic ammonium group represented by the following formula (S1).
In the formula (S1), A 1 、A 2 、A 3 And A 4 Independently of each other, a represents a group represented by any one of the following formulas (J1) to (J3), but A 1 ~A 4 At least 1 of them is a group represented by the following formula (J2). According to the silicon atom and A in the above formula (5) 1 ~A 4 Which bond of A is determined so that the ring formed exhibits aromaticity 1 ~A 4 Whether the bond between each and the atoms respectively adjacent to them and forming a ring together is a single bond or a double bond.
In the formulae (J1) to (J3), R 17 Independently of each other, a single bond, a hydrogen atom, an alkyl group, an aryl group, an aralkyl group, a haloalkyl group, a haloaryl group, a haloaralkyl group or an alkenyl group, and specific examples of the alkyl group, the aryl group, the aralkyl group, the haloalkyl group, the haloaryl group, the haloaralkyl group and the alkenyl group and the number of carbon atoms suitable for them include those mentioned aboveAs in the previous example.
In the formula (S1), R 15 Independently of one another, alkyl, aryl, aralkyl, haloalkyl, haloaryl, haloaralkyl, alkenyl or hydroxy, where R 15 In the case where there are more than 2, 2R 15 Can combine with each other to form a ring, 2R 15 The ring formed may be a crosslinked ring structure, in which case the cyclic ammonium group has an adamantane ring, a norbornene ring, a spiro ring, or the like.
Specific examples of such alkyl, aryl, aralkyl, haloalkyl, haloaryl, haloaralkyl and alkenyl groups and suitable numbers of carbon atoms thereof include the same examples as described above.
In the formula (S1), n 1 Is an integer of 1 to 8, m 1 Is 0 or 1, m 2 Is 0 or a positive integer from 1 to the maximum number of substituents on a single ring or multiple rings.
At m 1 In the case of 0, the constitution includes A 1 ~A 4 (4+n) 1 ) And (3) an membered ring. I.e. at n 1 When 1, the ring is 5-membered and n is 1 When 2, a 6-membered ring is formed, and n is 1 At 3, a 7-membered ring is formed, at n 1 At 4, an 8-membered ring is formed, at n 1 At 5, a 9-membered ring is formed, at n 1 At 6, a 10 membered ring is formed, at n 1 At 7, an 11-membered ring is formed, at n 1 In the case of 8, a 12-membered ring is formed.
At m 1 In the case of 1, a composition comprising A is formed 1 ~A 3 (4+n) 1 ) The membered ring contains A 4 Condensed rings obtained by condensing 6-membered rings of (2).
According to A 1 ~A 4 The formulae (J1) to (J3) include those having a hydrogen atom on an atom constituting a ring and those having no hydrogen atom, but are represented by A 1 ~A 4 In the case where the atom constituting the ring has a hydrogen atom, the hydrogen atom may be replaced with R 15 . In addition, R 15 Can be at A 1 ~A 4 The ring constituent atoms other than the ring constituent atoms in (a) are substituted. Based on such a situation, m is as described above 2 Selected from 0 or from 1 to a single or multiple ringAn integer of the maximum number that can be substituted.
The bond of the heteroaromatic cyclic ammonium group represented by the formula (S1) is any carbon atom or nitrogen atom existing in such a single ring or condensed ring, and is directly bonded to a silicon atom or bonded to a linking group to form an organic group containing cyclic ammonium, and is bonded to a silicon atom.
Examples of such a linking group include, but are not limited to, alkylene, arylene, and alkenylene.
Specific examples of the alkylene group and arylene group and the number of carbon atoms suitable for them include the same examples as described above.
Further, alkenylene is a 2-valent group derived by removing 1 more hydrogen atom from alkenyl, and specific examples of such alkenyl groups include the same examples as described above. The number of carbon atoms of the alkenylene group is not particularly limited, but is preferably 40 or less, more preferably 30 or less, and still more preferably 20 or less.
Specific examples thereof include, but are not limited to, vinylidene, 1-methylvinylidene, propenylene, 1-butenylene, 2-butenylene, 1-pentenylene, 2-pentenylene, and the like.
Specific examples of the silane compound (hydrolyzable organosilane) represented by the formula (5) having the heteroaromatic cyclic ammonium group represented by the formula (S1) include, but are not limited to, silanes represented by the following formulas (I-1) to (I-50).
/>
/>
In another example, the group of the formula (5) is a group bonded to a silicon atomR of the group 12 The heteroaliphatic cyclic ammonium group represented by the following formula (S2) may be used.
/>
In the formula (S2), A 5 、A 6 、A 7 And A 8 Independently of each other, a represents a group represented by any one of the following formulas (J4) to (J6), but A 5 ~A 8 At least 1 of them is a group represented by the following formula (J5). According to the silicon atom and A in the above formula (5) 5 ~A 8 Which combination of (a) is determined so that the ring formed exhibits non-aromaticity 5 ~A 8 Whether the bond to each of the atoms adjacent to each of them and forming a ring together is a single bond or a double bond.
In the formulae (J4) to (J6), R 17 The examples of the alkyl group, aryl group, aralkyl group, haloalkyl group, haloaryl group, haloaralkyl group or alkenyl group and the suitable number of carbon atoms thereof are the same as those described above.
In the formula (S2), R 16 Independently of one another, alkyl, aryl, aralkyl, haloalkyl, haloaryl, haloaralkyl, alkenyl or hydroxy, where R 16 In the case where there are more than 2, 2R 16 Can combine with each other to form a ring, 2R 16 The ring formed may be a crosslinked ring structure, in which case the cyclic ammonium group has an adamantane ring, a norbornene ring, a spiro ring, or the like.
Specific examples of the above alkyl group, aryl group, aralkyl group, haloalkyl group, haloaryl group, haloaralkyl group and alkenyl group and the number of carbon atoms suitable for them are the same as those described above.
In the formula (S2), n 2 Is an integer of 1 to 8, m 3 Is 0 or 1, m 4 Is 0 or a positive integer from 1 to the maximum number of substituents on a single ring or multiple rings.
At m 3 In the case of 0, the constitution includes A 5 ~A 8 (4+n) 2 ) And (3) an membered ring. I.e. at n 2 When 1, the ring is 5-membered and n is 2 When 2, a 6-membered ring is formed, and n is 2 At 3, a 7-membered ring is formed, at n 2 At 4, an 8-membered ring is formed, at n 2 At 5, a 9-membered ring is formed, at n 2 At 6, a 10 membered ring is formed, at n 2 At 7, an 11-membered ring is formed, at n 2 In the case of 8, a 12-membered ring is formed.
At m 3 In the case of 1, a composition comprising A is formed 5 ~A 7 (4+n) 2 ) The membered ring contains A 8 Condensed rings obtained by condensing 6-membered rings of (2).
According to A 5 ~A 8 The formulae (J4) to (J6) include those having a hydrogen atom on an atom constituting a ring and those having no hydrogen atom, but are represented by A 5 ~A 8 In the case where the atom constituting the ring has a hydrogen atom, the hydrogen atom may be replaced with R 16 . In addition, R 16 Can be at A 5 ~A 8 The ring constituent atoms other than the ring constituent atoms in (a) are substituted.
Based on such a situation, m is as described above 4 An integer selected from 0 or from 1 to the maximum number of substituents on a single or multiple ring.
The bond of the heteroaliphatic cyclic ammonium group represented by the above formula (S2) is an optional carbon atom or nitrogen atom present in such a single ring or condensed ring, and is directly bonded to a silicon atom or bonded to a linking group to form a cyclic ammonium-containing organic group, which is bonded to a silicon atom.
Examples of such a linking group include an alkylene group, an arylene group, and an alkenylene group, and specific examples of the alkylene group, the arylene group, and the alkenylene group and suitable carbon numbers thereof include the same examples as those described above.
Specific examples of the silane compound (hydrolyzable organosilane) represented by the formula (5) having the heteroaliphatic cyclic ammonium group represented by the formula (S2) include, but are not limited to, silanes represented by the following formulas (II-1) to (II-30).
/>
In still another example, R in the formula (5) is a group bonded to a silicon atom 12 The chain ammonium group may be represented by the following formula (S3).
In the formula (S3), R 17 The hydrogen atom, alkyl group, aryl group, aralkyl group, haloalkyl group, haloaryl group, haloaralkyl group or alkenyl group are each independently represented by the following, and specific examples of the alkyl group, aryl group, aralkyl group, haloalkyl group, haloaryl group, haloaralkyl group and alkenyl group and the number of suitable carbon atoms thereof are the same as those mentioned above.
The chain ammonium group represented by the formula (S3) is directly bonded to a silicon atom, or the linking group is bonded to form an organic group containing a chain ammonium group, which is bonded to a silicon atom.
Examples of such a linking group include an alkylene group, an arylene group, and an alkenylene group, and examples of the alkylene group, the arylene group, and the alkenylene group include the same examples as those described above.
Specific examples of the silane compound (hydrolyzable organosilane) represented by the formula (5) having a chain ammonium group represented by the above formula (S3) include silanes represented by the following formulas (III-1) to (III-28), but are not limited thereto.
/>
Silane compound having sulfone group or sulfonamide group (hydrolyzable organosilane)
Examples of the silane compound having a sulfone group and the silane compound having a sulfonamide group include compounds represented by the following formulas (B-1) to (B-36), but are not limited thereto.
In the following formula, me represents methyl group, and Et represents ethyl group.
/>
/>
Silane compound having cyclic urea skeleton in molecule (hydrolyzable organosilane)
Examples of the hydrolyzable organosilane having a cyclic urea skeleton in the molecule include hydrolyzable organosilanes represented by the following formula (6-1).
R 601 x R 602 y Si(R 603 ) 4-(x+y) (6-1)
In formula (6-1), R 601 The groups represented by the following formula (6-2) are groups bonded to silicon atoms, and are each independently represented by the following formula.
R 602 To be bonded to silicon atoms, independently of one another, represents alkyl which may be substituted, aryl which may be substituted, aralkyl which may be substituted, haloalkyl which may be substituted, haloaryl which may be substituted, haloaralkyl which may be substituted, alkoxyalkyl which may be substituted A group, an alkoxyaryl group which may be substituted, an alkoxyarylalkyl group which may be substituted, or an alkenyl group which may be substituted, or an organic group containing an epoxy group, an acryl group, a methacryl group, a mercapto group, or a cyano group.
R 603 Is a group or atom bonded to a silicon atom, and represents an alkoxy group, an aralkyloxy group, an acyloxy group, or a halogen atom, independently of each other.
x is 1 or 2, y is 0 or 1, and x+y.ltoreq.2 is satisfied.
R is as described above 602 Alkyl, aryl, aralkyl, haloalkyl, haloaryl, haloaralkyl, alkoxyalkyl, alkoxyaryl, alkenyl, and an organic group comprising an epoxy, acryl, methacryl, mercapto, or cyano group, R 603 Specific examples of the alkoxy group, aralkyloxy group, acyloxy group and halogen atom, and substituents thereof, and the number of carbon atoms suitable therefor, etc. are as follows 2 And R is 3 And the same examples as described above.
In formula (6-2), R 604 Independently of one another, represents a hydrogen atom, an alkyl group which may be substituted, an alkenyl group which may be substituted, or an organic group comprising an epoxy group or a sulfonyl group, R 605 Independently of one another, alkylene, hydroxyalkylene, sulfide (-S-): an ether linkage (-O-) or an ester linkage (-CO-O-or-O-CO-).
R is as follows 604 Specific examples of the optionally substituted alkyl group, the optionally substituted alkenyl group and the epoxy group-containing organic group, and the number of carbon atoms are as follows 2 The same examples as above, except for these, are used as R 604 The alkyl group which may be substituted in (a) is preferably an alkyl group in which a terminal hydrogen atom is substituted with a vinyl group, and specific examples thereof include an allyl group, a 2-vinyl ethyl group, a 3-vinyl propyl group, a 4-vinyl butyl group and the like.
The organic group containing a sulfonyl group is not particularly limited as long as it contains a sulfonyl group, and examples thereof include an alkylsulfonyl group which may be substituted, an arylsulfonyl group which may be substituted, an aralkylsulfonyl group which may be substituted, a haloalkylsulfonyl group which may be substituted, a haloarylsulfonyl group which may be substituted, a haloaralkylsulfonyl group which may be substituted, an alkoxyalkylsulfonyl group which may be substituted, an alkoxyarylsulfonyl group which may be substituted, an alkoxyarylalkylsulfonyl group which may be substituted, an alkenylsulfonyl group which may be substituted, and the like.
Specific examples of the alkyl group, aryl group, aralkyl group, haloalkyl group, haloaryl group, haloaralkyl group, alkoxyalkyl group, alkoxyaryl group, alkoxyarylalkyl group, and alkenyl group among these groups, and the substituents thereof, the number of carbon atoms suitable therefor, and the like may be mentioned as R 2 And the same examples as described above.
In addition, R 605 The alkylene group (a) is a 2-valent group derived by further removing one hydrogen atom from the above alkyl group, and may be any of a linear, branched, and cyclic group. The number of carbon atoms of the alkylene group is not particularly limited, but is preferably 40 or less, more preferably 30 or less, still more preferably 20 or less, and further preferably 10 or less.
In addition, R 605 The alkylene group of (a) may have 1 or 2 or more kinds selected from the group consisting of a sulfur bond, an ether bond and an ester bond at the terminal or midway thereof, preferably midway.
Specific examples of the alkylene group include branched alkylene groups such as methylene, ethylene, 1, 3-propylene, methylethylene, 1, 4-butylene, 1, 5-pentylene, 1, 6-hexylene, 1, 7-heptylene, 1, 8-octylene, 1, 9-nonylene, 1, 10-decylene and the like, cyclic alkylene groups such as straight-chain alkylene groups such as 1-methyl 1, 3-propylene, 2-methyl 1, 3-propylene, 1-dimethylethylene, 1-methyl 1, 4-butylene, 2-methyl 1, 4-butylene, 1-dimethyl 1, 3-propylene, 1, 2-dimethyl 1, 3-propylene, 2-dimethyl 1, 3-propylene, 1-ethyl 1, 3-propylene and the like, cyclic alkylene groups such as 1, 2-cyclopropanediyl, 1, 2-cyclobutanediyl, 1, 3-cyclobutanediyl, 1, 2-cyclohexanediyl, 1, 3-cyclohexanediylene and the like, -CH and the like 2 OCH 2 -、-CH 2 CH 2 OCH 2 -、-CH 2 CH 2 OCH 2 CH 2 -、-CH 2 CH 2 CH 2 OCH 2 CH 2 -、-CH 2 CH 2 OCH 2 CH 2 CH 2 -、-CH 2 CH 2 CH 2 OCH 2 CH 2 CH 2 -、-CH 2 SCH 2 -、-CH 2 CH 2 SCH 2 -、-CH 2 CH 2 SCH 2 CH 2 -、-CH 2 CH 2 CH 2 SCH 2 CH 2 -、-CH 2 CH 2 SCH 2 CH 2 CH 2 -、-CH 2 CH 2 CH 2 SCH 2 CH 2 CH 2 -、-CH 2 OCH 2 CH 2 SCH 2 The alkylene group such as an ether group is not limited to these.
The hydroxyalkylene group is a group in which at least 1 hydrogen atom of the alkylene group is replaced with a hydroxyl group, and specific examples thereof include a hydroxymethylene group, a 1-hydroxyethylene group, a 2-hydroxyethylene group, a 1, 2-dihydroxyethylene group, a 1-hydroxy 1, 3-propylene group, a 2-hydroxy 1, 3-propylene group, a 3-hydroxy 1, 3-propylene group, a 1-hydroxy 1, 4-butylene group, a 2-hydroxy 1, 4-butylene group, a 3-hydroxy 1, 4-butylene group, a 4-hydroxy 1, 4-butylene group, a 1, 2-dihydroxy 1, 4-butylene group, a 1, 3-dihydroxy 1, 4-butylene group, a 1, 4-dihydroxy 1, 4-butylene group, a 2, 3-dihydroxy 1, 4-butylene group, a 2, 4-dihydroxy 1, 4-butylene group, a 4, and a 4-dihydroxy 1, 4-butylene group, but are not limited thereto.
In formula (6-2), X 601 Each independently represents any one of the groups represented by the following formulas (6-3) to (6-5), and the carbon atom of the ketone group in the following formulas (6-4) and (6-5) and R in the formula (6-2) 605 The bound nitrogen atoms are bound.
In the formulae (6-3) to (6-5), R 606 ~R 610 Independent of each otherExamples of the optionally substituted alkyl group, optionally substituted alkenyl group, or organic group containing an epoxy group or sulfonyl group, the optionally substituted alkyl group, optionally substituted alkenyl group, and organic group containing an epoxy group or sulfonyl group, and the number of carbon atoms may be given as R 604 And the same examples as described above.
Wherein X is from the viewpoint of realizing excellent lithography characteristics with good reproducibility 601 Preferably a group represented by the formula (6-5).
From the viewpoint of achieving excellent lithographic characteristics with good reproducibility, R 604 And R is 606 ~R 610 At least 1, preferably terminal, hydrogen atom of (c) is an alkyl group substituted with a vinyl group.
The hydrolyzable organosilane represented by the above formula (6-1) may be synthesized by a known method described in International publication No. 2011/102470 or the like, using a commercially available product.
Specific examples of the hydrolyzable organosilane represented by the following formula (6-1) include silanes represented by the following formulas (6-1-1) to (6-1-29), but are not limited thereto.
/>
/>
[A] The polysiloxane may be a hydrolytic condensate of a hydrolyzable silane containing a silane compound other than the above examples insofar as the effect of the present invention is not impaired.
In a preferred embodiment of the invention, [ A ] polysiloxane comprises: a hydrolytic condensate of a hydrolyzable silane comprising a hydrolyzable silane represented by the formula (1), optionally a hydrolyzable silane containing an organic group containing an amino group represented by the formula (2), and another hydrolyzable silane.
The hydrolysis condensate may be a hydrolysis condensate of a hydrolyzable silane containing a hydrolyzable silane represented by the formula (1) in a proportion of, for example, 0.1 mol% or more and 10 mol% or less based on the total amount of the hydrolyzable silane.
The hydrolysis condensate may be a hydrolysis condensate of a hydrolyzable silane containing an amino group-containing organic group represented by the formula (2) and containing the hydrolyzable silane in a proportion of, for example, 0.1 mol% or more, preferably 1 mol% or more based on the total amount of the hydrolyzable silane.
When a hydrolyzable silane other than the hydrolyzable silane represented by the above formula (1) is used, the amount of the hydrolyzable silane represented by the formula (1) to be added may be, for example, 0.1 mol% or more and 10 mol% or less relative to the total amount of the hydrolyzable silane (100 mol%).
In the case of using the hydrolyzable silane containing an organic group containing an amino group represented by the above formula (2) as the hydrolyzable silane, the amount to be added may be, for example, 0.1 mol% or more, and preferably 1 mol% or more, relative to the total amount of hydrolyzable silane added (100 mol%).
The hydrolyzable silane mixture has a structure in which the molecule represented by the formula (4) is usedIn the case of the hydrolyzable organosilane, the amount of the organosilane to be added is usually 0.01 mol% or more, preferably 0.1 mol% or more, usually 30 mol% or less, preferably 10 mol% or less, based on the total amount of the silane compound (hydrolyzable silane).
The hydrolytic condensate of the hydrolyzable silane may have a weight average molecular weight of 500 ~ 1,000,000, for example. The weight average molecular weight may be preferably 500,000 or less, more preferably 250,000 or less, still more preferably 100,000 or less from the viewpoint of suppressing precipitation of a hydrolysis condensate in the composition or the like, and may be preferably 700 or more, more preferably 1,000 or more from the viewpoint of both storage stability and coatability or the like.
The weight average molecular weight is a molecular weight obtained by conversion of polystyrene based on GPC analysis. GPC analysis was carried out using a GPC apparatus (trade name HLC-8220GPC, manufactured by Toku Kogyo Co., ltd.) and GPC columns (trade names Shodex KF803L, KF, KF801, manufactured by Showa Denko Co., ltd.) at a column temperature of 40℃using tetrahydrofuran as an eluent (eluting solvent), and a flow rate (flow velocity) of 1.0 mL/min, and using polystyrene (manufactured by Showa Denko Co., ltd.).
The hydrolytic condensate of the hydrolytic silane is obtained by hydrolyzing and condensing the silane compound (hydrolyzable silane).
The silane compound (hydrolyzable silane) contains an alkoxy group, an aralkyloxy group, an acyloxy group, and a halogen atom, that is, an alkoxysilyl group, an aralkyloxysilyl group, an acyloxysilyl group, and a halosilyl group (hereinafter, referred to as hydrolyzable group), which are directly bonded to a silicon atom.
In the hydrolysis of these hydrolyzable groups, water is usually used in an amount of 0.1 to 100 moles, for example, 0.5 to 100 moles, preferably 1 to 10 moles, per 1 mole of the hydrolyzable group.
In the hydrolysis and condensation, the hydrolysis and condensation may be performed using a hydrolysis catalyst or without using a hydrolysis catalyst for the purpose of promoting the reaction. In the present invention, the hydrolysis/condensation is carried out together with nitric acid, whereby a hydrolysis condensate containing a quaternary ammonium group-nitrate structure can be obtained when the silane compound having an amino group represented by the formula (2) is used, and nitric acid which also functions as a hydrolysis catalyst is preferably used. As the hydrolysis catalyst, it is possible to use usually 0.0001 to 10 mol, preferably 0.001 to 1 mol of the hydrolysis catalyst per 1 mol of the hydrolyzable group.
The reaction temperature at the time of hydrolysis and condensation is usually in the range of room temperature or higher and the reflux temperature of the organic solvent usable for hydrolysis at normal pressure or lower, and may be, for example, 20 to 110℃and further, for example, 20 to 80 ℃.
The hydrolysis may be carried out completely, i.e., all the hydrolyzable groups are changed to silanol groups, or partially, i.e., residual unreacted hydrolyzable groups.
Examples of the hydrolysis catalyst that can be used for hydrolyzing and condensing the catalyst include metal chelates, organic acids, inorganic acids, organic bases, and inorganic bases.
Examples of the metal chelate compound as the hydrolysis catalyst include triethoxy-mono (acetylacetonate) titanium, tri-n-propoxy-mono (acetylacetonate) titanium, tri-isopropoxy-mono (acetylacetonate) titanium, tri-n-butoxy-mono (acetylacetonate) titanium, tri-sec-butoxy-mono (acetylacetonate) titanium, tri-tert-butoxy-mono (acetylacetonate) titanium, diethoxy-bis (acetylacetonate) titanium, di-n-propoxy-bis (acetylacetonate) titanium, di-isopropoxy-bis (acetylacetonate) titanium, di-n-butoxy-bis (acetylacetonate) titanium, di-sec-butoxy-bis (acetylacetonate) titanium, di-tert-butoxy-bis (acetylacetonate) titanium, monoethoxy-tris (acetylacetonate) titanium, mono-n-propoxy-tris (acetylacetonate) titanium, mono-sec-butoxy-tris (acetylacetonate) titanium, mono-tert-butoxy-titanium, tri-acetylacetonate) titanium, mono-n-butoxy-titanium, tetra-acetylacetonate-titanium, tri-acetylacetonate-n-acetylacetonate, and tri-ethoxy-titanium Tri-sec-butoxytitanium mono (ethoxyacetoacetyl), tri-tert-butoxytitanium mono (ethoxyacetoacetyl), diethoxy-titanium bis (ethoxyacetoacetyl), di-n-propoxytitanium bis (ethoxyacetoacetyl), di-isopropoxtitanium bis (ethoxyacetoacetyl), di-n-butoxytitanium bis (ethoxyacetoacetyl), di-sec-butoxytitanium bis (ethoxyacetoacetyl), di-tert-butoxytitanium bis (ethoxyacetoacetyl), monoethoxy-tris (ethoxyacetoacetyl), mono-n-butoxytitanium tris (ethoxyacetoacetyl), mono-sec-butoxytitanium tris (ethoxyacetoacetyl), tetra (ethoxyacetoacetyl), tris (ethoxyacetoacetyl) chelate, tris (ethoxyacetoacetyl) titanium (ethoxyacetoacetyl) and the like. Triethoxy-mono (acetylacetonato) zirconium, tri-n-propoxy-mono (acetylacetonato) zirconium, tri-isopropoxy-mono (acetylacetonato) zirconium, tri-n-butoxy-mono (acetylacetonato) zirconium, tri-sec-butoxy-mono (acetylacetonato) zirconium, tri-tert-butoxy-mono (acetylacetonato) zirconium, diethoxy-bis (acetylacetonato) zirconium, di-n-propoxy-bis (acetylacetonato) zirconium, di-isopropoxy-bis (acetylacetonato) zirconium, di-n-butoxy-bis (acetylacetonato) zirconium, di-sec-butoxy-bis (acetylacetonato) zirconium, di-tert-butoxy-bis (acetylacetonato) zirconium zirconium mono-n-propoxy tris (acetylacetonate), zirconium mono-isopropoxy tris (acetylacetonate), zirconium mono-n-butoxy tris (acetylacetonate), zirconium mono-sec-butoxy tris (acetylacetonate), zirconium mono-tert-butoxy tris (acetylacetonate), zirconium tetra (acetylacetonate), zirconium triethoxy-mono (ethoxyacetoacetyl), zirconium tri-n-propoxy mono (ethoxyacetoacetyl), zirconium tri-isopropoxy mono (ethoxyacetoacetyl), zirconium tri-n-butoxy mono (ethoxyacetoacetyl), zirconium tri-sec-butoxy mono (ethoxyacetoacetyl), tri-t-butoxy bis (ethoxyacetoacetyl) zirconium, diethoxy bis (ethoxyacetoacetyl) zirconium, di-n-propoxy bis (ethoxyacetoacetyl) zirconium, di-isopropoxy bis (ethoxyacetoacetyl) zirconium, di-n-butoxy bis (ethoxyacetoacetyl) zirconium, di-sec-butoxy bis (ethoxyacetoacetyl) zirconium, di-t-butoxy bis (ethoxyacetoacetyl) zirconium, monoethoxy tris (ethoxyacetoacetyl) zirconium, mono-n-propoxy tris (ethoxyacetoacetyl) zirconium, mono-isopropoxy tris (ethoxyacetoacetyl) zirconium, mono-n-butoxy tris (ethoxyacetoacetyl) zirconium, mono-sec-butoxy tris (ethoxyacetoacetyl) zirconium, tetra (ethoxyacetoacetyl) zirconium, mono (acetylacetonato) tris (ethoxyacetoacetyl) zirconium, bis (ethoxyacetoacetyl) zirconium, tris (ethylacetoacetate) zirconium chelate, tris (ethylacetoacetate) zirconium, and the like. Aluminum chelates such as aluminum tris (acetylacetonate), aluminum tris (ethoxyacetoacetate); and the like, but are not limited thereto.
Examples of the organic acid as the hydrolysis catalyst include acetic acid, propionic acid, butyric acid, valeric acid, caproic acid, enanthic acid, caprylic acid, pelargonic acid, capric acid, oxalic acid, maleic acid, methylmalonic acid, adipic acid, sebacic acid, gallic acid, butyric acid, mellitic acid, arachidonic acid, 2-ethylhexanoic acid, oleic acid, stearic acid, linoleic acid, linolenic acid, salicylic acid, benzoic acid, p-aminobenzoic acid, p-toluenesulfonic acid, benzenesulfonic acid, monochloroacetic acid, dichloroacetic acid, trichloroacetic acid, trifluoroacetic acid, formic acid, malonic acid, sulfonic acid, phthalic acid, fumaric acid, citric acid, tartaric acid, and the like, but are not limited thereto.
Examples of the inorganic acid as the hydrolysis catalyst include, but are not limited to, hydrochloric acid, sulfuric acid, hydrofluoric acid, phosphoric acid, and the like, in addition to the nitric acid.
Examples of the organic base as the hydrolysis catalyst include, but are not limited to, pyridine, pyrrole, piperazine, pyrrolidine, piperidine, picoline, trimethylamine, triethylamine, monoethanolamine, diethanolamine, dimethylmonoethanolamine, monomethyl diethanolamine, triethanolamine, diazabicyclooctane, diazabicyclononane, diazabicycloundecene, tetramethylammonium hydroxide, tetraethylammonium hydroxide, tetrapropylammonium hydroxide, tetrabutylammonium hydroxide, trimethylphenylammonium hydroxide, benzyltrimethylammonium hydroxide, benzyltriethylammonium hydroxide, and the like.
Examples of the inorganic base as the hydrolysis catalyst include ammonia, sodium hydroxide, potassium hydroxide, barium hydroxide, and calcium hydroxide, but are not limited thereto.
Among these catalysts, metal chelates, organic acids, and inorganic acids are preferable, and 1 kind of these may be used alone, or 2 or more kinds may be used in combination.
Among them, nitric acid can be suitably used as the hydrolysis catalyst in the present invention. By using nitric acid, the storage stability of the reaction solution after hydrolysis and condensation can be improved, and in particular, the change in molecular weight of the hydrolysis condensate can be suppressed. The stability of the hydrolytic condensate in a liquid is known to depend on the pH of the solution. As a result of intensive studies, it was found that the pH of the solution became a stable region by using nitric acid in an appropriate amount.
The use of nitric acid is also preferable from the viewpoint of obtaining a hydrolysis condensate containing a quaternary ammonium group-nitrate structure when a silane compound containing an amino group is used, as described above.
In the hydrolysis and condensation, an organic solvent may be used as the solvent, and specific examples thereof include aliphatic hydrocarbon solvents such as n-pentane, isopentane, n-hexane, isohexane, n-heptane, isoheptane, 2, 4-trimethylpentane, n-octane, isooctane, cyclohexane, methylcyclohexane, and the like; aromatic hydrocarbon solvents such as benzene, toluene, xylene, ethylbenzene, trimethylbenzene, methylethylbenzene, n-propylbenzene, isopropylbenzene, diethylbenzene, isobutylbenzene, triethylbenzene, di-isopropylbenzene, and n-pentylnaphthalene; monohydric alcohol solvents such as methanol, ethanol, n-propanol, isopropanol, n-butanol, isobutanol, sec-butanol, t-butanol, n-pentanol, isopentanol, 2-methylbutanol, sec-pentanol, t-pentanol, 3-methoxybutanol, n-hexanol, 2-methylpentanol, zhong Jichun, 2-ethylbutanol, n-heptanol, zhong Gengchun, 3-heptanol, n-octanol, 2-ethylhexanol, sec-octanol, n-nonanol, 2, 6-dimethyl-4-heptanol, n-decanol, sec-undecanol, trimethylnonanol, sec-tetradecanol, zhong Shiqi alkyl alcohols, phenol, cyclohexanol, methylcyclohexanol, 3, 5-trimethylcyclohexanol, benzyl alcohol, phenylmethyl methanol, diacetone alcohol, cresol, and the like; polyhydric alcohol solvents such as ethylene glycol, propylene glycol, 1, 3-butanediol, 2, 4-pentanediol, 2-methyl-2, 4-pentanediol, 2, 5-hexanediol, 2, 4-heptanediol, 2-ethyl-1, 3-hexanediol, diethylene glycol, dipropylene glycol, triethylene glycol, tripropylene glycol, and glycerin; ketone solvents such as acetone, methyl ethyl ketone, methyl-n-propyl ketone, methyl-n-butyl ketone, diethyl ketone, methyl-isobutyl ketone, methyl-n-amyl ketone, ethyl-n-butyl ketone, methyl-n-hexyl ketone, di-isobutyl ketone, trimethylnonyl ketone, cyclohexanone, methylcyclohexanone, 2, 4-pentanedione, acetonylacetone, diacetone alcohol, acetophenone, fenchyl ketone, and the like; ethyl ether, isopropyl ether, n-butyl ether, n-hexyl ether, 2-ethylhexyl ether, ethylene oxide, 1, 2-propylene oxide, dioxolane 4-methyldioxolane, diAlkane, dimethyl di->Ether-based or ether-alcohol-based solvents such as an alkane, ethylene glycol monomethyl ether, ethylene glycol monoethyl ether, ethylene glycol diethyl ether, ethylene glycol mono-n-butyl ether, ethylene glycol mono-n-hexyl ether, ethylene glycol monophenyl ether, ethylene glycol mono-2-ethylbutyl ether, ethylene glycol dibutyl ether, diethylene glycol monomethyl ether, diethylene glycol monoethyl ether, diethylene glycol mono-n-butyl ether, diethylene glycol di-n-butyl ether, diethylene glycol mono-n-hexyl ether, ethoxytriethylene glycol, tetraethylene glycol di-n-butyl ether, propylene glycol monomethyl ether (1-methoxy-2-propanol), propylene glycol monoethyl ether (1-ethoxy-2-propanol), propylene glycol monopropyl ether, propylene glycol monobutyl ether, propylene glycol monomethyl ether acetate (1-methoxy-2-propanol monoacetate), dipropylene glycol monomethyl ether, dipropylene glycol monoethyl ether, dipropylene glycol monopropyl ether, dipropylene glycol monobutyl ether, tripropylene glycol monomethyl ether, tetrahydrofuran, and 2-methyltetrahydrofuran; diethyl carbonate, methyl acetate, ethyl acetate, gamma-butyrolactone, gamma-valerolactone, n-propyl acetate, isopropyl acetate, n-butyl acetate, isobutyl acetate, sec-butyl acetate, n-pentyl acetate, sec-pentyl acetate, 3-methoxybutyl acetate, methylpentyl acetate, 2-ethylbutyl acetate, 2-ethylhexyl acetate, benzyl acetate, cyclohexyl acetate, methylcyclohexyl acetate, n-nonyl acetate, methyl acetoacetate, ethyl acetoacetate, ethylene glycol monomethyl ether, ethylene glycol monoethyl ether, diethylene glycol monomethyl ether, diethylene glycol monoethyl ether, diethylene glycol mono-n-butyl ether, propylene glycol monomethyl ether, propylene glycol monoethyl ether, propylene glycol monopropyl ether, propylene glycol monobutyl ether, dipropylene glycol monomethyl ether, dipropylene glycol monoethyl ether, diethylene glycol diacetate, methoxytriethylene glycol diacetate, ethylene glycol diacetate, triethylene glycol monomethyl ether acetate, ethyl propionate, n-butyl propionate, isopentyl propionate Ester solvents such as diethyl oxalate, di-n-butyl oxalate, methyl lactate, ethyl lactate, n-butyl lactate, n-pentyl lactate, diethyl malonate, dimethyl phthalate, and diethyl phthalate; nitrogen-containing solvents such as N-methylformamide, N-dimethylformamide, N-diethylformamide, acetamide, N-methylacetamide, N-dimethylacetamide, N-methylpropionamide, and N-methyl-2-pyrrolidone; sulfur-containing solvents such as methyl sulfide, ethyl sulfide, thiophene, tetrahydrothiophene, dimethyl sulfoxide, sulfolane, and 1, 3-propane sultone, etc., but are not limited thereto. These solvents may be used in combination of 1 or 2 or more.
After the hydrolysis and condensation reaction is completed, the reaction solution is directly or diluted or concentrated, neutralized, and treated with an ion exchange resin, whereby the hydrolysis catalyst such as acid or alkali used for the hydrolysis and condensation can be removed. Before or after such treatment, alcohol, water, a hydrolysis catalyst used, and the like, which are by-products, may be removed from the reaction solution by distillation under reduced pressure or the like.
The hydrolysis condensate (hereinafter, also referred to as polysiloxane) obtained in this manner can be obtained as a polysiloxane varnish dissolved in an organic solvent, and is directly used for preparing a resist underlayer film forming composition described later. That is, the above-mentioned reaction solution may be directly (or diluted) used for preparing the resist underlayer film forming composition, and in this case, the hydrolysis catalyst, by-products, and the like used for hydrolysis and condensation may remain in the reaction solution as long as the effects of the present invention are not impaired.
The resulting polysiloxane vanish may be subjected to solvent substitution and may be diluted with a solvent as appropriate. The resulting polysiloxane vanish may be distilled off to a solid content of 100% if its storage stability is not deteriorated.
The organic solvent used for solvent substitution, dilution, and the like of the polysiloxane vanish may be the same as or different from the organic solvent used for hydrolysis and condensation reaction of the hydrolyzable silane. The solvent for dilution is not particularly limited, and may be 1 or 2 or more, and may be arbitrarily selected and used.
[B] Solvent(s)
The solvent [ B ] used in the composition for forming a resist underlayer film containing silicon of the present invention is not particularly limited as long as it can dissolve/mix the above-mentioned [ a ] polysiloxane and other components described later.
As a specific example of the solvent of [ B ], examples thereof include methyl cellosolve acetate, ethyl cellosolve acetate, propylene glycol monomethyl ether (1-methoxy-2-propanol), propylene glycol monoethyl ether (1-ethoxy-2-propanol), methyl isobutyl methanol (4-methyl-2-pentanol), propylene glycol monobutyl ether, propylene glycol monomethyl ether acetate (1-methoxy-2-propanol monoacetate), propylene glycol monoethyl ether acetate, propylene glycol monopropyl ether acetate, propylene glycol monobutyl ether acetate, toluene, xylene, methyl ethyl ketone, cyclopentanone, cyclohexanone, ethyl 2-hydroxy-propionate, ethyl 2-hydroxy-2-methylpropionate, ethyl ethoxyacetate, ethyl glycolate, methyl 2-hydroxy-3-methylbutyrate methyl 3-methoxypropionate, ethyl 3-ethoxypropionate, methyl pyruvate, ethyl pyruvate, ethylene glycol monomethyl ether, ethylene glycol monoethyl ether, ethylene glycol monopropyl ether, ethylene glycol monobutyl ether, ethylene glycol monomethyl ether acetate, ethylene glycol monoethyl ether acetate, ethylene glycol monopropyl ether acetate, ethylene glycol monobutyl ether acetate, diethylene glycol dimethyl ether, diethylene glycol diethyl ether, diethylene glycol dipropyl ether, diethylene glycol dibutyl ether, propylene glycol dimethyl ether, propylene glycol diethyl ether, propylene glycol dipropyl ether, propylene glycol dibutyl ether, ethyl lactate, propyl lactate, isopropyl lactate, butyl lactate, isobutyl lactate, methyl formate, ethyl formate, propyl formate, isopropyl formate, butyl formate, isobutyl formate, pentyl formate, isopentyl formate, methyl acetate, ethyl acetate, pentyl acetate, isopentyl acetate, hexyl acetate, methyl propionate, ethyl propionate, propyl propionate, isopropyl propionate, butyl propionate, isobutyl propionate, methyl butyrate, ethyl butyrate, propyl butyrate, isopropyl butyrate, butyl butyrate, isobutyl butyrate, ethyl glycolate, ethyl 2-hydroxy-2-methylpropionate, methyl 3-methoxy-2-methylpropionate, methyl 2-hydroxy-3-methylbutyrate, ethyl methoxyacetate, ethyl ethoxyacetate, methyl 3-methoxypropionate, ethyl 3-methoxypropionate, 3-methoxybutyl acetate, 3-methyl-3-methoxybutyl propionate, methyl 3-methoxybutyl butyrate, methyl acetoacetate, methylpropyl ketone, methylbutyl ketone, 2-heptanone, 3-heptanone, N, N-dimethylformamide, N-methylacetamide, N-dimethylacetamide, N-methyl-2-pyrrolidone, gamma-butyrolactone and the like. In addition to these, an organic solvent used for the production of the above-mentioned [ A ] polysiloxane may be used. [B] The solvent may be used singly or in combination of 1 or 2 or more.
The composition for forming a silicon-containing resist underlayer film of the present invention may contain water as a solvent. When water is contained as the solvent, the content thereof may be, for example, 30 mass% or less, preferably 20 mass% or less, and more preferably 15 mass% or less, relative to the total mass of the solvents contained in the composition.
[ composition for Forming resist underlayer film containing silicon ]
The composition for forming a silicon-containing resist underlayer film of the present invention contains the above-mentioned [ a ] polysiloxane and [ B ] solvent, and may further contain other components as described below.
The concentration of the solid content in the resist underlayer film forming composition may be, for example, 0.1 to 50 mass%, 0.1 to 30 mass%, 0.1 to 25 mass%, and 0.5 to 20.0 mass% with respect to the total mass of the composition. The solid component refers to a component obtained by removing the solvent component [ B ] from all components of the composition.
The content of the [ a ] polysiloxane in the solid content is usually 20 mass% or more and 100 mass% or less, but from the viewpoint of obtaining the effect of the present invention with good reproducibility, the lower limit is preferably 50 mass%, more preferably 60 mass%, still more preferably 70 mass%, still more preferably 80 mass%, and the upper limit is preferably 99 mass%, and the remainder may be additives described later.
The resist underlayer film forming composition may have a pH of 2 to 5 and a pH of 3 to 4, for example.
The resist underlayer film forming composition can be produced by mixing the above [ a ] polysiloxane, [ B ] solvent, and if necessary, other components. In this case, a solution containing [ A ] polysiloxane may be prepared in advance, and the solution may be mixed with [ B ] solvent and other components. The reaction solution in preparing the [ A ] polysiloxane may be used as it is for preparing the resist underlayer film forming composition.
The mixing order is not particularly limited. For example, the [ B ] solvent may be added to the solution containing the [ A ] polysiloxane and mixed, and the other component may be added to the mixture, or the solution containing the [ A ] polysiloxane, the [ B ] solvent, and the other component may be mixed at the same time.
If necessary, the solvent [ B ] may be added further at last, or a part of the components which are relatively easily dissolved in the solvent [ B ] may not be contained in the mixture, and the solvent [ B ] is added at last, but from the viewpoint of suppressing aggregation and separation of the constituent components, it is preferable to prepare a composition excellent in uniformity with good reproducibility by preparing a solution in which the polysiloxane [ A ] is well dissolved in advance, and using the solution to prepare the composition. Note that the [ a ] polysiloxane may agglomerate or precipitate when mixed depending on the kind and amount of the [ B ] solvent, the amount and nature of other components, and the like, which are mixed together. In addition, when a composition is prepared by using a solution in which [ a ] polysiloxane is dissolved, it is also necessary to determine the concentration of the solution of [ a ] polysiloxane and the amount of the solution used so that the [ a ] polysiloxane in the finally obtained composition is a desired amount.
In the preparation of the composition, the composition may be heated appropriately within a range where the components are not decomposed or deteriorated.
In the present invention, filtration may be performed using a submicron-sized filter or the like in the middle of the production of the resist underlayer film forming composition, or after mixing all the components. The type of material of the filter used in this case is not limited, but, for example, a nylon filter, a fluororesin filter, or the like may be used.
The composition for forming a silicon-containing resist underlayer film of the present invention can be suitably used as a composition for forming a resist underlayer film used in a photolithography step.
[ other additives ]
In the composition for forming a silicon-containing resist underlayer film of the present invention, various additives may be blended according to the use of the composition.
Examples of the additives include curing catalysts (ammonium salts, phosphines, and the like),Salts, sulfonium salts, nitrogen-containing silane compounds, and the like), crosslinking agents, crosslinking catalysts, stabilizers (organic acids, water, alcohols, and the like), organic polymer compounds, acid generators, surfactants (nonionic surfactants, anionic surfactants, cationic surfactants, silicon surfactants, fluorine surfactants, UV-curable surfactants, and the like), pH adjusters, metal oxides, rheology adjusters, adhesion promoters, and the like, and known additives blended in materials (compositions) for forming resist underlayer films, antireflection films, pattern reversal films, and the like, and various films usable in the manufacture of semiconductor devices.
The following examples are given by way of example, but are not limited thereto.
< curing catalyst >)
The composition for forming a silicon-containing resist underlayer film of the present invention may be a composition containing no curing catalyst, but may contain a curing catalyst.
As the curing catalyst, ammonium salts, phosphines, and the like can be used,Salts, sulfonium salts, and the like. The curing catalyst was used as a curing catalystThe following salts described in the examples may be added in the form of salts, or may be any of those which form salts in the above composition (those which form salts in the system when added as another compound).
Examples of the ammonium salt include a quaternary ammonium salt having a structure represented by the formula (D-1), a quaternary ammonium salt having a structure represented by the formula (D-2), a quaternary ammonium salt having a structure represented by the formula (D-3), a quaternary ammonium salt having a structure represented by the formula (D-4), a quaternary ammonium salt having a structure represented by the formula (D-5), and a tertiary ammonium salt having a structure represented by the formula (D-6).
(wherein, m a Represents an integer of 2 to 11, n a R represents an integer of 2 to 3 21 Represents alkyl or aryl, Y - Representing anions. )
R 22 R 23 R 24 R 25 N + Y - (D-2)
(wherein R is 22 、R 23 、R 24 And R is 25 Represents alkyl or aryl, N represents a nitrogen atom, Y - Represents an anion, and R 22 、R 23 、R 24 And R 25 Respectively combined with nitrogen atoms
(wherein R is 26 And R is 27 Represents alkyl or aryl, N represents a nitrogen atom, Y - Representing anions)
(wherein R is 28 Represents alkyl or aryl, N represents a nitrogen atom, Y - Representing anions)
(wherein R is 29 And R is 30 Represents alkyl or aryl, N represents a nitrogen atom, Y - Representing anions)
(wherein, m a Represents an integer of 2 to 11, n a An integer of 2 to 3, H represents a hydrogen atom, N represents a nitrogen atom, and Y - Representing anions)
Further, as the aboveSalts include quaternary ++L represented by the formula (D-7)>And (3) salt.
R 31 R 32 R 33 R 34 P + Y - (D-7)
(wherein R is 31 、R 32 、R 33 And R 34 Represents alkyl or aryl, P represents a phosphorus atom, Y - Represents an anion, and R 31 、R 32 、R 33 And R 34 Respectively combined with phosphorus atoms
Further, as the sulfonium salt, tertiary sulfonium salts represented by the formula (D-8) can be mentioned.
R 35 R 36 R 37 S + Y - (D-8)
(wherein R is 35 、R 36 And R 37 Represents alkyl or aryl, S represents a sulfur atom, Y - Represents an anion, and R 35 、R 36 And R 37 Respectively combined with sulfur atoms
The compound of the formula (D-1) is a quaternary ammonium salt derived from an amine, m a Representing the whole of 2 to 11Number n a An integer of 2 to 3. R of the quaternary ammonium salt 21 Examples of the alkyl group having 1 to 18 carbon atoms, preferably 2 to 10 carbon atoms, or the aryl group having 6 to 18 carbon atoms include straight-chain alkyl groups such as ethyl, propyl and butyl, benzyl, cyclohexyl, cyclohexylmethyl and dicyclopentadiene. In addition, anions (Y) - ) Examples thereof include chloride ions (Cl) - ) Bromide ion (Br) - ) Iodide ion (I) - ) Equal halide ion, carboxylate (-COO) - ) Sulfonate (-SO) 3 - ) Root of alcohol (-O) - ) And (3) acid groups.
The compound of the formula (D-2) is R 22 R 23 R 24 R 25 N + Y - The quaternary ammonium salts shown. R of the quaternary ammonium salt 22 、R 23 、R 24 And R is 25 Is an alkyl group having 1 to 18 carbon atoms or an aryl group having 6 to 18 carbon atoms. Anions (Y) - ) Examples thereof include chloride ions (Cl) - ) Bromide ion (Br) - ) Iodide ion (I) - ) Equal halide ion, carboxylate (-COO) - ) Sulfonate (-SO) 3 - ) Root of alcohol (-O) - ) And (3) acid groups. Such quaternary ammonium salts are commercially available, and examples thereof include tetramethyl ammonium acetate, tetrabutyl ammonium acetate, triethyl benzyl ammonium chloride, triethyl benzyl ammonium bromide, trioctyl methyl ammonium chloride, tributyl benzyl ammonium chloride, and trimethyl benzyl ammonium chloride.
The compound of the formula (D-3) is a quaternary ammonium salt derived from 1-substituted imidazole, R 26 And R is 27 Has 1 to 18 carbon atoms, preferably R 26 And R is 27 The sum of the numbers of carbon atoms of (2) is 7 or more. For example R 26 Examples of the radicals include methyl, ethyl, propyl, phenyl, benzyl, R 27 Benzyl, octyl, octadecyl may be exemplified. Anions (Y) - ) Examples thereof include chloride ions (Cl) - ) Bromide ion (Br) - ) Iodide ion (I) - ) Equal halide ion, carboxylate (-COO) - ) Sulfonate (-SO) 3 - ) Root of alcohol (-O) - ) And (3) acid groups. The compound may be obtained commercially, but for example, an imidazole compound such as 1-methylimidazole or 1-benzylimidazole may be used together with benzylAlkyl halides such as alkyl bromide and methyl bromide, and aryl halides.
The compound of the formula (D-4) is a quaternary ammonium salt derived from pyridine, R 28 Examples of the alkyl group having 1 to 18 carbon atoms, preferably 4 to 18 carbon atoms, or the aryl group having 6 to 18 carbon atoms include butyl, octyl, benzyl and lauryl groups. Anions (Y) - ) Examples thereof include chloride ions (Cl) - ) Bromide ion (Br) - ) Iodide ion (I) - ) Equal halide ion, carboxylate (-COO) - ) Sulfonate (-SO) 3 - ) Root of alcohol (-O) - ) And (3) acid groups. The compound may be obtained as a commercially available product, but may be produced by reacting pyridine with an alkyl halide such as lauryl chloride, benzyl bromide, methyl bromide, or octyl bromide, or an aryl halide, for example. The compound may be exemplified by N-laurylpyridine chlorideBrominated N-benzyl pyridine->Etc.
The compound of the formula (D-5) is a quaternary ammonium salt derived from a substituted pyridine represented by picoline or the like, R 29 Examples of the alkyl group having 1 to 18 carbon atoms, preferably 4 to 18 carbon atoms, or the aryl group having 6 to 18 carbon atoms include methyl, octyl, lauryl, benzyl, and the like. R is R 30 Is an alkyl group having 1 to 18 carbon atoms or an aryl group having 6 to 18 carbon atoms, for example, R in the case of a quaternary ammonium salt derived from picoline 30 Is methyl. Anions (Y) - ) Examples thereof include chloride ions (Cl) - ) Bromide ion (Br) - ) Iodide ion (I) - ) Equal halide ion, carboxylate (-COO) - ) Sulfonate (-SO) 3 - ) Root of alcohol (-O) - ) And (3) acid groups. The compound may be obtained as a commercially available product, but may be produced by reacting a substituted pyridine such as picoline with an alkyl halide such as methyl bromide, octyl bromide, lauryl chloride, benzyl bromide, or an aryl halide. The compound may be exemplified by N-benzylPicolineChloride, N-benzyl picoline +.>Bromide, N-laurylmethylpyridine +.>Chlorides, and the like.
The above compound of formula (D-6) is a tertiary ammonium salt derived from an amine, m a Represents an integer of 2 to 11, n a An integer of 2 to 3. In addition, anions (Y) - ) Examples thereof include chloride ions (Cl) - ) Bromide ion (Br) - ) Iodide ion (I) - ) Equal halide ion, carboxylate (-COO) - ) Sulfonate (-SO) 3 - ) Root of alcohol (-O) - ) And (3) acid groups. The compound can be produced by reacting an amine with a weak acid such as a carboxylic acid or phenol. Examples of the carboxylic acid include formic acid and acetic acid, and in the case of using formic acid, the anion (Y - ) Is (HCOO) - ) In the case of using acetic acid, the anion (Y - ) Is (CH) 3 COO - ). In the case where phenol is used, the anion (Y - ) Is (C) 6 H 5 O - )。
The compound of the formula (D-7) is a compound having R 31 R 32 R 33 R 34 P + Y - Quaternary season of structure (2)And (3) salt. R is R 31 、R 32 、R 33 And R 34 Is an alkyl group having 1 to 18 carbon atoms or an aryl group having 6 to 18 carbon atoms, preferably R 31 ~R 34 Of the 4 substituents of (a) 3 are phenyl groups or substituted phenyl groups, for example, phenyl groups and tolyl groups, and the remaining 1 is an alkyl group having 1 to 18 carbon atoms or an aryl group having 6 to 18 carbon atoms. In addition, anions (Y) - ) Examples thereof include chloride ions (Cl) - ) Bromide ionBr - ) Iodide ion (I) - ) Equal halide ion, carboxylate (-COO) - ) Sulfonate (-SO) 3 - ) Root of alcohol (-O) - ) And (3) acid groups. The compound can be obtained as a commercially available product, and examples thereof include tetra-n-butyl halide +.>Tetra-n-propyl halide->Isohalogenated tetraalkyl->Halogenated triethylbenzyl->Equal halogenated trialkylbenzyl->Halogenated triphenylmethyl->Halogenated triphenylethyl->Iso-halogenated triphenylmonoalkyl radical>Halogenated triphenylbenzyl->Halogenated tetraphenyl->Halogenated trimethylphenyl monoaryl->Or halogenated tritolylmethyl>(As above, the halogen atom is a chlorine atom or a bromine atom). Particularly preferred is a halogenated triphenylmethyl +. >Halogenated triphenylethyl->Iso-halogenated triphenylmonoalkyl radical>Halogenated triphenylbenzyl->Iso-halogenated triphenylmonoaryl->Halogenated trimethylphenyl monophenylIso-halogenated tritolylmethyl monoaryl->Halogenated trimethylphenyl monomethyl->Iso-halogenated tritolylmethyl monoalkyl>(the halogen atom is a chlorine atom or a bromine atom).
Examples of the phosphine include primary phosphine such as methylphosphine, ethylphosphine, propylphosphine, isopropylphosphine, isobutylphosphine, and phenylphosphine, secondary phosphine such as dimethylphosphine, diethylphosphine, diisopropylphosphine, diisopentylphosphine, and diphenylphosphine, tertiary phosphine such as trimethylphosphine, triethylphosphine, triphenylphosphine, methyldiphenylphosphine, and dimethylphenylphosphine.
The compound of the formula (D-8) is a compound havingWith R 35 R 36 R 37 S + Y - Tertiary sulfonium salts of the structure of (a). R is R 35 、R 36 And R 37 Is an alkyl group having 1 to 18 carbon atoms or an aryl group having 6 to 18 carbon atoms, preferably R 35 ~R 37 Of the 3 substituents of (a) 2 are phenyl groups or substituted phenyl groups, for example, phenyl groups and tolyl groups, and the remaining 1 is an alkyl group having 1 to 18 carbon atoms or an aryl group having 6 to 18 carbon atoms. In addition, anions (Y) - ) Examples thereof include chloride ions (Cl) - ) Bromide ion (Br) - ) Iodide ion (I) - ) Equal halide ion, carboxylate (-COO) - ) Sulfonate (-SO) 3 - ) Root of alcohol (-O) - ) Acid groups such as maleic acid anions and nitrate anions. Examples of the compounds that can be obtained as commercial products include trialkylsulfonium halides such as tri-n-butylsulfonium halide and tri-n-propylsulfonium halide, dialkylbenzylsulfonium halides such as diethylbenzylsulfonium halide, diphenylmonoalkylsulfonium halides such as diphenylmethylsulfonium halide and diphenylethylsulfonium halide, triphenylsulfonium halides (the halogen atom is a chlorine atom or a bromine atom), trialkylsulfonium carboxylates such as tri-n-butylsulfonium carboxylate and tri-n-propylsulfonium carboxylate, dialkylbenzylsulfonium carboxylates such as diethylbenzylsulfonium carboxylate, diphenylmethylsulfonium carboxylate, diphenylmonoalkylsulfonium carboxylate such as diphenylethylsulfonium carboxylate, and triphenylsulfonium carboxylate. Furthermore, triphenylsulfonium halides and triphenylsulfonium carboxylates can be preferably used.
In addition, a silane compound containing nitrogen may be added as a curing catalyst in the present invention. Examples of the silane compound containing nitrogen include silane compounds containing an imidazole ring such as N- (3-triethoxysilylpropyl) -4, 5-dihydroimidazole.
When the curing catalyst is used, the amount of the curing catalyst is 0.01 to 10 parts by mass, or 0.01 to 5 parts by mass, or 0.01 to 3 parts by mass per 100 parts by mass of the [ A ] polysiloxane.
< stabilizer >)
The stabilizer may be added for the purpose of stabilizing the hydrolytic condensate of the hydrolyzable silane, and as a specific example, an organic acid, water, alcohol, or a combination thereof may be added.
Examples of the organic acid include oxalic acid, malonic acid, methylmalonic acid, succinic acid, maleic acid, malic acid, tartaric acid, phthalic acid, citric acid, glutaric acid, lactic acid, and salicylic acid. Among them, oxalic acid and maleic acid are preferable. When the organic acid is added, the addition amount thereof is 0.1 to 5.0 mass% relative to the mass of the hydrolyzed condensate of the hydrolyzable silane mixture. These organic acids can also function as pH adjusters.
The water may be pure water, ultrapure water, ion-exchanged water, or the like, and in the case of use, the amount thereof may be 1 to 20 parts by mass relative to 100 parts by mass of the resist underlayer film forming composition.
The alcohol is preferably one that is easily scattered (volatilized) by heating after application, and examples thereof include methanol, ethanol, propanol, isopropanol, butanol, and the like. When the alcohol is added, the amount thereof may be 1 to 20 parts by mass based on 100 parts by mass of the resist underlayer film forming composition.
< organic Polymer >)
The organic polymer compound is added to the resist underlayer film forming composition, whereby the dry etching rate (decrease in film thickness per unit time), the attenuation coefficient, the refractive index, and the like of a film (resist underlayer film) formed from the composition can be adjusted. The organic polymer compound is not particularly limited, and is appropriately selected from various organic polymers (polycondensates and polyadducts) depending on the purpose of addition thereof.
Specific examples thereof include addition polymers and condensation polymers such as polyesters, polystyrenes, polyimides, acrylic polymers, methacrylic polymers, polyvinyl ethers, phenol novolacs, naphthol novolacs, polyethers, polyamides, and polycarbonates.
In the present invention, an organic polymer containing an aromatic ring, a heteroaromatic ring, such as a benzene ring, a naphthalene ring, an anthracene ring, a triazine ring, a quinoline ring, or a quinoxaline ring, which functions as a light-absorbing site, can be suitably used if necessary. Specific examples of such an organic polymer compound include, but are not limited to, addition polymers containing, as structural units, addition polymerizable monomers such as benzyl acrylate, benzyl methacrylate, phenyl acrylate, naphthyl acrylate, anthracene methacrylate, anthracene methyl methacrylate, styrene, hydroxystyrene, benzyl vinyl ether, and N-phenylmaleimide, and polycondensates such as phenol novolak and naphthol novolak.
In the case of using an addition polymer as the organic polymer compound, the polymer compound may be either a homopolymer or a copolymer.
Specific examples of such addition polymerizable monomers include, but are not limited to, acrylic acid, methacrylic acid, acrylate compounds, methacrylate compounds, acrylamide compounds, methacrylamide compounds, vinyl compounds, styrene compounds, maleimide compounds, maleic anhydride, acrylonitrile, and the like.
Specific examples of the acrylate compound include methyl acrylate, ethyl acrylate, n-hexyl acrylate, isopropyl acrylate, cyclohexyl acrylate, benzyl acrylate, phenyl acrylate, anthracene methyl acrylate, 2-hydroxyethyl acrylate, 3-chloro-2-hydroxypropyl acrylate, 2-trifluoroethyl acrylate, 2-trichloroethyl acrylate, 2-bromoethyl acrylate, 4-hydroxybutyl acrylate, 2-methoxyethyl acrylate, tetrahydrofurfuryl acrylate, 2-methyl-2-adamantyl acrylate, 5-acryloyloxy-6-hydroxynorbornene-2-carboxy-6-lactone, 3-acryloxypropyl triethoxysilane, glycidyl acrylate, and the like, but are not limited thereto.
Specific examples of the methacrylate compound include methyl methacrylate, ethyl methacrylate, n-hexyl methacrylate, isopropyl methacrylate, cyclohexyl methacrylate, benzyl methacrylate, phenyl methacrylate, anthracenyl methyl methacrylate, 2-hydroxyethyl methacrylate, 2-hydroxypropyl methacrylate, 2-trifluoroethyl methacrylate, 2-trichloroethyl methacrylate, 2-bromoethyl methacrylate, 4-hydroxybutyl methacrylate, 2-methoxyethyl methacrylate, tetrahydrofurfuryl methacrylate, 2-methyl-2-adamantyl methacrylate, 5-methacryloyloxy-6-hydroxy norbornene-2-carboxy-6-lactone, 3-methacryloxypropyl triethoxysilane, glycidyl methacrylate, 2-phenylethyl methacrylate, hydroxyphenyl methacrylate, bromophenyl methacrylate, and the like, but are not limited thereto.
Specific examples of the acrylamide compound include, but are not limited to, acrylamide, N-methylacrylamide, N-ethylacrylamide, N-benzylacrylamide, N-phenylacrylamide, N-dimethylacrylamide, N-anthrylacrylamide, and the like.
Specific examples of the methacrylamide compound include, but are not limited to, methacrylamide, N-methyl methacrylamide, N-ethyl methacrylamide, N-benzyl methacrylamide, N-phenyl methacrylamide, N-dimethyl methacrylamide, N-anthryl methacrylamide, and the like.
Specific examples of the vinyl compound include, but are not limited to, vinyl alcohol, 2-hydroxyethyl vinyl ether, methyl vinyl ether, ethyl vinyl ether, benzyl vinyl ether, vinyl acetic acid, vinyl trimethoxysilane, 2-chloroethyl vinyl ether, 2-methoxyethyl vinyl ether, vinyl naphthalene, and vinyl anthracene.
Specific examples of the styrene compound include styrene, hydroxystyrene, chlorostyrene, bromostyrene, methoxystyrene, cyanostyrene, and acetylstyrene, but are not limited thereto.
Examples of the maleimide compound include, but are not limited to, maleimide, N-methylmaleimide, N-phenylmaleimide, N-cyclohexylmaleimide, N-benzylmaleimide, N-hydroxyethylmaleimide, and the like.
In the case of using a polycondensate as the polymer, examples of such a polymer include polycondensates of a diol compound and a dicarboxylic acid compound. Examples of the diol compound include diethylene glycol, 1, 6-hexanediol, and butanediol. Examples of the dicarboxylic acid compound include succinic acid, adipic acid, terephthalic acid, and maleic anhydride. Examples of the polyester include, but are not limited to, polyesters such as, for example, polymine, poly (paraphenylene terephthalamide), polybutylene terephthalate, and polyethylene terephthalate, polyamides, and polyimides.
In the case where the organic polymer compound contains a hydroxyl group, the hydroxyl group can undergo a crosslinking reaction with a hydrolysis condensate or the like.
The weight average molecular weight of the organic polymer compound may be generally 1,000 ~ 1,000,000. In the case of blending an organic polymer compound, the weight average molecular weight thereof may be made to be, for example, 3,000 ~ 300,000, 5,000 ~ 300,000, 10,000 ~ 200,000 or the like from the viewpoint of sufficiently obtaining the effect as a function of a polymer while suppressing precipitation in the composition.
The organic polymer compound may be used alone in 1 kind, and may be used in combination of 2 or more kinds.
In the case where the composition for forming a silicon-containing resist underlayer film of the present invention contains an organic polymer compound, the content thereof is appropriately determined in consideration of the function and the like of the organic polymer compound, and thus cannot be generally specified, but in general, the content may be in the range of 1 to 200 mass% relative to the mass of the above [ a ] polysiloxane, for example, 100 mass% or less, preferably 50 mass% or less, more preferably 30 mass% or less from the viewpoint of suppressing precipitation in the composition, and for example, 5 mass% or more, preferably 10 mass% or more, more preferably 30 mass% or more from the viewpoint of sufficiently obtaining the effect.
< acid generator >)
Examples of the acid generator include a thermal acid generator and a photoacid generator, and photoacid generator can be preferably used.
Examples of the photoacid generator includeSalt compounds, sulfonimide compounds, disulfonyl diazomethane compounds, and the like, but are not limited thereto. The photoacid generator is, for example, the following +.>Among the salt compounds, carboxylates such as nitrate, maleate, and the like, and further, hydrochlorides and the like can also function as curing catalysts depending on the kind thereof.
Examples of the thermal acid generator include, but are not limited to, tetramethyl ammonium nitrate.
As a means ofSpecific examples of the salt compound include diphenyliodo->Hexafluorophosphate, diphenyliodo +.>Trifluoromethane sulfonate, diphenyliodo +.>Nine-fluoro-n-butane sulfonate and diphenyl iodide->Perfluoro-n-octane sulfonate and diphenyl iodide->Camphorsulfonate, bis (4-t-butylphenyl) iodo +.>Camphorsulfonate, bis (4-t-butylphenyl) iodo +.>Iodine such as trifluoromethane sulfonate>Salt compounds, triphenylsulfonium hexafluoroantimonate, triphenylsulfonium nonafluoro n-butane sulfonate, triphenylsulfonium camphorsulfonate, triphenylsulfonium trifluoromethane sulfonate, triphenylsulfonium nitrate (nitrate), triphenylsulfonium trifluoroacetate, triphenylsulfonium maleate, triphenylsulfonium chloride, and the like, but are not limited thereto.
Specific examples of the sulfonimide compound include, but are not limited to, N- (trifluoromethanesulfonyl) succinimide, N- (nonafluoro-N-butanesulfonyloxy) succinimide, N- (camphorsulfonyl) succinimide, N- (trifluoromethanesulfonyl) naphthalene dicarboximide, and the like.
Specific examples of the disulfonyl diazomethane compound include, but are not limited to, bis (trifluoromethylsulfonyl) diazomethane, bis (cyclohexylsulfonyl) diazomethane, bis (phenylsulfonyl) diazomethane, bis (p-toluenesulfonyl) diazomethane, bis (2, 4-dimethylbenzenesulfonyl) diazomethane, methylsulfonyl-p-toluenesulfonyl diazomethane, and the like.
When the silicon-containing resist underlayer film forming composition of the present invention contains an acid generator, the content thereof is appropriately determined in consideration of the type of acid generator and the like, and thus cannot be generally specified, but in general, the content is in the range of 0.01 to 5 mass% relative to the mass of [ a ] polysiloxane, preferably 3 mass% or less, more preferably 1 mass% or less from the viewpoint of suppressing precipitation of the acid generator in the composition, and preferably 0.1 mass% or more, more preferably 0.5 mass% or more from the viewpoint of sufficiently obtaining the effect.
The acid generator may be used alone or in combination of 1 or more than 2 kinds, and in addition, the photoacid generator and the thermal acid generator may be used in combination.
< surfactant >)
The surfactant is effective in suppressing the occurrence of pinholes, stripes, and the like when the resist underlayer film forming composition is applied to a substrate. Examples of the surfactant include nonionic surfactants, anionic surfactants, cationic surfactants, silicon surfactants, fluorine surfactants, and UV-curable surfactants. More specifically, for example, polyoxyethylene alkyl ethers such as polyoxyethylene lauryl ether, polyoxyethylene stearyl ether, polyoxyethylene cetyl ether and polyoxyethylene oleyl ether, polyoxyethylene alkylaryl ethers such as polyoxyethylene octyl phenol ether and polyoxyethylene nonyl phenol ether, polyoxyethylene/polyoxypropylene block copolymers, sorbitan monolaurate, sorbitan monopalmitate, polyoxyethylene alkyl aryl ethers such as polyoxyethylene octyl phenol ether and polyoxyethylene nonyl phenol ether sorbitan fatty acid esters such as sorbitan monostearate, sorbitan monooleate, sorbitan trioleate and sorbitan tristearate, polyoxyethylene sorbitan monolaurate, polyoxyethylene sorbitan monopalmitate, polyoxyethylene sorbitan monostearate, and polyoxyethylene sorbitan fatty acid esters such as polyoxyethylene sorbitan trioleate, polyoxyethylene sorbitan tristearate and the like, nonionic surfactants such as brand name, F301, EF303, EF352 (see also known as mitsubishi corporation), brand name, F171, F173, R-08, R-30, R-N, R-40LM (see also known as DIC corporation), brand name, FC430, FC431, see also known as "standard") brand name, AG710 (see also known as "AGC") and brand name, S-382 (see also known as "standard"), and the like Examples of the surfactant include, but are not limited to, a fluorine-based surfactant such as SC101, SC102, SC103, SC104, SC105, and SC106 (manufactured by AGC chemical industry, inc.), and an organosiloxane polymer-KP 341 (manufactured by siemens chemical industry, inc.).
The surfactant may be used alone or in combination of 1 or more than 2.
When the silicon-containing resist underlayer film forming composition of the present invention contains a surfactant, the content thereof may be usually 0.0001 to 5% by mass, preferably 0.001 to 4% by mass, and more preferably 0.01 to 3% by mass, relative to the mass of the [ a ] polysiloxane.
< rheology modifier >)
The rheology modifier is added mainly for the purpose of improving the fluidity of the resist underlayer film forming composition, particularly, improving the film thickness uniformity of the formed film and the filling property of the composition into the cavity in the baking step. Specific examples thereof include phthalic acid derivatives such as dimethyl phthalate, diethyl phthalate, diisobutyl phthalate, dihexyl phthalate, and butyl isodecyl phthalate, adipic acid derivatives such as di-n-butyl adipate, di-isobutyl adipate, di-isooctyl adipate, and octyl decyl adipate, maleic acid derivatives such as di-n-butyl maleate, diethyl maleate, and dinonyl maleate, oleic acid derivatives such as methyl oleate, butyl oleate, and tetrahydrofurfuryl oleate, and stearic acid derivatives such as n-butyl stearate, and glyceryl stearate.
In the case of using these rheology modifiers, the amount added is usually less than 30% by mass relative to the total solid content of the resist underlayer film forming composition.
< adhesion promoter >)
The above-mentioned adhesion promoter is mainly added for the purpose of improving adhesion between a substrate, a resist, and a film (resist underlayer film) formed from the composition for forming a resist underlayer film containing silicon, and particularly for the purpose of suppressing/preventing resist peeling during development. Specific examples thereof include chlorosilanes such as trimethylchlorosilane, dimethylvinylchlorosilane, methyldiphenylchlorosilane, chloromethyldimethylchlorosilane, etc., alkoxysilanes such as trimethylmethoxysilane, dimethyldiethoxysilane, methyldimethoxysilane, dimethylvinylethoxysilane, etc., silazanes such as hexamethyldisilazane, N' -bis (trimethylsilyl) urea, dimethyltrimethylsilylamine, trimethylsilylimidazole, etc., other silanes such as gamma-chloropropyltrimethoxysilane, gamma-aminopropyl triethoxysilane, gamma-glycidoxypropyl trimethoxysilane, etc., benzotriazoles, benzimidazoles, indazoles, imidazoles, 2-mercaptobenzimidazoles, 2-mercaptobenzothiazoles, 2-mercaptobenz Heterocyclic compounds such as oxazole, urea, thiouracil, mercaptoimidazole, mercaptopyrimidine, and the like, ureas such as 1, 1-dimethylurea, 1, 3-dimethylurea, and the like, or thiourea compounds.
When these adhesion promoters are used, the amount of addition is usually less than 5% by mass, preferably less than 2% by mass, relative to the total solid content of the resist underlayer film forming composition.
< pH regulator >)
Further, as the pH adjuster, a bisphenol sulfone compound such as bisphenol S or a bisphenol S derivative may be added in addition to an acid having 1 or 2 or more carboxylic acid groups such as the above-mentioned organic acid exemplified as < stabilizer >. The amount of the pH adjuster to be added may be 0.01 to 20 parts by mass, or 0.01 to 10 parts by mass, or 0.01 to 5 parts by mass per 100 parts by mass of the [ A ] polysiloxane.
Specific examples of bisphenol S and bisphenol S derivatives are given below, but the present invention is not limited thereto.
< Metal oxide >)
Examples of the metal oxide that can be added to the composition for forming a silicon-containing resist underlayer film of the present invention include, but are not limited to, oxides of 1 or 2 or more kinds of metals such As tin (Sn), titanium (Ti), aluminum (Al), zirconium (Zr), zinc (Zn), niobium (Nb), tantalum (Ta), and W (tungsten), and metalloids such As boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), and tellurium (Te).
[ Pattern Forming method and method for manufacturing semiconductor device ]
Hereinafter, as an embodiment of the present invention, a method for forming a pattern using the composition for forming a silicon-containing resist underlayer film of the present invention and a method for manufacturing a semiconductor device will be described.
First, the composition for forming a silicon-containing resist underlayer film of the present invention is applied to a substrate used for manufacturing a precision integrated circuit element (e.g., a semiconductor substrate such as a silicon wafer coated with a silicon oxide film, a silicon nitride film or a silicon nitride oxide film, a silicon nitride substrate, a quartz substrate, a glass substrate (including alkali-free glass, low-alkali glass, and crystallized glass.), a glass substrate on which an ITO (indium tin oxide) film, an IZO (indium zinc oxide) film, a plastic (polyimide, PET, etc.) substrate, a low-dielectric constant material (low-k material) coated substrate, a flexible substrate, etc.), by a suitable application method such as a spin coater or a coater, and then the composition is baked by a heating means such as an electric heating plate to form a cured product, thereby forming a resist underlayer film. Hereinafter, in the present specification, the resist underlayer film (silicon-containing resist underlayer film) refers to a film formed from the silicon-containing resist underlayer film forming composition of the present invention unless otherwise specified.
The conditions for firing are suitably selected from the firing temperatures of 40 to 400℃and the firing times of 80 to 250℃and the firing times of 0.3 to 60 minutes. Preferably, the firing temperature is 150 to 250 ℃ and the firing time is 0.5 to 2 minutes.
The resist underlayer film formed here has a film thickness of, for example, 10nm to 1,000nm, or 20nm to 500nm, or 50nm to 300nm, or 100nm to 200nm, or 10 to 150nm.
As the resist underlayer film forming composition used in the formation of the resist underlayer film, a composition for forming a resist underlayer film which is filtered by a nylon filter can be used. The resist underlayer film forming composition subjected to nylon filter filtration here refers to a composition subjected to nylon filter filtration in the middle of the production of the resist underlayer film forming composition or after mixing all the components.
In the present invention, the organic underlayer film is formed on the substrate and then the resist underlayer film is formed thereon, but the organic underlayer film may not be provided according to circumstances.
The organic underlayer film used here is not particularly limited, and may be arbitrarily selected from organic underlayer films conventionally used in photolithography processes.
By providing an organic underlayer film on a substrate, a resist underlayer film thereon, and a resist film described later thereon, even when the pattern width of the photoresist film is narrowed, the photoresist film is thinly coated to prevent pattern collapse, and thus, the substrate can be processed by selecting an appropriate etching gas described later. For example, the silicon-containing resist underlayer film of the present invention can be processed using a fluorine-based gas having a sufficiently high etching rate with respect to the photoresist film as an etching gas, the organic underlayer film can be processed using an oxygen-based gas having a sufficiently high etching rate with respect to the silicon-containing resist underlayer film of the present invention as an etching gas, and the substrate can be processed using a fluorine-based gas having a sufficiently high etching rate with respect to the organic underlayer film as an etching gas.
The substrate and the coating method that can be used in this case are the same as those described above.
Next, a layer (resist film) of, for example, a photoresist material is formed on the resist underlayer film. The formation of the resist film can be performed by a known method, that is, by applying a coating type resist material (for example, a composition for forming a photoresist film) on the resist underlayer film and firing the applied resist material.
The resist film has a film thickness of, for example, 10nm to 10,000nm, or 100nm to 2,000nm, or 200nm to 1,000nm, or 30nm to 200nm.
The photoresist material used for the resist film formed on the resist underlayer film is not particularly limited as long as it is a material that is sensitive to light used for exposure (for example, krF excimer laser, arF excimer laser, etc.), and both negative type photoresist materials and positive type photoresist materials can be used. For example, there are a positive photoresist material composed of a novolak resin and 1, 2-naphthoquinone diazosulfonate, a chemically amplified photoresist material composed of a binder having a group that increases the alkali dissolution rate by acid decomposition and a photoacid generator, a chemically amplified photoresist material composed of a low molecular compound that increases the alkali dissolution rate of the photoresist material by acid decomposition, an alkali-soluble binder and a photoacid generator, a chemically amplified photoresist material composed of a binder having a group that increases the alkali dissolution rate by acid decomposition and a low molecular compound that increases the alkali dissolution rate of the photoresist material by acid decomposition and a photoacid generator, and the like.
Specific examples of such products that can be obtained as commercial products include APEX-E, available from the company of the parent company, PAR710, available from the company of the sumitomo chemical corporation, and JSR; trade name AR2772JN and trade name SEPR430 manufactured by shin-a chemical industries, ltd. Examples of the photoresist materials include fluorine atom-containing polymer photoresist materials described in Proc.SPIE, vol.3999, 330-334 (2000), proc.SPIE, vol.3999, 357-364 (2000), and Proc.SPIE, vol.3999, 365-374 (2000).
In addition, in the resist film formed on the resist underlayer film, a resist film for electron beam lithography (also referred to as an electron beam resist film) or a resist film for EUV lithography (also referred to as an EUV resist film) may be used instead of the photoresist film, that is, the composition for forming a silicon-containing resist underlayer film of the present invention may be used for forming a resist underlayer film for electron beam lithography or a resist underlayer film for EUV lithography. In particular, the composition is suitable as a resist underlayer film forming composition for EUV lithography.
As the electron beam resist material, both negative type material and positive type material can be used. Specific examples thereof include a chemically amplified resist material composed of an acid generator and a binder having a group that changes the alkali dissolution rate by decomposition with an acid, a chemically amplified resist material composed of an alkali-soluble binder and an acid generator and a low molecular compound that changes the alkali dissolution rate of the resist material by decomposition with an acid, a chemically amplified resist material composed of an acid generator and a binder having a group that changes the alkali dissolution rate by decomposition with an acid and a low molecular compound that changes the alkali dissolution rate of the resist material by decomposition with an acid, a non-chemically amplified resist material composed of a binder having a group that changes the alkali dissolution rate by decomposition with an electron beam, a non-chemically amplified resist material composed of a binder having a site that changes the alkali dissolution rate by cutting with an electron beam, and the like. In the case of using these electron beam resist materials, the irradiation source may be an electron beam, and the resist film may be patterned in the same manner as in the case of using a photoresist material.
As the EUV resist material, a methacrylate resin resist material and a hydroxystyrene resin resist may be used.
Next, the resist film formed on the upper layer of the resist underlayer film is exposed to light through a predetermined mask (photomask). In exposure, krF excimer laser (wavelength 248 nm), arF excimer laser (wavelength 193 nm), F2 excimer laser (wavelength 157 nm), EUV (wavelength 13.5 nm), electron beam, and the like can be used.
Post-exposure heating (post exposure bake) may be performed as needed after exposure. The post-exposure heating is performed at a temperature of 70 to 150 ℃ and a heating time of 0.3 to 10 minutes.
Next, development is performed by a developer (for example, an alkaline developer). Thus, for example, when a positive photoresist film is used, the photoresist film at the exposed portion is removed, and a pattern of the photoresist film is formed.
Examples of the developer (alkaline developer) include an aqueous solution of an alkali metal hydroxide such as potassium hydroxide or sodium hydroxide, an aqueous solution of a quaternary ammonium hydroxide such as tetramethyl ammonium hydroxide, tetraethyl ammonium hydroxide or choline, and an aqueous alkaline solution (alkaline developer) such as an aqueous amine solution of ethanolamine, propylamine or ethylenediamine. Further, a surfactant or the like may be added to these developer solutions. The conditions for development are suitably selected from the group consisting of a temperature of 5 to 50℃and a time of 10 to 600 seconds.
In the present invention, an organic solvent may be used as the developer, and development may be performed by the developer (solvent) after exposure. Thus, for example, when a negative photoresist film is used, the photoresist film in the unexposed portion is removed, and a pattern of the photoresist film is formed.
The developer (organic solvent) includes, for example, methyl acetate, butyl acetate, ethyl acetate, isopropyl acetate, amyl acetate, isoamyl acetate, methoxyethyl acetate, ethoxyethyl acetate, propylene glycol monomethyl ether acetate, ethylene glycol monoethyl ether acetate, ethylene glycol monopropyl ether acetate, ethylene glycol monobutyl ether acetate, ethylene glycol monophenyl ether acetate, diethylene glycol monomethyl ether acetate, diethylene glycol monopropyl ether acetate, diethylene glycol monoethyl ether acetate, diethylene glycol monophenyl ether acetate, diethylene glycol monobutyl ether acetate, 2-methoxybutyl acetate, 3-methoxybutyl acetate, 4-methoxybutyl acetate, 3-methyl-3-methoxybutyl acetate 3-Ethyl-3-methoxybutyl acetate, propylene glycol monoethyl ether acetate, propylene glycol monopropyl ether acetate, 2-ethoxybutyl acetate, 4-propoxybutyl acetate, 2-methoxypentyl acetate, 3-methoxypentyl acetate, 4-methoxypentyl acetate, 2-methyl-3-methoxypentyl acetate, 3-methyl-4-methoxypentyl acetate, 4-methyl-4-methoxypentyl acetate, propylene glycol diacetate, methyl formate, ethyl formate, butyl formate, propyl formate, ethyl lactate, butyl lactate, propyl lactate, ethyl carbonate, propyl carbonate, butyl carbonate, methyl pyruvate, ethyl pyruvate, propyl pyruvate, butyl pyruvate, methyl acetoacetate, ethyl acetoacetate, methyl propionate, ethyl propionate, propyl propionate, isopropyl propionate, methyl 2-hydroxypropionate, ethyl 2-hydroxypropionate, methyl 3-methoxypropionate, ethyl 3-ethoxypropionate, propyl 3-methoxypropionate, and the like are exemplified. Further, a surfactant or the like may be added to these developer solutions. The conditions for development are suitably selected from the group consisting of a temperature of 5℃to 50℃and a time of 10 seconds to 600 seconds.
The resist underlayer film (intermediate layer) is removed using the pattern of the photoresist film (upper layer) thus formed as a protective film, and then the organic underlayer film (underlayer) is removed using the pattern of the patterned resist underlayer film (intermediate layer) as a protective film. Finally, the patterned resist underlayer film (intermediate layer) and the patterned organic underlayer film (underlayer) are used as protective films, and the substrate is processed.
Removal (patterning) of the resist underlayer film (intermediate layer) by dry etching using the pattern of the resist film (upper layer) as a protective film can be performed using tetrafluoromethane (CF) 4 ) Perfluorocyclobutane (C) 4 F 8 ) Perfluoropropane (C) 3 F 8 ) Gases such as trifluoromethane, carbon monoxide, argon, oxygen, nitrogen, sulfur hexafluoride, difluoromethane, nitrogen trifluoride, chlorine, trichloroborane, and dichloroborane.
In the dry etching of the resist underlayer film, a halogen-based gas is preferably used. For dry etching using a halogen-based gas, a resist film (photoresist film) formed substantially of an organic substance is not easily removed. On the other hand, the resist underlayer film containing silicon, which contains a large amount of silicon atoms, is rapidly removed by the halogen-based gas. Therefore, a decrease in the film thickness of the photoresist film accompanying dry etching of the resist underlayer film can be suppressed. Further, as a result, a photoresist film can be used as a thin film. Therefore, the dry etching of the resist underlayer film preferably uses a fluorine-based gas, and examples of the fluorine-based gas include tetrafluoromethane (CF 4 ) Perfluorocyclobutane (C) 4 F 8 ) Perfluoropropane (C) 3 F 8 ) Trifluoromethane, difluoromethane (CH) 2 F 2 ) And the like, but are not limited thereto.
In the case where an organic underlayer film is provided between the substrate and the resist underlayer film, the removal (patterning) of the organic underlayer film (underlayer) by using, as a protective film, a film composed of (in the case of remaining, a patterned resist film (upper layer) and a patterned resist underlayer film (intermediate layer)) is preferably performed by dry etching using an oxygen-based gas (oxygen, oxygen/carbonyl sulfide (COS) mixed gas, or the like). This is because the silicon-containing resist underlayer film of the present invention containing a large amount of silicon atoms is not easily removed by dry etching using an oxygen-based gas.
Then, the processing (patterning) of the (semiconductor) substrate using the patterned resist underlayer film (intermediate layer) and the optionally patterned organic underlayer film (underlayer) as a protective film is preferably performed by dry etching using a fluorine-based gas.
Examples of the fluorine-based gas include tetrafluoromethane (CF 4 ) Perfluorocyclobutane (C) 4 F 8 ) Perfluoropropane (C) 3 F 8 ) Trifluoromethane, and difluoromethane (CH) 2 F 2 ) Etc.
The removal of the resist underlayer film may be performed by dry etching or wet etching after the removal (patterning) of the organic underlayer film or after the processing (patterning) of the substrate.
The dry etching of the resist underlayer film is preferably performed using a fluorine-based gas as described in the patterning, and examples thereof include tetrafluoromethane (CF 4 ) Perfluorocyclobutane (C) 4 F 8 ) Perfluoropropane (C) 3 F 8 ) Trifluoromethane, difluoromethane (CH) 2 F 2 ) And the like, but are not limited thereto.
The chemical solution used for wet etching of the resist underlayer film includes dilute hydrofluoric acid (hydrofluoric acid), buffered hydrofluoric acid (HF and NH 4 F), an aqueous solution containing hydrochloric acid and hydrogen peroxide (SC-2 chemical solution), an aqueous solution containing sulfuric acid and hydrogen peroxide (SPM chemical solution), an aqueous solution containing hydrofluoric acid and hydrogen peroxide (FPM chemical solution), an aqueous solution containing ammonia and hydrogen peroxide (SC-1 chemical solution), and the like. The alkaline solution may be, in addition to the aqueous ammonia hydrogen peroxide solution (SC-1 solution) obtained by mixing ammonia with hydrogen peroxide water and waterIs prepared from ammonia, tetramethylammonium hydroxide (TMAH), tetraethylammonium hydroxide, tetrapropylammonium hydroxide, tetrabutylammonium hydroxide, choline hydroxide, benzyltrimethylammonium hydroxide, benzyltriethylammonium hydroxide, DBU (diazabicycloundecene), DBN (diazabicyclononene), hydroxylamine, 1-butyl-1-methylpyrrolidine Hydroxide, 1-propyl-1-methylpyrrolidine->Hydroxide, 1-butyl-1-methylpiperidineHydroxide, 1-propyl-1-methylpiperidine->Hydroxide, mepiquat chloride->Hydroxide, trimethylsulfonium hydroxide, hydrazines, ethylenediamine, or guanidine 1-99 mass% aqueous solution. These solutions may be used in combination.
Further, an organic antireflective film may be formed on the resist underlayer film before formation of the resist film. The antireflective film composition used therein is not particularly limited, and may be arbitrarily selected from antireflective film compositions conventionally used in photolithography processes, for example, and may be formed by a conventional method such as application by a spin coater or a coater and baking.
The substrate coated with the composition for forming a silicon-containing resist underlayer film of the present invention may be a substrate having an organic or inorganic antireflection film formed by a CVD method or the like on its surface, or a resist underlayer film may be formed thereon. When the resist underlayer film of the present invention is formed on a substrate after an organic underlayer film is formed thereon, the substrate used may have an organic or inorganic antireflective film formed on the surface thereof by a CVD method or the like.
The resist underlayer film formed from the composition for forming a silicon-containing resist underlayer film of the present invention may have absorption of light used in a photolithography process depending on the wavelength of the light. In such a case, the light-reflecting film may function as an antireflection film having an effect of preventing reflected light from the substrate.
The resist underlayer film may be used as a layer for preventing interaction between a substrate and a resist film (such as a photoresist film), a layer having a function of preventing adverse effects of a material used for the resist film or a substance generated during exposure of the resist film on the substrate, a layer having a function of preventing diffusion of a substance generated from the substrate to the upper resist film during firing by heating, a barrier layer for reducing poisoning effects of the resist film due to a dielectric layer of a semiconductor substrate, and the like.
The resist underlayer film described above can be applied to a substrate on which a via hole is formed, which is used in a dual damascene process, and can be used as a hole filling material (buried material) that can fill a hole without a gap. Further, the present invention can be used as a planarization material for planarizing the surface of a semiconductor substrate having irregularities.
The resist underlayer film may be used as an underlayer anti-reflective film of an EUV resist film, which is not mixed with the EUV resist film, and which can prevent unwanted exposure light such as UV (ultraviolet) light and DUV (deep ultraviolet) light (ArF light and KrF light) from being reflected from a substrate or an interface at the time of EUV exposure (wavelength 13.5 nm), in addition to the function as an underlayer film of the EUV resist film and as a hard mask. That is, reflection can be effectively prevented as an underlayer of the EUV resist film. When used as an EUV resist underlayer film, the process may be performed in the same manner as a photoresist underlayer film.
The substrate for semiconductor processing provided with the resist underlayer film of the present invention and the semiconductor substrate described above can be suitably processed by using the same.
Further, according to the method for manufacturing a semiconductor element including the step of forming the organic underlayer film, the step of forming the silicon-containing resist underlayer film on the organic underlayer film using the composition for forming a silicon-containing resist underlayer film of the present invention, and the step of forming the resist film on the silicon-containing resist underlayer film, as described above, processing of a semiconductor substrate with high accuracy can be realized with good reproducibility, and therefore stable manufacturing of the semiconductor element can be expected.
Examples
The present invention will be described more specifically with reference to synthesis examples and examples, but the present invention is not limited to the examples.
The molecular weight of the polysiloxane prepared in the following examples was a molecular weight obtained by conversion of polystyrene based on GPC analysis.
The GPC measurement conditions include, for example, GPC apparatus (trade name HLC-8220GPC, manufactured by Toyoshiba Co., ltd.), GPC column (trade name Shodex KF803L, KF, KF801, manufactured by Showa Denko Co., ltd.), column temperature of 40℃and elution solution (elution solvent) of tetrahydrofuran, flow rate of 1.0 mL/min, and standard sample of polystyrene manufactured by Showa Denko Co., ltd.).
[1] Synthesis of Polymer (hydrolysis condensate)
Synthesis example 1
As the silane containing an ester group, 5 kinds of esterified products of (2- (7-oxabicyclo [4.1.0] 3-heptyl) ethyl) trimethoxysilane and carboxylic acids shown in Table 1 below (hereinafter, also referred to as silane 1 to 5 containing an ester group) were used, and polymers (polysiloxanes) of Synthesis examples 1-1 to 1-5 were synthesized according to the following procedure.
9.37g of tetraethoxysilane, 4.68g of methyltriethoxysilane, (2- (7-oxabicyclo [4.1.0] 3-heptyl) ethyl) trimethoxysilane and an esterified product of carboxylic acid (ester group-containing silanes 1 to 5, the amounts used are shown in Table 1), 23.07g of propylene glycol monoethyl ether were mixed in a 100mL flask, and a mixed solution of 0.14g of 3-N, N-dimethylaminopropyl trimethoxysilane and 8.31g of a 0.2M aqueous nitric acid solution was added dropwise while stirring the mixed solution with an electromagnetic stirrer.
After the dropwise addition, the flask was transferred to an oil bath adjusted to 60 ℃ and refluxed for 1200 minutes. Then, methanol, ethanol, and water as by-products of the reaction were distilled off under reduced pressure, and concentrated to obtain an aqueous solution of a hydrolysis condensate (polymer).
Further, propylene glycol monoethyl ether was added thereto, and the concentration was adjusted so that the solvent ratio of propylene glycol monoethyl ether was set to 13 mass% in terms of solid residue at 150 ℃.
The resulting polymer contains a polysiloxane having a structure represented by the following formula (11). The group R in the formula (11) is a group derived from a carboxylic acid group forming an ester structure in the silanes 1 to 5 containing an ester group.
The weight average molecular weight (in terms of polystyrene obtained by GPC analysis) of the obtained polymer is shown in table 1.
TABLE 1
The dosage is as follows: the amount of the silane containing an ester group used is expressed as the amount (mol%) of the total silane compound (100 mol%) used for the preparation of the hydrolysis condensate (polymer).
Comparative Synthesis example 1
In Synthesis example 1-1, a polymer (polysiloxane) of comparative Synthesis example 1 was obtained by the same procedure except that 0.924g of 2- (7-oxabicyclo [4.1.0] 3-heptyl) ethyl) trimethoxysilane and 0.518g of norbornene carboxylic acid were added in place of the above-mentioned ester group-containing silane 1 (Mw: 1,638).
Synthesis example 2
As the silane containing an ester group, 5 kinds of esterified products of trimethoxy (3- (2-oxiranylmethoxy) propyl) silane and carboxylic acids shown in Table 2 below (hereinafter, also referred to as silane 6 to 10 containing an ester group) were used to synthesize polymers (polysiloxanes) of Synthesis examples 2-1 to 2-5.
9.37g of tetraethoxysilane, 4.68g of methyltriethoxysilane, an esterified product of trimethoxy (3- (2-oxiranylmethoxy) propyl) silane and a carboxylic acid (the amounts of use are shown in Table 2, 6 to 10 of silanes containing ester groups), 23.07g of propylene glycol monoethyl ether were mixed in a 100mL flask, and 9.46g of a 0.2M aqueous nitric acid solution was added dropwise to the mixed solution while stirring the mixed solution with an electromagnetic stirrer.
After the dropwise addition, the flask was transferred to an oil bath adjusted to 60 ℃ and refluxed for 1200 minutes. Then, methanol, ethanol, and water as by-products of the reaction were distilled off under reduced pressure, and concentrated to obtain an aqueous solution of a hydrolysis condensate (polymer).
Further, propylene glycol monoethyl ether was added thereto, and the concentration was adjusted so that the solvent ratio of propylene glycol monoethyl ether was set to 13 mass% in terms of solid residue at 150 ℃.
The resulting polymer contains a polysiloxane having a structure represented by the following formula (12). The group R in the formula (12) is a group derived from a carboxylic acid group forming an ester structure in the silanes 6 to 10 containing an ester group.
The weight average molecular weight (in terms of polystyrene obtained by GPC analysis) of the obtained polymer is shown in table 2.
TABLE 2
The dosage is as follows: the amount of the silane containing an ester group used is expressed as the amount (mol%) of the total silane compound (100 mol%) used for the preparation of the hydrolysis condensate (polymer).
Comparative Synthesis example 2
A polymer (polysiloxane) (Mw: 3,113) of comparative Synthesis example 2 was obtained by the same procedure as in Synthesis example 2-1 except that (0.887 g of trimethoxy (3- (2-oxiranylmethoxy) propyl) silane and 0.518g of norbornene carboxylic acid) were added in place of the above-mentioned ester group-containing silane 6.
[2] Preparation of resist underlayer film Forming composition
The polysiloxane (polymer), additive, curing catalyst, and solvent obtained in the above synthesis example were mixed in the proportions shown in table 3, and filtered through a 0.1 μm fluororesin filter, to prepare resist underlayer film forming compositions (examples 1 to 10 and comparative examples 1 and 2), respectively. The amounts added are shown in Table 3 in parts by mass.
Although the composition was prepared as a solution containing the hydrolyzed condensate (polymer) obtained in the synthesis example, the addition ratio of the polymer in table 3 was not the addition amount of the polymer solution, but the addition amount of the polymer itself was shown.
In table 3, DIW means ultrapure water, PGME means propylene glycol monomethyl ether, and PGEE means propylene glycol monoethyl ether.
Further MA means maleic acid, and TPSNO3 means triphenylsulfonium nitrate.
TABLE 3
[3] Preparation of composition for Forming underlayer film of organic resist
Into a 300mL four-necked flask, 2-bis (hydroxymethyl) -1-butanol 1, 2-epoxy-4- (2-oxiranyl) cyclohexane adduct (40.0 g, manufactured by Du-component Seal, trade name: EHPE 3150), 9-anthranilic acid (20.3 g, manufactured by Kabushiki Kaisha, 13.7g, manufactured by Kaisha chemical Co., ltd.), benzyltriethylammonium (BTEAC, 1.5g, manufactured by Tokyo chemical Co., ltd.), and propylene glycol monomethyl ether (PGME, 117.0 g) as a solvent were mixed under nitrogen atmosphere, and refluxed at 142℃for 20 hours to react. After the reaction, the solution was purified using an ion exchange resin, and a yellow solution containing a polymer was obtained. GPC analysis showed that weight average molecular weight of the polymer was 4,100 in terms of standard polystyrene.
With respect to 5g of the polymer solution obtained above (16% by mass of the solid content of the polymer), 0.2g of tetraethoxymethyl glycoluril as a crosslinking agent and pyridine as a catalyst were added0.03g of p-toluenesulfonate, 0.0008g of seal R-30 (trade name manufactured by DIC Co., ltd.) as a fluorine-based surfactant, 6.4g of propylene glycol monomethyl ether, and 4.5g of propylene glycol monomethyl ether acetate were mixed to prepare a solution. Then, the solution was filtered using a polyethylene microfilter having a pore size of 0.10 μm, and further, a polyethylene microfilter having a pore size of 0.05 μm was used to prepare a composition for forming an organic resist underlayer film for use in a photolithography process using a multilayer film.
[4] Formation of resist pattern exposed with Electron Beam (EB): positive type solvent development
The above composition for forming an organic resist underlayer film was applied to a silicon wafer using a spin coater, and baked at 215℃for 60 seconds using a hot plate, to obtain an organic underlayer film (layer A) having a film thickness of 200 nm.
The composition obtained in example 1 was spin-coated thereon, and heated at 215℃for 1 minute, thereby forming a resist underlayer film (B) layer having a film thickness of 20 nm.
Further, a resist solution for EUV (hydroxystyrene resin-based resist) was spin-coated thereon, heated at 110℃for 60 seconds to form an EUV resist film (C) layer, and an EB drawing apparatus (ELS-G130) manufactured by Elionix was used at 200 to 800uC/cm 2 The exposure dose region of (2) is 40uC/cm 2 The step length is plotted (exposed).
After the drawing, the resist was developed with an alkaline developer (NMD-3, tokyo applied chemical Co., ltd., 2.38% aqueous tetramethylammonium hydroxide solution) for 30 seconds by post-exposure heating (PEB, 90 ℃ C. For 60 seconds) and rinsing treatment was performed on the cooling plate until the temperature reached room temperature, thereby forming a resist pattern.
The resist patterns were formed using the compositions obtained in examples 2 to 10 and comparative examples 1 and 2 by the same procedure.
Further, with respect to each of the obtained patterns, whether or not lines and gaps of 25nm were formed were evaluated. In pattern observation, a state in which the pattern did not collapse in a wide area even in a low exposure area and no significant residue was evaluated as "good", and a state in which the pattern collapsed in a wide area was evaluated as "collapsed".
Further, the resist pattern size (pattern collapse limit size (min CD)) at the highest exposure amount (limit exposure amount) at which the resist pattern does not collapse was confirmed by the length-measuring SEM.
In examples 1 to 5, examples 6 to 10, and comparative example 2, in which the minCD value was improved by 1 to 15% with respect to the minCD value of the comparative example (decrease in the minCD value), the evaluation "good" in the pattern observation was described as "relatively good" evaluation, and similarly, the evaluation "good" was described as "further good" with respect to the example in which the minCD value was improved by 15% or more (decrease in the minCD value).
The results obtained are shown in tables 4 to 6. Although the conditions for forming the resist pattern and the evaluation method are unified as described above, some variations may occur depending on the apparatus environment or the like. However, with respect to the results shown in tables 4 to 6, the comparative examples and examples were evaluated simultaneously (in the same apparatus environment).
TABLE 4
Comparative synthesis example 1 is a polysiloxane produced by adding (2- (7-oxabicyclo [4.1.0] 3-heptyl) ethyl) trimethoxysilane and norbornenecarboxylic acid in the form of monomers, respectively, instead of silane 1 having an ester group
TABLE 5
Comparative synthesis example 1 is a polysiloxane produced by adding (2- (7-oxabicyclo [4.1.0] 3-heptyl) ethyl) trimethoxysilane and norbornenecarboxylic acid as monomers, respectively, instead of silane 1 having an ester group
TABLE 6
Comparative synthesis example 2 is a polysiloxane prepared by adding (trimethoxy (3- (2-oxiranylmethoxy) propyl) silane and norbornenecarboxylic acid as monomers, respectively, instead of silane 6 containing an ester group
As shown in the results of tables 4 to 6, it was confirmed that the resist underlayer film obtained from the composition for forming a silicon-containing resist underlayer film of examples 1 to 5 achieved a limit size of 20nm or less in the resist pattern of the upper layer, and that the resist underlayer film obtained from the composition for forming a silicon-containing resist underlayer film of examples 6 to 10 achieved a pattern collapse limit size of 25nm or less in the resist pattern of the upper layer.
In addition, it was confirmed that the patterns of the 25nm lines and gaps in the upper resist film were not collapsed in any of the lower resist films, and that a good pattern was formed.
Industrial applicability
The composition for forming a silicon-containing resist underlayer film of the present invention can be used for microfabrication by photolithography using a photoresist in the manufacture of a semiconductor device, and is particularly effective for forming a fine pattern in an extreme ultraviolet lithography process.

Claims (18)

1. A composition for forming a silicon-containing resist underlayer film, comprising:
[A] a polysiloxane comprising a siloxane unit structure having an ester structure; and
[B] and (3) a solvent.
2. The composition for forming a silicon-containing resist underlayer film according to claim 1, wherein the siloxane unit structure having an ester structure in the [ a ] polysiloxane has:
an ester structure produced by reaction of a hydroxyl group and/or an epoxy group with a compound selected from carboxylic acids, dicarboxylic acids and dicarboxylic acid anhydrides.
3. The composition for forming a silicon-containing resist underlayer film according to claim 2, wherein the carboxylic acid, the dicarboxylic acid and the dicarboxylic anhydride have:
at least one group selected from alicyclic groups, aromatic ring groups, cyano groups, alkenyl groups and alkynyl groups.
4. The composition for forming a silicon-containing resist underlayer film according to any one of claims 1 to 3, wherein the content of the siloxane unit structure having an ester structure in the [ a ] polysiloxane is 0.1 mol% or more and 10 mol% or less based on the total mole number of the siloxane unit structures of the [ a ] polysiloxane.
5. The composition for forming a silicon-containing resist underlayer film according to any one of claims 1 to 4, wherein the [ a ] polysiloxane further comprises a siloxane unit structure having an organic group containing a quaternary ammonium-nitrate structure.
6. The composition for forming a silicon-containing resist underlayer film according to claim 1, wherein the [ a ] polysiloxane comprises: a hydrolysis condensate [ I ] containing a siloxane unit structure having an ester structure,
the hydrolytic condensate [ I ] is a hydrolytic condensate of a hydrolyzable silane containing at least 1 hydrolyzable silane represented by the following formula (1),
R 1 a R 2 b Si(R 3 ) 4-(a+b) (1)
in the method, in the process of the invention,
R 1 is a group bonded to a silicon atom, represented by the formula (1-1),
*-R 101 -O-C(=O)-R 102 (1-1)
in the formula (1-1), R 101 Represents an alkylene group having 2 to 20 carbon atoms, an arylene group having 6 to 12 carbon atoms, or a combination thereof, which may contain an ether bond and may be substituted with a hydroxyl group,
R 102 represents an organic group having at least one group selected from the group consisting of an alicyclic group which may be substituted, an aromatic ring group which may be substituted, a cyano group, an alkenyl group and an alkynyl group,
R 2 for the groups bound to the silicon atom, independently of one another, represent an alkyl group which may be substituted, an aryl group which may be substituted, an aralkyl group which may be substituted, a haloalkyl group which may be substituted, a haloaryl group which may be substituted, a haloaralkyl group which may be substituted, an alkoxyalkyl group which may be substituted, an alkoxyaryl group which may be substituted, or an alkenyl group which may be substituted, or an organic group having an epoxy group, an acryl group, a methacryl group, a mercapto group, an amino group, an amide group, an alkoxy group, a sulfonyl group, or a cyano group, or a combination thereof,
R 3 Is a group or atom bonded to a silicon atom, and independently represents an alkoxy group, an aralkyloxy group, an acyloxy group, or a halogen atom,
a represents an integer of 1, b represents an integer of 0 to 2, and a+b represents an integer of 1 to 3.
7. The composition for forming a silicon-containing resist underlayer film according to claim 6, wherein the content of at least 1 hydrolyzable silane represented by formula (1) in the hydrolyzable silane is 0.1 mol% or more and 10 mol% or less based on the total mole number of all hydrolyzable silanes contained in the hydrolyzable silane.
8. The composition for forming a silicon-containing resist underlayer film according to claim 6 or 7, wherein the [ a ] polysiloxane comprises: a hydrolysis condensate [ I-1] comprising a siloxane unit structure having an ester structure and a siloxane unit structure having an organic group containing a quaternary ammonium-nitrate structure,
the hydrolytic condensate [ I-1] is a hydrolytic condensate comprising a mixture of a hydrolyzable silane and nitric acid, the hydrolyzable silane comprising a hydrolyzable silane represented by the formula (1) and a hydrolyzable silane containing an organic group containing an amino group represented by the following formula (2),
R 4 c R 5 d Si(R 6 ) 4-(c+d) (2)
in the method, in the process of the invention,
R 4 for the group bonded to the silicon atom, represents an organic group containing an amino group,
R 5 For the groups bound to the silicon atom, independently of one another, represent an alkyl group which may be substituted, an aryl group which may be substituted, an aralkyl group which may be substituted, a haloalkyl group which may be substituted, a haloaryl group which may be substituted, a haloaralkyl group which may be substituted, an alkoxyalkyl group which may be substituted, an alkoxyaryl group which may be substituted, or an alkenyl group which may be substituted, or an organic group having an acryl group, a methacryl group, a mercapto group, an amino group, an amide group, an alkoxy group, a sulfonyl group, or a cyano group, or a combination thereof,
R 6 is a group or atom bonded to a silicon atom, and independently represents an alkoxy group, an aralkyloxy group, an acyloxy group, or a halogen atom,
c represents an integer of 1, d represents an integer of 0 to 2, and c+d represents an integer of 1 to 3.
9. The composition for forming a silicon-containing resist underlayer film according to any one of claims 1 to 8, which contains a curing catalyst.
10. The composition for forming a silicon-containing resist underlayer film according to any one of claims 1 to 9, where the [ B ] solvent contains water.
11. The composition for forming a silicon-containing resist underlayer film according to any one of claims 1 to 10, further comprising a pH adjustor.
12. The composition for forming a silicon-containing resist underlayer film according to any one of claims 1 to 11, further comprising a metal oxide.
13. The composition for forming a resist underlayer film containing silicon according to any one of claims 1 to 12, which is used for forming a resist underlayer film for EUV lithography.
14. A resist underlayer film which is a cured product of the composition for forming a silicon-containing resist underlayer film according to any one of claims 1 to 13.
15. A substrate for semiconductor processing comprising a semiconductor substrate and the resist underlayer film according to claim 14.
16. A method for manufacturing a semiconductor device includes the steps of:
forming an organic underlayer film on a substrate;
a step of forming a silicon-containing resist underlayer film on the organic underlayer film using the silicon-containing resist underlayer film forming composition according to any one of claims 1 to 13; and
and forming a resist film on the silicon-containing resist underlayer film.
17. The method according to claim 16, wherein the step of forming the silicon-containing resist underlayer film comprises using a composition for forming a silicon-containing resist underlayer film, which is filtered by a nylon filter.
18. A pattern forming method comprising the steps of:
forming an organic underlayer film on a semiconductor substrate;
a step of forming a silicon-containing resist underlayer film by applying the silicon-containing resist underlayer film forming composition according to any one of claims 1 to 13 to the organic underlayer film and firing the composition;
a step of forming a resist film by applying a resist film-forming composition to the silicon-containing resist underlayer film;
exposing and developing the resist film to obtain a resist pattern;
etching the silicon-containing resist underlayer film using the resist pattern as a mask; and
and etching the organic underlayer film using the patterned silicon-containing resist underlayer film as a mask.
CN202280037974.XA 2021-03-31 2022-03-30 Composition for forming silicon-containing resist underlayer film Pending CN117396810A (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2021-062394 2021-03-31
JP2021-101439 2021-06-18
JP2021101439 2021-06-18
PCT/JP2022/016230 WO2022210954A1 (en) 2021-03-31 2022-03-30 Silicon-containing resist underlayer film-forming composition

Publications (1)

Publication Number Publication Date
CN117396810A true CN117396810A (en) 2024-01-12

Family

ID=89439646

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202280037974.XA Pending CN117396810A (en) 2021-03-31 2022-03-30 Composition for forming silicon-containing resist underlayer film

Country Status (1)

Country Link
CN (1) CN117396810A (en)

Similar Documents

Publication Publication Date Title
KR20220162138A (en) Composition for film formation
JP2021189314A (en) Manufacturing method of silicone-containing resist underlay film
WO2020196563A1 (en) Film-forming composition
CN117940850A (en) Composition for forming silicon-containing resist underlayer film, laminate using same, and method for producing semiconductor element
CN116547343A (en) Composition for forming silicon-containing resist underlayer film
CN117255971A (en) Composition for forming silicon-containing resist underlayer film
CN117396810A (en) Composition for forming silicon-containing resist underlayer film
JP7495015B2 (en) Additive-containing silicon-containing resist underlayer film forming composition
CN117083570A (en) Composition for forming silicon-containing resist underlayer film
CN117396811A (en) Composition for forming underlayer film of silicon-containing resist
CN116547781A (en) Composition for forming resist underlayer film
CN117460995A (en) Composition for forming underlayer film of silicon-containing resist
KR20230165804A (en) Composition for forming a silicon-containing resist underlayer film
WO2024019064A1 (en) Silicon-containing resist underlayer film-forming composition containing polyfunctional sulfonic acid
US20240231230A1 (en) Composition for forming silicon-containing resist underlayer film
WO2024063044A1 (en) Composition for forming silicon-containing resist underlayer film
WO2023136250A1 (en) Composition for forming silicon-containing resist underlayer film, and silicon-containing resist underlayer film
WO2021221171A1 (en) Composition for forming resist underlying film
CN117716295A (en) Composition for forming silicon-containing resist underlayer film and silicon-containing resist underlayer film
WO2024009993A1 (en) Method of manufacturing laminate and method of manufacturing semiconductor element
CN113906084A (en) Film-forming composition
CN117063129A (en) Composition for forming silicon-containing underlayer film for directional self-assembly
TW202336099A (en) Silicon-containing resist underlayer film forming composition having unsaturated bond and cyclic structure
KR20220162140A (en) Composition for film formation

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination