JP2021189314A - Manufacturing method of silicone-containing resist underlay film - Google Patents

Manufacturing method of silicone-containing resist underlay film Download PDF

Info

Publication number
JP2021189314A
JP2021189314A JP2020094900A JP2020094900A JP2021189314A JP 2021189314 A JP2021189314 A JP 2021189314A JP 2020094900 A JP2020094900 A JP 2020094900A JP 2020094900 A JP2020094900 A JP 2020094900A JP 2021189314 A JP2021189314 A JP 2021189314A
Authority
JP
Japan
Prior art keywords
group
acid
methyl
film
underlayer film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2020094900A
Other languages
Japanese (ja)
Inventor
謙 石橋
Ken Ishibashi
亘 柴山
Wataru Shibayama
誠 中島
Makoto Nakajima
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nissan Chemical Corp
Original Assignee
Nissan Chemical Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nissan Chemical Corp filed Critical Nissan Chemical Corp
Priority to JP2020094900A priority Critical patent/JP2021189314A/en
Publication of JP2021189314A publication Critical patent/JP2021189314A/en
Pending legal-status Critical Current

Links

Landscapes

  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Materials For Photolithography (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

To provide a manufacturing method of a silicone-containing resist underlay film, which is a resist underlay film usable for manufacture of a semiconductor device, capable of removing by a wet method using a chemical liquid, in particular, SPM (mixed aqueous solution of sulfuric acid and hydrogen peroxide water) can be applied, even after an oxygen gas etching was applied.SOLUTION: A method of manufacturing a silicon-containing resist underlayer film capable of being removed by an aqueous sulfuric acid hydrogen peroxide solution after dry etching with oxygen gas comprises a step of curing, with ultraviolet ray, a coating film of a resist underlay film formation composition containing (A) a hydrolysis condensate containing a siloxane unit containing an organic group having two or more hydroxyl groups, and a siloxane unit containing an organic group having an acetoxy group.SELECTED DRAWING: None

Description

本発明は、シリコン含有レジスト下層膜の製造方法に関する。 The present invention relates to a method for producing a silicon-containing resist underlayer film.

従来から半導体装置の製造において、フォトレジストを用いたリソグラフィーによる微細加工が行われている。上記微細加工はシリコンウエハー等の半導体基板上にフォトレジストの薄膜を形成し、その上に半導体デバイスのパターンが描かれたマスクパターンを介して紫外線などの活性光線を照射し、現像し、得られたフォトレジストパターンを保護膜として基板をエッチング処理することにより、基板表面に、上記パターンに対応する微細凹凸を形成する加工法である。
近年、半導体デバイスの高集積度化が進み、使用される活性光線もKrFエキシマレーザー(248nm)からArFエキシマレーザー(193nm)へと短波長化される傾向にある。活性光線の短波長化に伴い、活性光線の半導体基板からの反射の影響が大きな問題となる中、フォトレジストと被加工基板の間に反射防止膜(BottomAnti−ReflectiveCoating、BARC)と呼ばれるレジスト下層膜を設ける方法が広く適用されるようになってきた。
Conventionally, in the manufacture of semiconductor devices, microfabrication by lithography using a photoresist has been performed. The above microfabrication is obtained by forming a photoresist thin film on a semiconductor substrate such as a silicon wafer, irradiating it with active light such as ultraviolet rays through a mask pattern on which a pattern of a semiconductor device is drawn, and developing it. This is a processing method for forming fine irregularities corresponding to the above pattern on the surface of the substrate by etching the substrate using the photoresist pattern as a protective film.
In recent years, the degree of integration of semiconductor devices has been increasing, and the wavelength of active light rays used has tended to be shortened from KrF excimer laser (248 nm) to ArF excimer laser (193 nm). With the shortening of the wavelength of the active light, the influence of the reflection of the active light from the semiconductor substrate becomes a big problem. A resist underlayer film called an antireflection film (Bottom Anti-Reflective Coating, BARC) between the photoresist and the substrate to be processed. The method of providing the above has become widely applied.

上記の半導体基板とフォトレジストとの間の下層膜として、シリコンやチタン等の金属元素を含むハードマスクとして知られる膜を使用することが行なわれている。この場合、レジストとハードマスクでは、その構成成分に大きな違いが有るため、それらのドライエッチングによって除去される速度は、ドライエッチングに使用されるガス種に大きく依存する。そして、ガス種を適切に選択することにより、フォトレジストの膜厚の大きな減少を伴うことなく、ハードマスクをドライエッチングによって除去し、パターン加工することが可能となる。このように、近年の半導体装置の製造においては、反射防止効果を初め、さまざまな効果を達成するために、半導体基板とフォトレジストの間にレジスト下層膜が配置されるようになってきている。 As the underlayer film between the semiconductor substrate and the photoresist, a film known as a hard mask containing a metal element such as silicon or titanium is used. In this case, since there is a large difference in the constituent components between the resist and the hard mask, the rate of removal by dry etching thereof largely depends on the gas type used for the dry etching. Then, by appropriately selecting the gas type, the hard mask can be removed by dry etching and pattern processing can be performed without significantly reducing the film thickness of the photoresist. As described above, in the recent manufacture of semiconductor devices, a resist underlayer film has come to be arranged between a semiconductor substrate and a photoresist in order to achieve various effects including an antireflection effect.

近年、半導体最先端デバイスの微細化により、基板上に有機膜のレジスト下層膜、その上にシリコン含有のレジスト下層膜、さらにその上にレジスト層を積層する三層プロセスが用いられている。通常の三層プロセスではドライエッチングによる基板へのダメージが考えられるため、シリコン含有レジスト下層膜を湿式で除去する工程が望まれている。
例えば、架橋性有機基を有するチタンシロキサン樹脂を含有する反射防止膜材料により、該材料より得た膜のウェットストリップによる除去を図った提案がなされている(特許文献1等)。
また、半導体素子の製造において、例えばフォトレジストパターンをマスクとして、半導体基板にn型又はp型の導電型を付与する不純物イオンを導入するイオン注入が採用されている。この場合、上記不純物イオンは、イオン注入装置(イオンドーピング装置)を用い、半導体基板に直接、又は該半導体基板の表面に形成された薄膜を介して注入される。その後、上記フォトレジストパターンは、例えば、硫酸−過酸化水素水によるウェット洗浄、アンモニア水−過酸化水素水によるウェット洗浄、又はアッシングにより除去され、シリコン含有レジスト下層膜も湿式で除去する工程が望まれている。
In recent years, due to the miniaturization of state-of-the-art semiconductor devices, a three-layer process in which a resist underlayer film of an organic film, a resist underlayer film containing silicon on the substrate, and a resist layer on the resist layer is used has been used. Since damage to the substrate due to dry etching can be considered in a normal three-layer process, a step of removing the silicon-containing resist underlayer film by a wet method is desired.
For example, it has been proposed that an antireflection film material containing a titanium siloxane resin having a crosslinkable organic group is used to remove the film obtained from the material by a wet strip (Patent Document 1 and the like).
Further, in the manufacture of a semiconductor element, ion implantation is adopted in which, for example, an impurity ion that imparts an n-type or p-type conductive type to a semiconductor substrate is introduced into a semiconductor substrate using a photoresist pattern as a mask. In this case, the impurity ions are implanted directly into the semiconductor substrate or through a thin film formed on the surface of the semiconductor substrate by using an ion implantation device (ion doping device). After that, the photoresist pattern is removed by, for example, wet cleaning with sulfuric acid-hydrogen peroxide solution, wet cleaning with ammonia water-hydrogen peroxide solution, or ashing, and a step of wetly removing the silicon-containing resist underlayer film is also desired. It is rare.

また、露光波長の短波長化に伴って焦点深度が低下するために、基板上に形成された被膜の平坦化性を向上させることが必要になっている。より微細なデザインが求められる半導体装置を製造するためには、基板上の平坦化技術が重要になっており、例えばレジストの下に形成されるレジスト下層膜を光硬化により形成する方法が開示されている。
例えば、エポキシ基やビニル基等のカチオン重合可能な反応性基を有するケイ素系化合
物と、光カチオン重合開始剤、光ラジカル重合開始剤とを含むレジスト下層膜形成組成物が開示されている(特許文献2参照)。
Further, since the depth of focus decreases as the exposure wavelength becomes shorter, it is necessary to improve the flatness of the film formed on the substrate. In order to manufacture a semiconductor device that requires a finer design, a flattening technique on a substrate is important. For example, a method of forming a resist underlayer film formed under a resist by photocuring is disclosed. ing.
For example, a resist underlayer film forming composition containing a silicon-based compound having a cationically polymerizable reactive group such as an epoxy group or a vinyl group, a photocationic polymerization initiator, and a photoradical polymerization initiator is disclosed (Patent). See Document 2).

特開2007−163846号公報Japanese Unexamined Patent Publication No. 2007-163846 国際公開第2007/066597号International Publication No. 2007/066597

本発明の目的は、半導体装置の製造に用いることができるレジスト下層膜であって、酸素ガスエッチング処理が為された後でも、薬液を用いた湿式法、特に硫酸過酸化水素水溶液(SPM(硫酸と過酸化水素水の混合水溶液))による除去が可能なシリコン含有レジスト下層膜を製造する方法を提供することにある。 An object of the present invention is a resist underlayer film that can be used in the manufacture of semiconductor devices, and is a wet method using a chemical solution even after oxygen gas etching treatment, particularly a hydrogen peroxide aqueous solution (SPM (sulfuric acid)). It is an object of the present invention to provide a method for producing a silicon-containing resist underlayer film that can be removed by a mixed aqueous solution of hydrogen peroxide solution)).

本発明者らは上記課題を解決するため鋭意検討を行った結果、2以上のヒドロキシ基を有する有機基を含むシロキサン単位とアセトキシ基を有する有機基を含むシロキサン単位とを含むポリシロキサンより得られる光硬化膜が、酸素ガスエッチング処理後においても、薬液を用いた湿式法による除去が可能となることを見出し、本発明を完成させた。 As a result of diligent studies to solve the above problems, the present inventors can obtain a polysiloxane containing a siloxane unit containing an organic group having two or more hydroxy groups and a siloxane unit containing an organic group having an acetoxy group. We have found that the photocured film can be removed by a wet method using a chemical solution even after the oxygen gas etching treatment, and completed the present invention.

すなわち本発明は、第1観点として、酸素ガスによるドライエッチング処理後に、硫酸過酸化水素水溶液によって除去可能であるシリコン含有レジスト下層膜の製造方法であって、
(A)2つ以上のヒドロキシ基を有する有機基を含むシロキサン単位と、アセトキシ基を有する有機基を含むシロキサン単位とを含む、加水分解性シランの加水分解縮合物
を含有するレジスト下層膜形成組成物の塗布膜を、紫外線で硬化させる工程
を含む、シリコン含有レジスト下層膜の製造方法に関する。
第2観点として、上記レジスト下層膜形成組成物が、
(B)架橋性化合物、及び
(C)酸及び酸発生剤の少なくともいずれか一方
を含有する、第1観点に記載のシリコン含有レジスト下層膜の製造方法に関する。
第3観点として、上記硫酸過酸化水素水溶液が、硫酸及び過酸化水素を、硫酸/過酸化水素=85〜95wt%/1〜10wt%の割合で含む、第1観点又は第2観点に記載のシリコン含有レジスト下層膜の製造方法に関する。
That is, the present invention is, as a first aspect, a method for producing a silicon-containing resist underlayer film that can be removed by an aqueous solution of hydrogen peroxide after dry etching with oxygen gas.
(A) A resist underlayer film forming composition containing a hydrolysis condensate of hydrolyzable silane, which comprises a siloxane unit containing an organic group having two or more hydroxy groups and a siloxane unit containing an organic group having an acetoxy group. The present invention relates to a method for producing a silicon-containing resist underlayer film, which comprises a step of curing a coating film of an object with ultraviolet rays.
As a second aspect, the resist underlayer film forming composition is
The present invention relates to the method for producing a silicon-containing resist underlayer film according to the first aspect, which comprises (B) a crosslinkable compound and (C) at least one of an acid and an acid generator.
As a third aspect, according to the first aspect or the second aspect, the aqueous hydrogen peroxide solution contains sulfuric acid and hydrogen peroxide in a ratio of sulfuric acid / hydrogen peroxide = 85-95 wt% / 1-10 wt%. The present invention relates to a method for producing a silicon-containing resist underlayer film.

本発明によれば、本発明が製造対象とするレジスト下層膜は、加水分解性シランの加水分解縮合物として、2つ以上のヒドロキシ基を有する有機基を含むシロキサン単位と、アセトキシ基を有する有機基を含むシロキサン単位とを含む、加水分解縮合物を含み、さらに架橋性化合物と酸等を含み得る組成物より形成される。
従来、シリコン含有レジスト下層膜に用いられてきたテトラエトキシシラン等の4官能性シランと3官能性シランの共加水分解縮合物(ポリシロキサン)は、主にシラノール基同士の架橋構造の形成により、レジスト下層膜が上部に上塗りされるレジスト組成物とのインターミキシングを起こすことはないという利点はあるものの、その後に下層や基板を加工した後に薬液、例えばSPM(硫酸と過酸化水素水の混合水溶液)による除去は困難であった。
本発明では、レジスト下層膜の製造において2つ以上のヒドロキシ基とアセトキシ基を有する上記加水分解縮合物を用いることで、ヒドロキシ基同士で、又はヒドロキシ基とシラノール基の間で、又はヒドロキシル基と架橋性化合物との間で架橋構造を形成する。そ
のため、レジスト下層膜の上部に上塗りされるレジスト組成物によるインターミキシングを起こすことがないだけでなく、その後に下層を加工した後、特にレジスト下層膜を酸素ガスによるドライエッチング処理を施した後において、SPM(硫酸と過酸化水素水の混合水溶液)による除去を可能とすることができる。そのため、従来、レジスト下層膜を基板から除去する際、フッ素系ガスによるドライエッチングにより生じていた基板のダメージを低減することができる。
また本発明にあっては、上記の特定の有機基を有する加水分解縮合物を用いることにより、光硬化させることが可能であり、上記加水分解縮合物を光硬化させてレジスト下層膜(硬化膜)を得る。そのため、従来、レジスト下層膜の製造にあたり高温焼成させた場合に生じ得る、レジスト下層膜の下層に存在し得る有機下層膜の平坦化を悪化させることがなく、平坦化性の高い有機下層膜上に平坦化性の高いシリコン含有レジスト下層膜を形成でき、そしてその上層にレジスト膜を被覆することで、層界面の乱反射抑制や、エッチング後の段差発生を抑制することができる。
According to the present invention, the resist underlayer film to be produced by the present invention has a siloxane unit containing an organic group having two or more hydroxy groups and an organic having an acetoxy group as a hydrolyzable condensate of hydrolyzable silane. It is formed from a composition containing a hydrolyzed condensate containing a siloxane unit containing a group, and further containing a crosslinkable compound and an acid or the like.
Conventionally, a co-hydrolysis condensate (polysiloxane) of a tetrafunctional silane such as tetraethoxysilane and a trifunctional silane used for a silicon-containing resist underlayer film is mainly produced by forming a crosslinked structure between silanol groups. Although the resist underlayer film has the advantage of not intermixing with the resist composition overcoated on top, it is subsequently processed with a chemical solution such as SPM (mixed aqueous solution of sulfuric acid and hydrogen peroxide solution) after processing the underlayer or substrate. ) Was difficult to remove.
In the present invention, by using the above-mentioned hydrolysis condensate having two or more hydroxy groups and acetoxy groups in the production of the underlayer film of the resist, the hydroxy groups can be used with each other, or between the hydroxy groups and the silanol groups, or with the hydroxyl groups. It forms a crosslinked structure with a crosslinkable compound. Therefore, not only does intermixing with the resist composition overcoated on the upper part of the resist underlayer film not occur, but also after the lower layer is processed, especially after the resist underlayer film is dry-etched with oxygen gas. , SPM (mixed aqueous solution of sulfuric acid and hydrogen peroxide solution) can be used for removal. Therefore, it is possible to reduce the damage to the substrate caused by dry etching with a fluorine-based gas when the resist underlayer film is conventionally removed from the substrate.
Further, in the present invention, it is possible to photo-cure the hydrolyzed condensate having the above-mentioned specific organic group, and the hydrolyzed condensate is photo-cured to form a resist underlayer film (cured film). ). Therefore, conventionally, the flattening of the organic underlayer film that may exist in the lower layer of the resist underlayer film, which may occur when the resist underlayer film is manufactured at a high temperature, is not deteriorated, and the flattening property is on the organic underlayer film. By forming a highly flattening silicon-containing resist underlayer film and coating the resist film on the upper layer, it is possible to suppress diffused reflection at the layer interface and suppress the generation of steps after etching.

本発明は、酸素ガスによるドライエッチング処理後に、湿式除去可能、特に硫酸過酸化水素水溶液によって除去可能であるシリコン含有レジスト下層膜の製造方法に関する。 The present invention relates to a method for producing a silicon-containing resist underlayer film that can be wet-removed, particularly can be removed by an aqueous solution of hydrogen peroxide after dry etching with oxygen gas.

[シリコン含有レジスト下層膜の製造方法]
本発明が適用される、酸素ガスによるドライエッチング処理後に、硫酸過酸化水素水溶液による湿式除去が可能であるシリコン含有レジスト下層膜は、(A)加水分解性シランの加水分解縮合物(ポリシロキサン)を含有するレジスト下層膜形成組成物の塗布膜を、紫外線で硬化させる工程を含みて製造される。すなわち、該シリコン含有レジスト下層膜は、該レジスト下層膜形成組成物の塗布膜を紫外線照射により硬化させた紫外線(UV)硬化膜である。
上記レジスト下層膜形成組成物は、さらに(B)架橋性化合物、(C)酸及び酸発生剤の少なくともいずれか一方を含有する。
[Manufacturing method of silicon-containing resist underlayer film]
After the dry etching treatment with oxygen gas to which the present invention is applied, the silicon-containing resist underlayer film that can be wet-removed with an aqueous solution of hydrogen peroxide is (A) a hydrolyzed condensate of hydrolyzable silane (polysiloxane). The coating film of the resist underlayer film forming composition containing the above-mentioned material is produced by including a step of curing with ultraviolet rays. That is, the silicon-containing resist underlayer film is an ultraviolet (UV) cured film obtained by curing the coating film of the resist underlayer film forming composition by ultraviolet irradiation.
The resist underlayer film forming composition further contains at least one of (B) a crosslinkable compound, (C) an acid and an acid generator.

〔レジスト下層膜形成組成物〕
(A)加水分解性シランの加水分解縮合物(ポリシロキサン)
本発明で使用する(A)加水分解縮合物は、2つ以上のヒドロキシ基を有する有機基を含むシロキサン単位と、アセトキシ基を有する有機基を含むシロキサン単位とを含む加水分解縮合物である。
[Resist Underlayer Film Forming Composition]
(A) Hydrolyzed condensate of hydrolyzable silane (polysiloxane)
The (A) hydrolyzed condensate used in the present invention is a hydrolyzed condensate containing a siloxane unit containing an organic group having two or more hydroxy groups and a siloxane unit containing an organic group having an acetoxy group.

上記(A)加水分解縮合物は、2つ以上のヒドロキシ基を有する有機基を含む加水分解性シランと、アセトキシ基を有する有機基を含む加水分解性シランとを含む、加水分解性シラン混合物の加水分解縮合物とすることができる。
あるいは、(A)加水分解縮合物は、エポキシ基を有する有機基を含むシロキサン単位と、アセトキシ基を有する有機基を含むシロキサン単位とを含む加水分解縮合物(a)を、無機酸又はカチオン交換樹脂により、該エポキシ基を開環させることにより得られる、加水分解縮合物とすることができる。上記加水分解縮合物(a)は、エポキシ基を有する有機基を含む加水分解性シランと、アセトキシ基を有する有機基を含む加水分解性シランとを含む、加水分解性シラン混合物の加水分解縮合物とすることができる。
(A)加水分解縮合物の安定性に加え、加水分解縮合反応時にゲル化等が生じることによる反応の進行阻害等の難点も考慮すると、(A)加水分解縮合物は、加水分解縮合物(a)を経て得られる加水分解縮合物であることが好ましい。
本発明において、「加水分解縮合物」には、縮合が完全に完了した縮合物であるポリオルガノシロキサンポリマーだけでなく、縮合が完全に完了しない部分加水分解縮合物であるポリオルガノシロキサンポリマーも包含される。このような部分加水分解縮合物も、縮合が完全に完了した縮合物と同様、加水分解性シラン化合物の加水分解及び縮合によって
得られたポリマーであるが、部分的に加水分解で止まり、縮合しておらず、それ故、Si−OH基が残存しているものである。また上記レジスト下層膜形成組成物は、上記加水分解縮合物の他に、未縮合の加水分解物(完全加水分解物、部分加水分解物)や、モノマー(加水分解性シラン化合物)が残存していてもよい。なお本明細書において、「加水分解性シラン」を単に「シラン化合物」とも称することがある。
The hydrolyzed condensate (A) is a hydrolyzable silane mixture containing a hydrolyzable silane containing an organic group having two or more hydroxy groups and a hydrolyzable silane containing an organic group having an acetoxy group. It can be a hydrolyzed condensate.
Alternatively, (A) the hydrolyzed condensate is an inorganic acid or cation exchange of the hydrolyzed condensate (a) containing an organic group having an epoxy group and a siloxane unit containing an organic group having an acetoxy group. The resin can be used as a hydrolyzed condensate obtained by opening the ring of the epoxy group. The hydrolyzed condensate (a) is a hydrolyzed condensate of a hydrolyzable silane mixture containing a hydrolyzable silane containing an organic group having an epoxy group and a hydrolyzable silane containing an organic group having an acetoxy group. Can be.
In addition to the stability of the (A) hydrolyzed condensate, the (A) hydrolyzed condensate is a hydrolyzed condensate (A), considering the drawbacks such as inhibition of the progress of the reaction due to gelation during the hydrolyzed condensation reaction. It is preferably a hydrolyzed condensate obtained through a).
In the present invention, the "hydrolyzed condensate" includes not only a polyorganosiloxane polymer which is a condensate whose condensation is completely completed but also a polyorganosiloxane polymer which is a partially hydrolyzed condensate whose condensation is not completely completed. Will be done. Such a partially hydrolyzed condensate is also a polymer obtained by hydrolysis and condensation of a hydrolyzable silane compound, like the condensate in which condensation is completely completed, but it partially stops at hydrolysis and condenses. Therefore, the Si—OH group remains. Further, in the resist underlayer film forming composition, in addition to the above-mentioned hydrolyzed condensate, an uncondensed hydrolyzate (completely hydrolyzed product, partially hydrolyzed product) and a monomer (hydrolyzable silane compound) remain. May be. In the present specification, "hydrolyzable silane" may be simply referred to as "silane compound".

また、後述するように、エポキシ基を有する有機基を含む加水分解性シランは、上記加水分解シラン混合物に含まれる全加水分解性シランの全モル数(100モル%)に基づいて、10モル%〜90モル%の割合で含むことが好ましい。
エポキシ基を有する有機基を含む加水分解性シランの割合を、10モル%以上とすることにより、上塗りレジスト組成物に対する耐インターミキシング性を確保することができる。インターミキシングとは上層の組成物を下層膜上に塗布した時に下層膜が溶解して下層膜と上層組成物が層混合を生じることで、好ましくない現象である。
また、エポキシ基を有する有機基を含む加水分解性シランの割合を、90モル%以下とすることにより、光学物性、耐ドライエッチング性を確保することができる。
Further, as described later, the hydrolyzable silane containing an organic group having an epoxy group is 10 mol% based on the total number of moles (100 mol%) of the total hydrolyzable silane contained in the hydrolyzed silane mixture. It is preferably contained in a proportion of ~ 90 mol%.
By setting the proportion of the hydrolyzable silane containing an organic group having an epoxy group to 10 mol% or more, intermixing resistance to the topcoat resist composition can be ensured. Intermixing is an unfavorable phenomenon because when the upper layer composition is applied onto the lower layer film, the lower layer film dissolves and the lower layer film and the upper layer composition cause layer mixing.
Further, by setting the ratio of the hydrolyzable silane containing an organic group having an epoxy group to 90 mol% or less, optical physical characteristics and dry etching resistance can be ensured.

(a)加水分解縮合物は、例えば下記式(1−a)で表されるエポキシ基を有する有機基を含む加水分解性シランと、式(1−b)で表されるアセトキシ基を有する有機基を含む加水分解性シランとを含む、加水分解性シラン混合物の加水分解縮合物とすることができる。 The hydrolyzed condensate is, for example, a hydrolyzable silane containing an organic group having an epoxy group represented by the following formula (1-a) and an organic having an acetoxy group represented by the formula (1-b). It can be a hydrolyzed condensate of a hydrolyzable silane mixture containing a hydrolyzable silane containing a group.

Figure 2021189314
式(1−a)中、
1aは、ケイ素原子に結合する基であって、エポキシ基を含む有機基を表し、
2aは、Si−C結合によりケイ素原子に結合する基であって、互いに独立して、置換されていてもよいアルキル基、置換されていてもよいアリール基、置換されていてもよいアラルキル基、置換されていてもよいハロゲン化アルキル基、置換されていてもよいハロゲン化アリール基、置換されていてもよいハロゲン化アラルキル基、置換されていてもよいアルコキシアルキル基、置換されていてもよいアルコキシアリール基、置換されていてもよいアルコキシアラルキル基、若しくは置換されていてもよいアルケニル基を表すか、又はアクリロイル基、メタクリロイル基、メルカプト基、アミノ基、アミド基、アルコキシ基、スルホニル基、若しくはシアノ基を含む有機基、又はそれらの組み合わせを表し、R3aは、ケイ素原子に結合する基又は原子であって、互いに独立して、ヒドロキシ基、アルコキシ基、アラルキルオキシ基、アシルオキシ基又はハロゲン原子を表し、
a1は1を表し、b1は0〜2の整数を表し、4−(a1+b1)は1〜3の整数を表す。
また式(1−b)中、
1bは、ケイ素原子に結合する基であって、アセトキシ基を含む有機基を表し、
2bは、Si−C結合によりケイ素原子に結合する基であって、互いに独立して、置換されていてもよいアルキル基、置換されていてもよいアリール基、置換されていてもよいアラルキル基、置換されていてもよいハロゲン化アルキル基、置換されていてもよいハロ
ゲン化アリール基、置換されていてもよいハロゲン化アラルキル基、置換されていてもよいアルコキシアルキル基、置換されていてもよいアルコキシアリール基、置換されていてもよいアルコキシアラルキル基、若しくは置換されていてもよいアルケニル基を表すか、又はアクリロイル基、メタクリロイル基、メルカプト基、アミノ基、アミド基、アルコキシ基、スルホニル基、若しくはシアノ基を含む有機基、又はそれらの組み合わせを表し、R3bは、ケイ素原子に結合する基又は原子であって、互いに独立して、ヒドロキシ基、アルコキシ基、アラルキルオキシ基、アシルオキシ基又はハロゲン原子を表し、
a2は1を表し、b2は0〜2の整数を表し、(4−a2+b2)は1〜3の整数を表す。
Figure 2021189314
In equation (1-a),
R 1a is a group bonded to a silicon atom and represents an organic group containing an epoxy group.
R 2a is a group that is bonded to a silicon atom by a Si—C bond, and is an alkyl group that may be substituted, an aryl group that may be substituted, or an aralkyl group that may be substituted independently of each other. , A optionally substituted alkyl halide group, an optionally substituted aryl halide group, an optionally substituted aralkyl halide group, an optionally substituted alkoxyalkyl group, optionally substituted. Represents an alkoxyaryl group, an optionally substituted alkoxyaralkyl group, or an optionally substituted alkenyl group, or an acryloyl group, a methacryloyl group, a mercapto group, an amino group, an amide group, an alkoxy group, a sulfonyl group, or Representing an organic group containing a cyano group or a combination thereof, R 3a is a group or atom bonded to a silicon atom, and independently of each other, a hydroxy group, an alkoxy group, an aralkyloxy group, an acyloxy group or a halogen atom. Represents
a1 represents 1 and b1 represents an integer of 0 to 2, and 4- (a1 + b1) represents an integer of 1 to 3.
Also, in equation (1-b),
R 1b is a group bonded to a silicon atom and represents an organic group containing an acetoxy group.
R 2b is a group bonded to a silicon atom by a Si—C bond, and is an alkyl group which may be substituted independently of each other, an aryl group which may be substituted, and an aralkyl group which may be substituted. , A optionally substituted alkyl halide group, an optionally substituted aryl halide group, an optionally substituted aralkyl halide group, an optionally substituted alkoxyalkyl group, optionally substituted. Represents an alkoxyaryl group, an optionally substituted alkoxyaralkyl group, or an optionally substituted alkenyl group, or an acryloyl group, a methacryloyl group, a mercapto group, an amino group, an amide group, an alkoxy group, a sulfonyl group, or Representing an organic group containing a cyano group or a combination thereof, R 3b is a group or atom bonded to a silicon atom, and independently of each other, a hydroxy group, an alkoxy group, an aralkyloxy group, an acyloxy group or a halogen atom. Represents
a2 represents 1 and b2 represents an integer of 0 to 2, and (4-a2 + b2) represents an integer of 1 to 3.

1aはエポキシ基を含む有機基である限り特に限定されるものではない。例えば、エポキシ基それ自体、また特にアルキル基等における1以上の水素原子がエポキシ基、グリシジル基、グリシジルオキシ基(グリシドキシ基)で置換された有機基を挙げることができる。
またR1bはアセトキシ基(CHCOO−)を含む有機基である限り特に限定されず、例えばアルキル基等における1以上の水素原子がアセトキシ基で置換された有機基を挙げることができる。
R 1a is not particularly limited as long as it is an organic group containing an epoxy group. For example, an organic group in which one or more hydrogen atoms in the epoxy group itself, particularly in an alkyl group or the like, is substituted with an epoxy group, a glycidyl group, or a glycidyloxy group (glycidoxy group) can be mentioned.
Further, R 1b is not particularly limited as long as it is an organic group containing an acetoxy group (CH 3 COO−), and examples thereof include an organic group in which one or more hydrogen atoms in an alkyl group or the like are substituted with an acetoxy group.

上記エポキシ基やアセトキシ基によって水素原子が置換されるアルキル基は特に限定されるものではなく、直鎖状、分岐状、環状のいずれであってもよく、その炭素原子数は、通常40以下、例えば30以下、より例えば20以下、また10以下とすることができる。
上記のエポキシ基やアセトキシ基によって水素原子が置換され得る直鎖状又は分岐状アルキル基の具体例としては、メチル基、エチル基、n−プロピル基、i−プロピル基、n−ブチル基、i−ブチル基、s−ブチル基、t−ブチル基、n−ペンチル基、1−メチル−n−ブチル基、2−メチル−n−ブチル基、3−メチル−n−ブチル基、1,1−ジメチル−n−プロピル基、1,2−ジメチル−n−プロピル基、2,2−ジメチル−n−プロピル基、1−エチル−n−プロピル基、n−ヘキシル、1−メチル−n−ペンチル基、2−メチル−n−ペンチル基、3−メチル−n−ペンチル基、4−メチル−n−ペンチル基、1,1−ジメチル−n−ブチル基、1,2−ジメチル−n−ブチル基、1,3−ジメチル−n−ブチル基、2,2−ジメチル−n−ブチル基、2,3−ジメチル−n−ブチル基、3,3−ジメチル−n−ブチル基、1−エチル−n−ブチル基、2−エチル−n−ブチル基、1,1,2−トリメチル−n−プロピル基、1,2,2−トリメチル−n−プロピル基、1−エチル−1−メチル−n−プロピル基、1−エチル−2−メチル−n−プロピル基等が挙げられるが、これらに限定されない。
また上記のエポキシ基やアセトキシ基によって水素原子が置換され得る環状アルキル基の具体例としては、シクロプロピル基、シクロブチル基、1−メチル−シクロプロピル基、2−メチル−シクロプロピル基、シクロペンチル基、1−メチル−シクロブチル基、2−メチル−シクロブチル基、3−メチル−シクロブチル基、1,2−ジメチル−シクロプロピル基、2,3−ジメチル−シクロプロピル基、1−エチル−シクロプロピル基、2−エチル−シクロプロピル基、シクロヘキシル基、1−メチル−シクロペンチル基、2−メチル−シクロペンチル基、3−メチル−シクロペンチル基、1−エチル−シクロブチル基、2−エチル−シクロブチル基、3−エチル−シクロブチル基、1,2−ジメチル−シクロブチル基、1,3−ジメチル−シクロブチル基、2,2−ジメチル−シクロブチル基、2,3−ジメチル−シクロブチル基、2,4−ジメチル−シクロブチル基、3,3−ジメチル−シクロブチル基、1−n−プロピル−シクロプロピル基、2−n−プロピル−シクロプロピル基、1−i−プロピル−シクロプロピル基、2−i−プロピル−シクロプロピル基、1,2,2−トリメチル−シクロプロピル基、1,2,3−トリメチル−シクロプロピル基、2,2,3−トリメチル−シクロプロピル基、1−エチル−2−メチル−シクロプロピル基、2−エチル−1−メチル−シクロプロピル基、2−エチル−2−メチル−
シクロプロピル、2−エチル−3−メチル−シクロプロピル基等のシクロアルキル基、ビシクロブチル基、ビシクロペンチル基、ビシクロヘキシル基、ビシクロヘプチル基、ビシクロオクチル基、ビシクロノニル基、ビシクロデシル基等のビシクロアルキル基等が挙げられるが、これらに限定されない。
また、フェニル基、ベンジル基、フェニルプロピル基、メチルフェニル基、エチルフェニル基、ジ(メチル)フェニル基、ジ(エチル)フェニル基、メチルベンジル基等のアリール基や、アラルキル基、アルキル置換アリール基、アルキル置換アラルキル基等の芳香族環含有基における芳香族環上の水素原子やアルキル基上の水素原子が、上記エポキシ基やアセトキシ基によって置換されていてもよい。
The alkyl group in which the hydrogen atom is substituted by the epoxy group or acetoxy group is not particularly limited, and may be linear, branched or cyclic, and the number of carbon atoms thereof is usually 40 or less. For example, it can be 30 or less, more for example, 20 or less, and 10 or less.
Specific examples of the linear or branched alkyl group in which the hydrogen atom can be replaced by the above epoxy group or acetoxy group include a methyl group, an ethyl group, an n-propyl group, an i-propyl group, an n-butyl group, and i. -Butyl group, s-butyl group, t-butyl group, n-pentyl group, 1-methyl-n-butyl group, 2-methyl-n-butyl group, 3-methyl-n-butyl group, 1,1- Dimethyl-n-propyl group, 1,2-dimethyl-n-propyl group, 2,2-dimethyl-n-propyl group, 1-ethyl-n-propyl group, n-hexyl, 1-methyl-n-pentyl group , 2-Methyl-n-pentyl group, 3-methyl-n-pentyl group, 4-methyl-n-pentyl group, 1,1-dimethyl-n-butyl group, 1,2-dimethyl-n-butyl group, 1,3-dimethyl-n-butyl group, 2,2-dimethyl-n-butyl group, 2,3-dimethyl-n-butyl group, 3,3-dimethyl-n-butyl group, 1-ethyl-n- Butyl group, 2-ethyl-n-butyl group, 1,1,2-trimethyl-n-propyl group, 1,2,2-trimethyl-n-propyl group, 1-ethyl-1-methyl-n-propyl group , 1-ethyl-2-methyl-n-propyl group and the like, but are not limited thereto.
Specific examples of the cyclic alkyl group in which the hydrogen atom can be replaced by the above-mentioned epoxy group or acetoxy group include cyclopropyl group, cyclobutyl group, 1-methyl-cyclopropyl group, 2-methyl-cyclopropyl group and cyclopentyl group. 1-methyl-cyclobutyl group, 2-methyl-cyclobutyl group, 3-methyl-cyclobutyl group, 1,2-dimethyl-cyclopropyl group, 2,3-dimethyl-cyclopropyl group, 1-ethyl-cyclopropyl group, 2 -Ethyl-cyclopropyl group, cyclohexyl group, 1-methyl-cyclopentyl group, 2-methyl-cyclopentyl group, 3-methyl-cyclopentyl group, 1-ethyl-cyclobutyl group, 2-ethyl-cyclobutyl group, 3-ethyl-cyclobutyl group Group, 1,2-dimethyl-cyclobutyl group, 1,3-dimethyl-cyclobutyl group, 2,2-dimethyl-cyclobutyl group, 2,3-dimethyl-cyclobutyl group, 2,4-dimethyl-cyclobutyl group, 3,3 -Dimethyl-cyclobutyl group, 1-n-propyl-cyclopropyl group, 2-n-propyl-cyclopropyl group, 1-i-propyl-cyclopropyl group, 2-i-propyl-cyclopropyl group, 1,2, 2-trimethyl-cyclopropyl group, 1,2,3-trimethyl-cyclopropyl group, 2,2,3-trimethyl-cyclopropyl group, 1-ethyl-2-methyl-cyclopropyl group, 2-ethyl-1- Methyl-cyclopropyl group, 2-ethyl-2-methyl-
Cycloalkyl group such as cyclopropyl, 2-ethyl-3-methyl-cyclopropyl group, bicyclobutyl group, bicyclopentyl group, bicyclohexyl group, bicycloheptyl group, bicyclooctyl group, bicyclononyl group, bicyclodecyl group and the like Examples thereof include, but are not limited to, alkyl groups.
Further, an aryl group such as a phenyl group, a benzyl group, a phenylpropyl group, a methylphenyl group, an ethylphenyl group, a di (methyl) phenyl group, a di (ethyl) phenyl group and a methylbenzyl group, an aralkyl group and an alkyl-substituted aryl group. , The hydrogen atom on the aromatic ring or the hydrogen atom on the alkyl group in the aromatic ring-containing group such as the alkyl-substituted aralkyl group may be substituted with the epoxy group or the acetoxy group.

上記の中でも、R1aとしては、エポキシ基、グリシジル基、グリシドキシメチル基、グリシドキシエチル基、グリシドキシプロピル基、グリシドキシブチル基、エポキシシクロヘキシル基、エポキシシクロヘキシルメチル基、エポキシシクロヘキシルエチル基等が挙げられるが、これらに限定されない。
またR1bとしては、アセトキシメチル基、アセトキシエチル基、アセトキシプロピル基、アセトキシブチル基等が挙げられるが、これらに限定されない。
Among the above, R 1a includes an epoxy group, a glycidyl group, a glycidoxymethyl group, a glycidoxyethyl group, a glycidoxypropyl group, a glycidoxybutyl group, an epoxycyclohexyl group, an epoxycyclohexylmethyl group, and an epoxycyclohexyl. Examples thereof include, but are not limited to, ethyl groups.
Further, examples of R 1b include, but are not limited to, an acetoxymethyl group, an acetoxyethyl group, an acetoxypropyl group, an acetoxybutyl group, and the like.

式(1−a)中のR2a、式(1−b)中のR2bは、それぞれ、Si−C結合によりケイ素原子に結合する基であって、互いに独立して、置換されていてもよいアルキル基、置換されていてもよいアリール基、置換されていてもよいアラルキル基、置換されていてもよいハロゲン化アルキル基、置換されていてもよいハロゲン化アリール基、置換されていてもよいハロゲン化アラルキル基、置換されていてもよいアルコキシアルキル基、置換されていてもよいアルコキシアリール基、置換されていてもよいアルコキシアラルキル基、若しくは置換されていてもよいアルケニル基を表すか、又はアクリロイル基、メタクリロイル基、メルカプト基、アミノ基、アミド基、アルコキシ基、スルホニル基、若しくはシアノ基を含む有機基、又はそれらの組み合わせを表す。 R 2a in the formula (1-a), R 2b in the formula (1-b), respectively, a group bonded to the silicon atom by Si-C bond, independently of one another, be substituted A good alkyl group, an optionally substituted aryl group, an optionally substituted aralkyl group, an optionally substituted alkyl halide group, an optionally substituted aryl halide group, optionally substituted. Represents a halogenated aralkyl group, an optionally substituted alkoxyalkyl group, an optionally substituted alkoxyaryl group, an optionally substituted alkoxyaralkyl group, or an optionally substituted alkenyl group, or acryloyl. Represents a group, a methacryloyl group, a mercapto group, an amino group, an amide group, an alkoxy group, a sulfonyl group, an organic group containing a cyano group, or a combination thereof.

上記アルキル基として、例えば直鎖又は分枝を有する炭素原子数1乃至10のアルキル基が挙げられ、例えばメチル基、エチル基、n−プロピル基、i−プロピル基、n−ブチル基、i−ブチル基、s−ブチル基、t−ブチル基、n−ペンチル基、1−メチル−n−ブチル基、2−メチル−n−ブチル基、3−メチル−n−ブチル基、1,1−ジメチル−n−プロピル基、1,2−ジメチル−n−プロピル基、2,2−ジメチル−n−プロピル基、1−エチル−n−プロピル基、n−ヘキシル基、1−メチル−n−ペンチル基、2−メチル−n−ペンチル基、3−メチル−n−ペンチル基、4−メチル−n−ペンチル基、1,1−ジメチル−n−ブチル基、1,2−ジメチル−n−ブチル基、1,3−ジメチル−n−ブチル基、2,2−ジメチル−n−ブチル基、2,3−ジメチル−n−ブチル基、3,3−ジメチル−n−ブチル基、1−エチル−n−ブチル基、2−エチル−n−ブチル基、1,1,2−トリメチル−n−プロピル基、1,2,2−トリメチル−n−プロピル基、1−エチル−1−メチル−n−プロピル基及び1−エチル−2−メチル−n−プロピル基等が挙げられる。
また環状アルキル基を用いることもでき、例えば炭素原子数3乃至10の環状アルキル基として、シクロプロピル基、シクロブチル基、1−メチル−シクロプロピル基、2−メチル−シクロプロピル基、シクロペンチル基、1−メチル−シクロブチル基、2−メチル−シクロブチル基、3−メチル−シクロブチル基、1,2−ジメチル−シクロプロピル基、2,3−ジメチル−シクロプロピル基、1−エチル−シクロプロピル基、2−エチル−シクロプロピル基、シクロヘキシル基、1−メチル−シクロペンチル基、2−メチル−シクロペンチル基、3−メチル−シクロペンチル基、1−エチル−シクロブチル基、2−エチル−シクロブチル基、3−エチル−シクロブチル基、1,2−ジメチル−シクロブチル基、1,3−ジメチル−シクロブチル基、2,2−ジメチル−シクロブチル基、2,3−ジメチル−シクロブチル基、2,4−ジメチル−シクロブチル基、3,3−ジメチル−シ
クロブチル基、1−n−プロピル−シクロプロピル基、2−n−プロピル−シクロプロピル基、1−i−プロピル−シクロプロピル基、2−i−プロピル−シクロプロピル基、1,2,2−トリメチル−シクロプロピル基、1,2,3−トリメチル−シクロプロピル基、2,2,3−トリメチル−シクロプロピル基、1−エチル−2−メチル−シクロプロピル基、2−エチル−1−メチル−シクロプロピル基、2−エチル−2−メチル−シクロプロピル基及び2−エチル−3−メチル−シクロプロピル基等が挙げられる。
Examples of the alkyl group include linear or branched alkyl groups having 1 to 10 carbon atoms, such as methyl group, ethyl group, n-propyl group, i-propyl group, n-butyl group and i-. Butyl group, s-butyl group, t-butyl group, n-pentyl group, 1-methyl-n-butyl group, 2-methyl-n-butyl group, 3-methyl-n-butyl group, 1,1-dimethyl -N-propyl group, 1,2-dimethyl-n-propyl group, 2,2-dimethyl-n-propyl group, 1-ethyl-n-propyl group, n-hexyl group, 1-methyl-n-pentyl group , 2-Methyl-n-pentyl group, 3-methyl-n-pentyl group, 4-methyl-n-pentyl group, 1,1-dimethyl-n-butyl group, 1,2-dimethyl-n-butyl group, 1,3-dimethyl-n-butyl group, 2,2-dimethyl-n-butyl group, 2,3-dimethyl-n-butyl group, 3,3-dimethyl-n-butyl group, 1-ethyl-n- Butyl group, 2-ethyl-n-butyl group, 1,1,2-trimethyl-n-propyl group, 1,2,2-trimethyl-n-propyl group, 1-ethyl-1-methyl-n-propyl group And 1-ethyl-2-methyl-n-propyl group and the like.
Cyclic alkyl groups can also be used. For example, as cyclic alkyl groups having 3 to 10 carbon atoms, cyclopropyl group, cyclobutyl group, 1-methyl-cyclopropyl group, 2-methyl-cyclopropyl group, cyclopentyl group, 1 -Methyl-cyclobutyl group, 2-methyl-cyclobutyl group, 3-methyl-cyclobutyl group, 1,2-dimethyl-cyclopropyl group, 2,3-dimethyl-cyclopropyl group, 1-ethyl-cyclopropyl group, 2- Ethyl-cyclopropyl group, cyclohexyl group, 1-methyl-cyclopentyl group, 2-methyl-cyclopentyl group, 3-methyl-cyclopentyl group, 1-ethyl-cyclobutyl group, 2-ethyl-cyclobutyl group, 3-ethyl-cyclobutyl group , 1,2-dimethyl-cyclobutyl group, 1,3-dimethyl-cyclobutyl group, 2,2-dimethyl-cyclobutyl group, 2,3-dimethyl-cyclobutyl group, 2,4-dimethyl-cyclobutyl group, 3,3- Dimethyl-cyclobutyl group, 1-n-propyl-cyclopropyl group, 2-n-propyl-cyclopropyl group, 1-i-propyl-cyclopropyl group, 2-i-propyl-cyclopropyl group, 1,2,2 -Trimethyl-Cyclopropyl group, 1,2,3-trimethyl-cyclopropyl group, 2,2,3-trimethyl-cyclopropyl group, 1-ethyl-2-methyl-cyclopropyl group, 2-ethyl-1-methyl Examples thereof include -cyclopropyl group, 2-ethyl-2-methyl-cyclopropyl group and 2-ethyl-3-methyl-cyclopropyl group.

アリール基としては、炭素原子数6乃至20のアリール基が挙げられ、例えばフェニル基、o−メチルフェニル基、m−メチルフェニル基、p−メチルフェニル基、o−クロロフェニル基、m−クロロフェニル基、p−クロロフェニル基、o−フルオロフェニル基、p−メルカプトフェニル基、o−メトキシフェニル基、p−メトキシフェニル基、p−アミノフェニル基、p−シアノフェニル基、α−ナフチル基、β−ナフチル基、o−ビフェニリル基、m−ビフェニリル基、p−ビフェニリル基、1−アントリル基、2−アントリル基、9−アントリル基、1−フェナントリル基、2−フェナントリル基、3−フェナントリル基、4−フェナントリル基及び9−フェナントリル基等が挙げられる。 Examples of the aryl group include an aryl group having 6 to 20 carbon atoms, for example, a phenyl group, an o-methylphenyl group, an m-methylphenyl group, a p-methylphenyl group, an o-chlorophenyl group, an m-chlorophenyl group, and the like. p-chlorophenyl group, o-fluorophenyl group, p-mercaptophenyl group, o-methoxyphenyl group, p-methoxyphenyl group, p-aminophenyl group, p-cyanophenyl group, α-naphthyl group, β-naphthyl group , O-Biphenylyl group, m-Biphenylyl group, p-Biphenylyl group, 1-anthryl group, 2-anthryl group, 9-anthryl group, 1-phenanthryl group, 2-phenanthril group, 3-phenylentril group, 4-phenylentril group And 9-Phenyltril group and the like.

アラルキル基は、アリール基により置換されたアルキル基であり、このようなアリール基及びアルキル基の具体例としては、上述したものと同じものが挙げられる。
アラルキル基の炭素原子数は、特に限定されるものではないが、好ましくは40以下、より好ましくは30以下、より一層好ましくは20以下である。
アラルキル基の具体例としては、例えばフェニルメチル基(ベンジル基)、2−フェニルエチレン基、3−フェニル−n−プロピル基、4−フェニル−n−ブチル基、5−フェニル−n−ペンチル基、6−フェニル−n−ヘキシル基、7−フェニル−n−ヘプチル基、8−フェニル−n−オクチル基、9−フェニル−n−ノニル基、10−フェニル−n−デシル基等を挙げることができるが、これらに限定されない。
The aralkyl group is an alkyl group substituted with an aryl group, and specific examples of such an aryl group and an alkyl group include the same as those described above.
The number of carbon atoms of the aralkyl group is not particularly limited, but is preferably 40 or less, more preferably 30 or less, and even more preferably 20 or less.
Specific examples of the aralkyl group include, for example, a phenylmethyl group (benzyl group), a 2-phenylethylene group, a 3-phenyl-n-propyl group, a 4-phenyl-n-butyl group, a 5-phenyl-n-pentyl group, and the like. 6-Phenyl-n-hexyl group, 7-phenyl-n-heptyl group, 8-phenyl-n-octyl group, 9-phenyl-n-nonyl group, 10-phenyl-n-decyl group and the like can be mentioned. However, it is not limited to these.

ハロゲン化アルキル基は、ハロゲン原子により置換されたアルキル基を指す。
上記ハロゲン原子としては、フッ素原子、塩素原子、臭素原子、ヨウ素原子等が挙げられ、またアルキル基の具体例としては上述したものと同じものが挙げられる。
ハロゲン化アルキル基の炭素原子数は、特に限定されるものではないが、好ましくは40以下、より好ましくは30以下、より一層好ましくは20以下、更に好ましくは10以下である。
ハロゲン化アルキル基の具体例としては、モノフルオロメチル基、ジフルオロメチル基、トリフルオロメチル基、ブロモジフルオロメチル基、2−クロロエチル基、2−ブロモエチル基、1,1−ジフルオロエチル基、2,2,2−トリフルオロエチル基、1,1,2,2−テトラフルオロエチル基、2−クロロ−1,1,2−トリフルオロエチル基、ペンタフルオロエチル基、3−ブロモプロピル基、2,2,3,3−テトラフルオロプロピル基、1,1,2,3,3,3−ヘキサフルオロプロピル基、1,1,1,3,3,3−ヘキサフルオロプロパン−2−イル基、3−ブロモ−2−メチルプロピル基、4−ブロモブチル基、パーフルオロペンチル基等が挙げられるが、これらに限定されない。
Halogenated alkyl group refers to an alkyl group substituted with a halogen atom.
Examples of the halogen atom include a fluorine atom, a chlorine atom, a bromine atom, an iodine atom and the like, and specific examples of the alkyl group include the same as those described above.
The number of carbon atoms of the alkyl halide group is not particularly limited, but is preferably 40 or less, more preferably 30 or less, still more preferably 20 or less, still more preferably 10 or less.
Specific examples of the alkyl halide group include monofluoromethyl group, difluoromethyl group, trifluoromethyl group, bromodifluoromethyl group, 2-chloroethyl group, 2-bromoethyl group, 1,1-difluoroethyl group and 2,2. , 2-Trifluoroethyl group, 1,1,2,2-tetrafluoroethyl group, 2-chloro-1,1,2-trifluoroethyl group, pentafluoroethyl group, 3-bromopropyl group, 2,2 , 3,3-Tetrafluoropropyl group, 1,1,2,3,3,3-hexafluoropropyl group, 1,1,1,3,3,3-hexafluoropropan-2-yl group, 3- Examples thereof include, but are not limited to, a bromo-2-methylpropyl group, a 4-bromobutyl group, and a perfluoropentyl group.

ハロゲン化アリール基は、ハロゲン原子により置換されたアリール基であり、このようなアリール基及びハロゲン原子の具体例としては、上述したものと同じものが挙げられる。
ハロゲン化アリール基の炭素原子数は、特に限定されるものではないが、好ましくは40以下、より好ましくは30以下、より一層好ましくは20以下である。
ハロゲン化アリール基の具体例としては、2−フルオロフェニル基、3−フルオロフェニル基、4−フルオロフェニル基、2,3−ジフルオロフェニル基、2,4−ジフルオロフェニル基、2,5−ジフルオロフェニル基、2,6−ジフルオロフェニル基、3,4−ジフルオロフェニル基、3,5−ジフルオロフェニル基、2,3,4−トリフルオロフェ
ニル基、2,3,5−トリフルオロフェニル基、2,3,6−トリフルオロフェニル基、2,4,5−トリフルオロフェニル基、2,4,6−トリフルオロフェニル基、3,4,5−トリフルオロフェニル基、2,3,4,5−テトラフルオロフェニル基、2,3,4,6−テトラフルオロフェニル基、2,3,5,6−テトラフルオロフェニル基、ペンタフルオロフェニル基、2−フルオロ−1−ナフチル基、3−フルオロ−1−ナフチル基、4−フルオロ−1−ナフチル基、6−フルオロ−1−ナフチル基、7−フルオロ−1−ナフチル基、8−フルオロ−1−ナフチル基、4,5−ジフルオロ−1−ナフチル基、5,7−ジフルオロ−1−ナフチル基、5,8−ジフルオロ−1−ナフチル基、5,6,7,8−テトラフルオロ−1−ナフチル基、ヘプタフルオロ−1−ナフチル基、1−フルオロ−2−ナフチル基、5−フルオロ−2−ナフチル基、6−フルオロ−2−ナフチル基、7−フルオロ−2−ナフチル基、5,7−ジフルオロ−2−ナフチル基、ヘプタフルオロ−2−ナフチル基等が挙げられるが、これらに限定されない。
The aryl halide group is an aryl group substituted with a halogen atom, and specific examples of such an aryl group and a halogen atom include the same as those described above.
The number of carbon atoms of the aryl halide group is not particularly limited, but is preferably 40 or less, more preferably 30 or less, and even more preferably 20 or less.
Specific examples of the aryl halide group include 2-fluorophenyl group, 3-fluorophenyl group, 4-fluorophenyl group, 2,3-difluorophenyl group, 2,4-difluorophenyl group, and 2,5-difluorophenyl. Group, 2,6-difluorophenyl group, 3,4-difluorophenyl group, 3,5-difluorophenyl group, 2,3,4-trifluorophenyl group, 2,3,5-trifluorophenyl group, 2, 3,6-trifluorophenyl group, 2,4,5-trifluorophenyl group, 2,4,6-trifluorophenyl group, 3,4,5-trifluorophenyl group, 2,3,4,5- Tetrafluorophenyl group, 2,3,4,6-tetrafluorophenyl group, 2,3,5,6-tetrafluorophenyl group, pentafluorophenyl group, 2-fluoro-1-naphthyl group, 3-fluoro-1 -Naphthyl group, 4-fluoro-1-naphthyl group, 6-fluoro-1-naphthyl group, 7-fluoro-1-naphthyl group, 8-fluoro-1-naphthyl group, 4,5-difluoro-1-naphthyl group , 5,7-Difluoro-1-naphthyl group, 5,8-difluoro-1-naphthyl group, 5,6,7,8-tetrafluoro-1-naphthyl group, heptafluoro-1-naphthyl group, 1-fluoro -2-naphthyl group, 5-fluoro-2-naphthyl group, 6-fluoro-2-naphthyl group, 7-fluoro-2-naphthyl group, 5,7-difluoro-2-naphthyl group, heptafluoro-2-naphthyl Examples include, but are not limited to, these.

ハロゲン化アラルキル基は、ハロゲン原子により置換されたアラルキル基であり、このようなアラルキル基及びハロゲン原子の具体例としては、上述したものと同じものが挙げられる。
ハロゲン化アラルキル基の炭素原子数は、特に限定されるものではないが、好ましくは40以下、より好ましくは30以下、より一層好ましくは20以下である。
ハロゲン化アラルキル基の具体例としては、2−フルオロベンジル基、3−フルオロベンジル基、4−フルオロベンジル基、2,3−ジフルオロベンジル基、2,4−ジフルオロベンジル基、2,5−ジフルオロベンジル基、2,6−ジフルオロベンジル基、3,4−ジフルオロベンジル基、3,5−ジフルオロベンジル基、2,3,4−トリフルオロベンジル基、2,3,5−トリフルオロベンジル基、2,3,6−トリフルオロベンジル基、2,4,5−トリフルオロベンジル基、2,4,6−トリフルオロベンジル基、2,3,4,5−テトラフルオロベンジル基、2,3,4,6−テトラフルオロベンジル基、2,3,5,6−テトラフルオロベンジル基、2,3,4,5,6−ペンタフルオロベンジル基等が挙げられるが、これらに限定されない。
The halogenated aralkyl group is an aralkyl group substituted with a halogen atom, and specific examples of such an aralkyl group and the halogen atom include the same as those described above.
The number of carbon atoms of the halogenated aralkyl group is not particularly limited, but is preferably 40 or less, more preferably 30 or less, and even more preferably 20 or less.
Specific examples of the halogenated aralkyl group include 2-fluorobenzyl group, 3-fluorobenzyl group, 4-fluorobenzyl group, 2,3-difluorobenzyl group, 2,4-difluorobenzyl group, and 2,5-difluorobenzyl group. Group, 2,6-difluorobenzyl group, 3,4-difluorobenzyl group, 3,5-difluorobenzyl group, 2,3,4-trifluorobenzyl group, 2,3,5-trifluorobenzyl group, 2, 3,6-trifluorobenzyl group, 2,4,5-trifluorobenzyl group, 2,4,6-trifluorobenzyl group, 2,3,4,5-tetrafluorobenzyl group, 2,3,4 Examples thereof include, but are not limited to, a 6-tetrafluorobenzyl group, a 2,3,5,6-tetrafluorobenzyl group, a 2,3,4,5,6-pentafluorobenzyl group.

アルコキシアルキル基は、アルコキシ基により置換されたアルキル基をいう。このようなアルキル基の具体例としては、上述したものと同じものが挙げられる。 The alkoxyalkyl group refers to an alkyl group substituted with an alkoxy group. Specific examples of such an alkyl group include the same as those described above.

上記アルコキシ基としては、炭素原子数1乃至20の直鎖、分岐、環状のアルキル部分を有するアルコキシ基が挙げられる。直鎖又は分岐を有するアルコキシ基としては、例えばメトキシ基、エトキシ基、n−プロポキシ基、i−プロポキシ基、n−ブトキシ基、i−ブトキシ基、s−ブトキシ基、t−ブトキシ基、n−ペンチロキシ基、1−メチル−n−ブトキシ基、2−メチル−n−ブトキシ基、3−メチル−n−ブトキシ基、1,1−ジメチル−n−プロポキシ基、1,2−ジメチル−n−プロポキシ基、2,2−ジメチル−n−プロポキシ基、1−エチル−n−プロポキシ基、n−ヘキシロキシ基、1−メチル−n−ペンチロキシ基、2−メチル−n−ペンチロキシ基、3−メチル−n−ペンチロキシ基、4−メチル−n−ペンチロキシ基、1,1−ジメチル−n−ブトキシ基、1,2−ジメチル−n−ブトキシ基、1,3−ジメチル−n−ブトキシ基、2,2−ジメチル−n−ブトキシ基、2,3−ジメチル−n−ブトキシ基、3,3−ジメチル−n−ブトキシ基、1−エチル−n−ブトキシ基、2−エチル−n−ブトキシ基、1,1,2−トリメチル−n−プロポキシ基、1,2,2−トリメチル−n−プロポキシ基、1−エチル−1−メチル−n−プロポキシ基及び1−エチル−2−メチル−n−プロポキシ基等が挙げられる。また環状のアルコキシ基としては、例えばシクロプロポキシ基、シクロブトキシ基、1−メチル−シクロプロポキシ基、2−メチル−シクロプロポキシ基、シクロペンチロキシ基、1−メチル−シクロブトキシ基、2−メチル−シクロブトキシ基、3−メチル−シクロブトキシ基、1,2−ジメチル−シクロプロポキシ基、2,3−ジメチル−シクロプロポキシ基、1−エチル−シクロプロポキシ基、2−エチル−シクロプロポキシ基、シクロヘ
キシロキシ基、1−メチル−シクロペンチロキシ基、2−メチル−シクロペンチロキシ基、3−メチル−シクロペンチロキシ基、1−エチル−シクロブトキシ基、2−エチル−シクロブトキシ基、3−エチル−シクロブトキシ基、1,2−ジメチル−シクロブトキシ基、1,3−ジメチル−シクロブトキシ基、2,2−ジメチル−シクロブトキシ基、2,3−ジメチル−シクロブトキシ基、2,4−ジメチル−シクロブトキシ基、3,3−ジメチル−シクロブトキシ基、1−n−プロピル−シクロプロポキシ基、2−n−プロピル−シクロプロポキシ基、1−i−プロピル−シクロプロポキシ基、2−i−プロピル−シクロプロポキシ基、1,2,2−トリメチル−シクロプロポキシ基、1,2,3−トリメチル−シクロプロポキシ基、2,2,3−トリメチル−シクロプロポキシ基、1−エチル−2−メチル−シクロプロポキシ基、2−エチル−1−メチル−シクロプロポキシ基、2−エチル−2−メチル−シクロプロポキシ基及び2−エチル−3−メチル−シクロプロポキシ基等が挙げられるが、これらに限定されるものではない。
Examples of the alkoxy group include an alkoxy group having a linear, branched, and cyclic alkyl moiety having 1 to 20 carbon atoms. Examples of the linear or branched alkoxy group include a methoxy group, an ethoxy group, an n-propoxy group, an i-propoxy group, an n-butoxy group, an i-butoxy group, an s-butoxy group, a t-butoxy group and an n-. Pentyroxy group, 1-methyl-n-butoxy group, 2-methyl-n-butoxy group, 3-methyl-n-butoxy group, 1,1-dimethyl-n-propoxy group, 1,2-dimethyl-n-propoxy Group, 2,2-dimethyl-n-propoxy group, 1-ethyl-n-propoxy group, n-hexyloxy group, 1-methyl-n-pentyroxy group, 2-methyl-n-pentyroxy group, 3-methyl-n -Pentyroxy group, 4-methyl-n-pentyroxy group, 1,1-dimethyl-n-butoxy group, 1,2-dimethyl-n-butoxy group, 1,3-dimethyl-n-butoxy group, 2,2- Dimethyl-n-butoxy group, 2,3-dimethyl-n-butoxy group, 3,3-dimethyl-n-butoxy group, 1-ethyl-n-butoxy group, 2-ethyl-n-butoxy group, 1,1 , 2-trimethyl-n-propoxy group, 1,2,2-trimethyl-n-propoxy group, 1-ethyl-1-methyl-n-propoxy group, 1-ethyl-2-methyl-n-propoxy group, etc. Can be mentioned. Examples of the cyclic alkoxy group include a cyclopropoxy group, a cyclobutoxy group, a 1-methyl-cyclopropoxy group, a 2-methyl-cyclopropoxy group, a cyclopentyroxy group, a 1-methyl-cyclobutoxy group and a 2-methyl-. Cyclobutoxy group, 3-methyl-cyclobutoxy group, 1,2-dimethyl-cyclopropoxy group, 2,3-dimethyl-cyclopropoxy group, 1-ethyl-cyclopropoxy group, 2-ethyl-cyclopropoxy group, cyclohexyloxy Group, 1-methyl-cyclopentyloxy group, 2-methyl-cyclopentyloxy group, 3-methyl-cyclopentyloxy group, 1-ethyl-cyclobutoxy group, 2-ethyl-cyclobutoxy group, 3-ethyl-cyclo Butoxy group, 1,2-dimethyl-cyclobutoxy group, 1,3-dimethyl-cyclobutoxy group, 2,2-dimethyl-cyclobutoxy group, 2,3-dimethyl-cyclobutoxy group, 2,4-dimethyl-cyclo Butoxy group, 3,3-dimethyl-cyclobutoxy group, 1-n-propyl-cyclopropoxy group, 2-n-propyl-cyclopropoxy group, 1-i-propyl-cyclopropoxy group, 2-i-propyl-cyclo Propoxy group, 1,2,2-trimethyl-cyclopropoxy group, 1,2,3-trimethyl-cyclopropoxy group, 2,2,3-trimethyl-cyclopropoxy group, 1-ethyl-2-methyl-cyclopropoxy group , 2-Ethyl-1-methyl-cyclopropoxy group, 2-ethyl-2-methyl-cyclopropoxy group, 2-ethyl-3-methyl-cyclopropoxy group and the like, but are not limited thereto. ..

アルコキシアルキル基の炭素原子数は、特に限定されるものではないが、好ましくは40以下、より好ましくは30以下、より一層好ましくは20以下、更に好ましくは10以下である。
アルコキシアルキル基の具体例としては、メトキシメチル基、エトキシメチル基、1−エトキシエチル基、2−エトキシエチル基、エトキシメチル基等の低級アルキルオキシ低級アルキル基等が挙げられるが、これらに限定されない。
The number of carbon atoms of the alkoxyalkyl group is not particularly limited, but is preferably 40 or less, more preferably 30 or less, still more preferably 20 or less, still more preferably 10 or less.
Specific examples of the alkoxyalkyl group include, but are not limited to, lower alkyloxy lower alkyl groups such as methoxymethyl group, ethoxymethyl group, 1-ethoxyethyl group, 2-ethoxyethyl group and ethoxymethyl group. ..

アルコキシアリール基は、アルコキシ基が置換したアリール基であり、このようなアルコキシ基及びアリール基の具体例としては、上述したものと同じものが挙げられる。
アルコキシアリール基の炭素原子数は、特に限定されるものではないが、好ましくは40以下、より好ましくは30以下、より一層好ましくは20以下である。
アルコキシアリール基の具体例としては、例えば、2−メトキシフェニル基、3−メトキシフェニル基、4−メトキシフェニル基、2−(1−エトキシ)フェニル基、3−(1−エトキシ)フェニル基、4−(1−エトキシ)フェニル基、2−(2−エトキシ)フェニル基、3−(2−エトキシ)フェニル基、4−(2−エトキシ)フェニル基、2−メトキシナフタレン−1−イル基、3−メトキシナフタレン−1−イル基、4−メトキシナフタレン−1−イル基、5−メトキシナフタレン−1−イル基、6−メトキシナフタレン−1−イル基、7−メトキシナフタレン−1−イル基等が挙げられるが、これらに限定されない。
The alkoxyaryl group is an aryl group substituted with an alkoxy group, and specific examples of such an alkoxy group and an aryl group include the same as those described above.
The number of carbon atoms of the alkoxyaryl group is not particularly limited, but is preferably 40 or less, more preferably 30 or less, and even more preferably 20 or less.
Specific examples of the alkoxyaryl group include, for example, 2-methoxyphenyl group, 3-methoxyphenyl group, 4-methoxyphenyl group, 2- (1-ethoxy) phenyl group, 3- (1-ethoxy) phenyl group, and 4 -(1-ethoxy) phenyl group, 2- (2-ethoxy) phenyl group, 3- (2-ethoxy) phenyl group, 4- (2-ethoxy) phenyl group, 2-methoxynaphthalene-1-yl group, 3 -Methoxynaphthalen-1-yl group, 4-methoxynaphthalen-1-yl group, 5-methoxynaphthalen-1-yl group, 6-methoxynaphthalen-1-yl group, 7-methoxynaphthalen-1-yl group, etc. However, but not limited to these.

アルコキシアラルキル基は、アルコキシ基が置換したアラルキル基であり、このようなアルコキシ基及びアラルキル基の具体例としては、上述したものと同じものが挙げられる。
アルコキシアラルキル基の炭素原子数は、特に限定されるものではないが、好ましくは40以下、より好ましくは30以下、より一層好ましくは20以下である。
アルコキシアラルキル基の具体例としては、3−(メトキシフェニル)ベンジル基、4−(メトキシフェニル)ベンジル基等が挙げられるが、これらに限定されない。
The alkoxyaralkyl group is an alcoholyl group substituted with an alkoxy group, and specific examples of such an alkoxy group and an alcoholyl group include the same as those described above.
The number of carbon atoms of the alkoxyaralkyl group is not particularly limited, but is preferably 40 or less, more preferably 30 or less, and even more preferably 20 or less.
Specific examples of the alkoxyaralkyl group include, but are not limited to, a 3- (methoxyphenyl) benzyl group, a 4- (methoxyphenyl) benzyl group and the like.

上記アルケニル基としては炭素原子数2乃至10のアルケニル基が挙げられ、例えばエテニル基(ビニル基)、1−プロペニル基、2−プロペニル基、1−メチル−1−エテニル基、1−ブテニル基、2−ブテニル基、3−ブテニル基、2−メチル−1−プロペニル基、2−メチル−2−プロペニル基、1−エチルエテニル基、1−メチル−1−プロペニル基、1−メチル−2−プロペニル基、1−ペンテニル基、2−ペンテニル基、3−ペンテニル基、4−ペンテニル基、1−n−プロピルエテニル基、1−メチル−1−ブテニル基、1−メチル−2−ブテニル基、1−メチル−3−ブテニル基、2−エチル−2−プロペニル基、2−メチル−1−ブテニル基、2−メチル−2−ブテニル基、2−メチル−3−ブテニル基、3−メチル−1−ブテニル基、3−メチル−2−ブテニル基、3−メチル
−3−ブテニル基、1,1−ジメチル−2−プロペニル基、1−i−プロピルエテニル基、1,2−ジメチル−1−プロペニル基、1,2−ジメチル−2−プロペニル基、1−シクロペンテニル基、2−シクロペンテニル基、3−シクロペンテニル基、1−ヘキセニル基、2−ヘキセニル基、3−ヘキセニル基、4−ヘキセニル基、5−ヘキセニル基、1−メチル−1−ペンテニル基、1−メチル−2−ペンテニル基、1−メチル−3−ペンテニル基、1−メチル−4−ペンテニル基、1−n−ブチルエテニル基、2−メチル−1−ペンテニル基、2−メチル−2−ペンテニル基、2−メチル−3−ペンテニル基、2−メチル−4−ペンテニル基、2−n−プロピル−2−プロペニル基、3−メチル−1−ペンテニル基、3−メチル−2−ペンテニル基、3−メチル−3−ペンテニル基、3−メチル−4−ペンテニル基、3−エチル−3−ブテニル基、4−メチル−1−ペンテニル基、4−メチル−2−ペンテニル基、4−メチル−3−ペンテニル基、4−メチル−4−ペンテニル基、1,1−ジメチル−2−ブテニル基、1,1−ジメチル−3−ブテニル基、1,2−ジメチル−1−ブテニル基、1,2−ジメチル−2−ブテニル基、1,2−ジメチル−3−ブテニル基、1−メチル−2−エチル−2−プロペニル基、1−s−ブチルエテニル基、1,3−ジメチル−1−ブテニル基、1,3−ジメチル−2−ブテニル基、1,3−ジメチル−3−ブテニル基、1−i−ブチルエテニル基、2,2−ジメチル−3−ブテニル基、2,3−ジメチル−1−ブテニル基、2,3−ジメチル−2−ブテニル基、2,3−ジメチル−3−ブテニル基、2−i−プロピル−2−プロペニル基、3,3−ジメチル−1−ブテニル基、1−エチル−1−ブテニル基、1−エチル−2−ブテニル基、1−エチル−3−ブテニル基、1−n−プロピル−1−プロペニル基、1−n−プロピル−2−プロペニル基、2−エチル−1−ブテニル基、2−エチル−2−ブテニル基、2−エチル−3−ブテニル基、1,1,2−トリメチル−2−プロペニル基、1−t−ブチルエテニル基、1−メチル−1−エチル−2−プロペニル基、1−エチル−2−メチル−1−プロペニル基、1−エチル−2−メチル−2−プロペニル基、1−i−プロピル−1−プロペニル基、1−i−プロピル−2−プロペニル基、1−メチル−2−シクロペンテニル基、1−メチル−3−シクロペンテニル基、2−メチル−1−シクロペンテニル基、2−メチル−2−シクロペンテニル基、2−メチル−3−シクロペンテニル基、2−メチル−4−シクロペンテニル基、2−メチル−5−シクロペンテニル基、2−メチレン−シクロペンチル基、3−メチル−1−シクロペンテニル基、3−メチル−2−シクロペンテニル基、3−メチル−3−シクロペンテニル基、3−メチル−4−シクロペンテニル基、3−メチル−5−シクロペンテニル基、3−メチレン−シクロペンチル基、1−シクロヘキセニル基、2−シクロヘキセニル基及び3−シクロヘキセニル基等が挙げられ、またビシクロへプテニル基(ノルボルニル基)等の架橋環式のアルケニル基も挙げることができる。
Examples of the alkenyl group include an alkenyl group having 2 to 10 carbon atoms, for example, an ethenyl group (vinyl group), a 1-propenyl group, a 2-propenyl group, a 1-methyl-1-ethenyl group, a 1-butenyl group, and the like. 2-butenyl group, 3-butenyl group, 2-methyl-1-propenyl group, 2-methyl-2-propenyl group, 1-ethylethenyl group, 1-methyl-1-propenyl group, 1-methyl-2-propenyl group , 1-pentenyl group, 2-pentenyl group, 3-pentenyl group, 4-pentenyl group, 1-n-propylethenyl group, 1-methyl-1-butenyl group, 1-methyl-2-butenyl group, 1- Methyl-3-butenyl group, 2-ethyl-2-propenyl group, 2-methyl-1-butenyl group, 2-methyl-2-butenyl group, 2-methyl-3-butenyl group, 3-methyl-1-butenyl Group, 3-methyl-2-butenyl group, 3-methyl-3-butenyl group, 1,1-dimethyl-2-propenyl group, 1-i-propylethenyl group, 1,2-dimethyl-1-propenyl group , 1,2-dimethyl-2-propenyl group, 1-cyclopentenyl group, 2-cyclopentenyl group, 3-cyclopentenyl group, 1-hexenyl group, 2-hexenyl group, 3-hexenyl group, 4-hexenyl group, 5-hexenyl group, 1-methyl-1-pentenyl group, 1-methyl-2-pentenyl group, 1-methyl-3-pentenyl group, 1-methyl-4-pentenyl group, 1-n-butylethenyl group, 2- Methyl-1-pentenyl group, 2-methyl-2-pentenyl group, 2-methyl-3-pentenyl group, 2-methyl-4-pentenyl group, 2-n-propyl-2-propenyl group, 3-methyl-1 -Pentenyl group, 3-methyl-2-pentenyl group, 3-methyl-3-pentenyl group, 3-methyl-4-pentenyl group, 3-ethyl-3-butenyl group, 4-methyl-1-pentenyl group, 4 -Methyl-2-pentenyl group, 4-methyl-3-pentenyl group, 4-methyl-4-pentenyl group, 1,1-dimethyl-2-butenyl group, 1,1-dimethyl-3-butenyl group, 1, 2-Dimethyl-1-butenyl group, 1,2-dimethyl-2-butenyl group, 1,2-dimethyl-3-butenyl group, 1-methyl-2-ethyl-2-propenyl group, 1-s-butylethenyl group , 1,3-dimethyl-1-butenyl group, 1,3-dimethyl-2-butenyl group, 1,3-dimethyl-3-butenyl group, 1-i-butylethenyl group, 2,2-dimethyl-3-butenyl Group, 2,3-dimethyl-1-butenyl group, 2,3-dimethyl-2-butenyl group, 2,3-dimethyl-3-butenyl group, 2-i-propyl-2-propenyl group, 3,3- Dimethyl-1-butenyl group, 1-ethyl-1-butenyl group, 1-ethyl-2-butenyl group, 1-ethyl-3-butenyl group, 1-n-propyl-1-propenyl group, 1-n-propyl -2-Propenyl group, 2-ethyl-1-butenyl group, 2-ethyl-2-butenyl group, 2-ethyl-3-butenyl group, 1,1,2-trimethyl-2-propenyl group, 1-t- Butylethenyl group, 1-methyl-1-ethyl-2-propenyl group, 1-ethyl-2-methyl-1-propenyl group, 1-ethyl-2-methyl-2-propenyl group, 1-i-propyl-1- Propenyl group, 1-i-propyl-2-propenyl group, 1-methyl-2-cyclopentenyl group, 1-methyl-3-cyclopentenyl group, 2-methyl-1-cyclopentenyl group, 2-methyl-2- Cyclopentenyl group, 2-methyl-3-cyclopentenyl group, 2-methyl-4-cyclopentenyl group, 2-methyl-5-cyclopentenyl group, 2-methylene-cyclopentyl group, 3-methyl-1-cyclopentenyl group , 3-Methyl-2-cyclopentenyl group, 3-methyl-3-cyclopentenyl group, 3-methyl-4-cyclopentenyl group, 3-methyl-5-cyclopentenyl group, 3-methylene-cyclopentyl group, 1- Examples thereof include a cyclohexenyl group, a 2-cyclohexenyl group, a 3-cyclohexenyl group and the like, and a crosslinked cyclic alkenyl group such as a bicycloheptenyl group (norbornyl group) can also be mentioned.

上記アルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基、アルコキシアルキル基、アルコキシアリール基、アルコキシアラルキル基、アルケニル基における置換基としては、例えば、アルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基、アルコキシアルキル基、アリールオキシ基、アルコキシアリール基、アルコキシアラルキル基、アルケニル基、アルコキシ基、アラルキルオキシ基等が挙げられ、これらの具体例及びそれらの好適な炭素原子数としては、上述又は後述のものと同じものが挙げられる。
また上記アリールオキシ基は、アリール基が酸素原子(−O−)を介して結合する基であり、このようなアリール基の具体例としては上述したものと同じものが挙げられる。上記アリールオキシ基の炭素原子数は、特に限定されるものではないが、好ましくは40以下、より好ましくは30以下、より一層好ましくは20以下であり、その具体例としては、フェノキシ基、ナフタレン−2−イルオキシ基等が挙げられるが、これらに限定されない。
また、置換基が2以上存在する場合、置換基同士が結合して環を形成してもよい。
Examples of the substituent in the above-mentioned alkyl group, aryl group, aralkyl group, alkyl halide group, aryl halide group, halogenated aralkyl group, alkoxyalkyl group, alkoxyaryl group, alkoxyaralkyl group, and alkenyl group include an alkyl group and the like. Examples thereof include an aryl group, an aralkyl group, an alkyl halide group, an aryl halide group, an aralkyl halide group, an alkoxyalkyl group, an aryloxy group, an alkoxyaryl group, an alkoxyaralkyl group, an alkenyl group, an alkoxy group and an aralkyloxy group. , Specific examples thereof and suitable number of carbon atoms thereof include the same as those described above or described below.
Further, the aryloxy group is a group to which an aryl group is bonded via an oxygen atom (−O−), and specific examples of such an aryl group include the same as those described above. The number of carbon atoms of the aryloxy group is not particularly limited, but is preferably 40 or less, more preferably 30 or less, still more preferably 20 or less, and specific examples thereof include a phenoxy group and naphthalene. 2-Iloxy group and the like can be mentioned, but the present invention is not limited thereto.
Further, when two or more substituents are present, the substituents may be bonded to each other to form a ring.

上記アクリロイル基を含む有機基としては、アクリロイルメチル基、アクリロイルエチル基、アクリロイルプロピル基等が挙げられるがこれらに限定されない。
上記メタクリロイル基を含む有機基としては、メタクリロイルメチル基、メタクリロイルエチル基、メタクリロイルプロピル基等が挙げられるがこれらに限定されない。
上記メルカプト基を含む有機基としては、エチルメルカプト基、ブチルメルカプト基、ヘキシルメルカプト基、オクチルメルカプト基等が挙げられるがこれらに限定されない。
アミノ基を含む有機基としては、アミノ基、アミノメチル基、アミノエチル基、ジメチルアミノエチル基、ジメチルアミノプロピル基等が挙げられるがこれらに限定されない。
またアミノ基やアミド基を含む有機基としては例えばシアヌル酸誘導体が挙げられる。
スルホニル基を含む有機基としては、例えばスルホニルアルキル基や、スルホニルアリール基が挙げられるがこれらに限定されない。
シアノ基を含む有機基としては、例えばシアノエチル基、シアノプロピル基等が挙げられるが、これらに限定されない。
Examples of the organic group containing the acryloyl group include, but are not limited to, an acryloylmethyl group, an acryloylethyl group, and an acryloylpropyl group.
Examples of the organic group containing the methacryloyl group include, but are not limited to, a methacryloylmethyl group, a methacryloylethyl group, and a methacryloylpropyl group.
Examples of the organic group containing the mercapto group include, but are not limited to, an ethyl mercapto group, a butyl mercapto group, a hexyl mercapto group, and an octyl mercapto group.
Examples of the organic group containing an amino group include, but are not limited to, an amino group, an aminomethyl group, an aminoethyl group, a dimethylaminoethyl group, a dimethylaminopropyl group and the like.
Examples of the organic group containing an amino group and an amide group include a cyanuric acid derivative.
Examples of the organic group containing a sulfonyl group include, but are not limited to, a sulfonylalkyl group and a sulfonylaryl group.
Examples of the organic group containing a cyano group include, but are not limited to, a cyanoethyl group and a cyanopropyl group.

式(1−a)中のR3a、式(1−b)中のR3bは、それぞれ、ケイ素原子に結合する基又は原子であって、互いに独立して、アルコキシ基、アラルキルオキシ基、アシルオキシ基又はハロゲン原子を表す。上記アルコキシ基、ハロゲン原子としては、上述したものと同じものが挙げられる。 R 3a in the formula (1-a), R 3b in the formula (1-b), respectively, a group or atom bonded to the silicon atoms, independently of one another, alkoxy groups, aralkyloxy groups, acyloxy Represents a group or halogen atom. Examples of the alkoxy group and the halogen atom include the same as those described above.

アラルキルオキシ基は、アラルキルアルコールのヒドロキシ基から水素原子を取り除いて誘導される基であり、このようなアラルキル基の具体例としては、上述したものと同じものが挙げられる。
アラルキルオキシ基の炭素原子数は、特に限定されるものではないが、好ましくは40以下、より好ましくは30以下、より一層好ましくは20以下である。
アラルキルオキシ基の具体例としては、フェニルメチルオキシ基(ベンジルオキシ基)、2−フェニルエチレンオキシ基、3−フェニル−n−プロピルオキシ基、4−フェニル−n−ブチルオキシ基、5−フェニル−n−ペンチルオキシ基、6−フェニル−n−ヘキシルオキシ基、7−フェニル−n−ヘプチルオキシ基、8−フェニル−n−オクチルオキシ基、9−フェニル−n−ノニルオキシ基、10−フェニル−n−デシルオキシ基等が挙げられるが、これらに限定されない。
The aralkyloxy group is a group derived by removing a hydrogen atom from the hydroxy group of the aralkyl alcohol, and specific examples of such an aralkyl group include the same as those described above.
The number of carbon atoms of the aralkyloxy group is not particularly limited, but is preferably 40 or less, more preferably 30 or less, and even more preferably 20 or less.
Specific examples of the aralkyloxy group include phenylmethyloxy group (benzyloxy group), 2-phenylethyleneoxy group, 3-phenyl-n-propyloxy group, 4-phenyl-n-butyloxy group, and 5-phenyl-n. -Pentyloxy group, 6-Phenyl-n-hexyloxy group, 7-Phenyl-n-Heptyloxy group, 8-Phenyl-n-octyloxy group, 9-Phenyl-n-nonyloxy group, 10-Phenyl-n- Examples thereof include, but are not limited to, decyloxy groups.

アシルオキシ基は、カルボン酸化合物のカルボン酸基から水素原子を取り除いて誘導される基であり、典型的には、アルキルカルボン酸、アリールカルボン酸又はアラルキルカルボン酸のカルボン酸基から水素原子を取り除いて誘導されるアルキルカルボニルオキシ基、アリールカルボニルオキシ基又はアラルキルカルボニルオキシ基が挙げられるが、これらに限定されない。このようなアルキルカルボン酸、アリールカルボン酸及びアラルキルカルボン酸におけるアルキル基、アリール基及びアラルキル基の具体例としては、上述したものと同じものが挙げられる。
アシルオキシ基の具体例としては、炭素原子数2乃至20のアシルオキシ基が挙げられる。例えばメチルカルボニルオキシ基、エチルカルボニルオキシ基、n−プロピルカルボニルオキシ基、i−プロピルカルボニルオキシ基、n−ブチルカルボニルオキシ基、i−ブチルカルボニルオキシ基、s−ブチルカルボニルオキシ基、t−ブチルカルボニルオキシ基、n−ペンチルカルボニルオキシ基、1−メチル−n−ブチルカルボニルオキシ基、2−メチル−n−ブチルカルボニルオキシ基、3−メチル−n−ブチルカルボニルオキシ基、1,1−ジメチル−n−プロピルカルボニルオキシ基、1,2−ジメチル−n−プロピルカルボニルオキシ基、2,2−ジメチル−n−プロピルカルボニルオキシ基、1−エチル−n−プロピルカルボニルオキシ基、n−ヘキシルカルボニルオキシ基、1−メチル−n−ペンチルカルボニルオキシ基、2−メチル−n−ペンチルカルボニルオキシ基、3−メチル−n−ペンチルカルボニルオキシ基、4−メチル−n−ペンチルカルボニルオキシ基、1,1−ジメチル−n−ブチルカルボニルオキシ基、1,2−ジメチル−n−ブチ
ルカルボニルオキシ基、1,3−ジメチル−n−ブチルカルボニルオキシ基、2,2−ジメチル−n−ブチルカルボニルオキシ基、2,3−ジメチル−n−ブチルカルボニルオキシ基、3,3−ジメチル−n−ブチルカルボニルオキシ基、1−エチル−n−ブチルカルボニルオキシ基、2−エチル−n−ブチルカルボニルオキシ基、1,1,2−トリメチル−n−プロピルカルボニルオキシ基、1,2,2−トリメチル−n−プロピルカルボニルオキシ基、1−エチル−1−メチル−n−プロピルカルボニルオキシ基、1−エチル−2−メチル−n−プロピルカルボニルオキシ基、フェニルカルボニルオキシ基、及びトシルカルボニルオキシ基等が挙げられるが、これらに限定されない。
The acyloxy group is a group derived by removing a hydrogen atom from the carboxylic acid group of a carboxylic acid compound, and typically removes a hydrogen atom from the carboxylic acid group of an alkylcarboxylic acid, an arylcarboxylic acid or an aralkylcarboxylic acid. Induced alkylcarbonyloxy groups, arylcarbonyloxy groups or aralkylcarbonyloxy groups include, but are not limited to. Specific examples of the alkyl group, aryl group and aralkyl group in such an alkylcarboxylic acid, arylcarboxylic acid and aralkylcarboxylic acid include the same as those described above.
Specific examples of the acyloxy group include an acyloxy group having 2 to 20 carbon atoms. For example, methylcarbonyloxy group, ethylcarbonyloxy group, n-propylcarbonyloxy group, i-propylcarbonyloxy group, n-butylcarbonyloxy group, i-butylcarbonyloxy group, s-butylcarbonyloxy group, t-butylcarbonyl Oxy group, n-pentylcarbonyloxy group, 1-methyl-n-butylcarbonyloxy group, 2-methyl-n-butylcarbonyloxy group, 3-methyl-n-butylcarbonyloxy group, 1,1-dimethyl-n -Propylcarbonyloxy group, 1,2-dimethyl-n-propylcarbonyloxy group, 2,2-dimethyl-n-propylcarbonyloxy group, 1-ethyl-n-propylcarbonyloxy group, n-hexylcarbonyloxy group, 1-Methyl-n-Pentylcarbonyloxy Group, 2-Methyl-n-Pentylcarbonyloxy Group, 3-Methyl-n-Pentylcarbonyloxy Group, 4-Methyl-n-Pentylcarbonyloxy Group, 1,1-dimethyl- n-butylcarbonyloxy group, 1,2-dimethyl-n-butylcarbonyloxy group, 1,3-dimethyl-n-butylcarbonyloxy group, 2,2-dimethyl-n-butylcarbonyloxy group, 2,3- Dimethyl-n-butylcarbonyloxy group, 3,3-dimethyl-n-butylcarbonyloxy group, 1-ethyl-n-butylcarbonyloxy group, 2-ethyl-n-butylcarbonyloxy group, 1,1,2- Trimethyl-n-propylcarbonyloxy group, 1,2,2-trimethyl-n-propylcarbonyloxy group, 1-ethyl-1-methyl-n-propylcarbonyloxy group, 1-ethyl-2-methyl-n-propyl Examples thereof include, but are not limited to, a carbonyloxy group, a phenylcarbonyloxy group, and a tosylcarbonyloxy group.

上記式(1−a)中、a1は1を表し、b1は0〜2の整数を表し、4−(a1+b1)は1〜3の整数を表す。b1は好ましくは0又は1を表し、より好ましくは0である。
また上記式(1−b)中、a2は1を表し、b2は0〜2の整数を表し、4−(a2+b2)は1〜3の整数を表す。b2は好ましくは0又は1を表し、より好ましくは0である。
In the above equation (1-a), a1 represents 1, b1 represents an integer of 0 to 2, and 4- (a1 + b1) represents an integer of 1 to 3. b1 preferably represents 0 or 1, and more preferably 0.
Further, in the above equation (1-b), a2 represents 1 and b2 represents an integer of 0 to 2, and 4- (a2 + b2) represents an integer of 1 to 3. b2 preferably represents 0 or 1, more preferably 0.

式(1−a)で表される加水分解性シランの具体例としては、グリシドキシメチルトリメトキシシラン、グリシドキシメチルトリエトキシシラン、α−グリシドキシエチルトリメトキシシラン、α−グリシドキシエチルトリエトキシシラン、β−グリシドキシエチルトリメトキシシラン、β−グリシドキシエチルトリエトキシシラン、α−グリシドキシプロピルトリメトキシシラン、α−グリシドキシプロピルトリエトキシシラン、β−グリシドキシプロピルトリメトキシシラン、β−グリシドキシプロピルトリエトキシシラン、γ−グリシドキシプロピルトリメトキシシラン、γ−グリシドキシプロピルトリエトキシシラン、γ−グリシドキシプロピルトリプロポキシシラン、γ−グリシドキシプロピルトリブトキシシラン、α−グリシドキシブチルトリメトキシシラン、α−グリシドキシブチルトリエトキシシラン、β−グリシドキシブチルトリエトキシシラン、γ−グリシドキシブチルトリメトキシシラン、γ−グリシドキシブチルトリエトキシシラン、δ−グリシドキシブチルトリメトキシシラン、δ−グリシドキシブチルトリエトキシシラン、(3,4−エポキシシクロヘキシル)メチルトリメトキシシラン、(3,4−エポキシシクロヘキシル)メチルトリエトキシシラン、β−(3,4−エポキシシクロヘキシル)エチルトリメトキシシラン、β−(3,4−エポキシシクロヘキシル)エチルトリエトキシシラン、β−(3,4−エポキシシクロヘキシル)エチルトリプロポキシシラン、β−(3,4−エポキシシクロヘキシル)エチルトリブトキシシラン、γ−(3,4−エポキシシクロヘキシル)プロピルトリメトキシシラン、γ−(3,4−エポキシシクロヘキシル)プロピルトリエトキシシラン、δ−(3,4−エポキシシクロヘキシル)ブチルトリメトキシシラン、δ−(3,4−エポキシシクロヘキシル)ブチルトリエトキシシラン、グリシドキシメチルメチルジメトキシシラン、グリシドキシメチルメチルジエトキシシラン、α−グリシドキシエチルメチルジメトキシシラン、α−グリシドキシエチルメチルジエトキシシラン、β−グリシドキシエチルメチルジメトキシシラン、β−グリシドキシエチルエチルジメトキシシラン、α−グリシドキシプロピルメチルジメトキシシラン、α−グリシドキシプロピルメチルジエトキシシラン、β−グリシドキシプロピルメチルジメトキシシラン、β−グリシドキシプロピルエチルジメトキシシラン、γ−グリシドキシプロピルメチルジメトキシシラン、γ−グリシドキシプロピルメチルジエトキシシラン、γ−グリシドキシプロピルメチルジプロポキシシラン、γ−グリシドキシプロピルメチルジブトキシシラン、γ−グリシドキシプロピルエチルジメトキシシラン、γ−グリシドキシプロピルエチルジエトキシシラン、γ−グリシドキシプロピルビニルジメトキシシラン、γ−グリシドキシプロピルビニルジエトキシシラン等が挙げられる。 Specific examples of the hydrolyzable silane represented by the formula (1-a) include glycidoxymethyltrimethoxysilane, glycidoxymethyltriethoxysilane, α-glycidoxyethyltrimethoxysilane, and α-glycid. Xiethyltriethoxysilane, β-glycidoxyethyltrimethoxysilane, β-glycidoxyethyltriethoxysilane, α-glycidoxypropyltrimethoxysilane, α-glycidoxypropyltriethoxysilane, β-glycid Xipropyltrimethoxysilane, β-glycidoxypropyltriethoxysilane, γ-glycidoxypropyltrimethoxysilane, γ-glycidoxypropyltriethoxysilane, γ-glycidoxypropyltripropoxysilane, γ-glycid Xipropyltributoxysilane, α-glycidoxybutyltrimethoxysilane, α-glycidoxybutyltriethoxysilane, β-glycidoxybutyltriethoxysilane, γ-glycidoxybutyltrimethoxysilane, γ-glycid Xibutyltriethoxysilane, δ-glycidoxybutyltrimethoxysilane, δ-glycidoxybutyltriethoxysilane, (3,4-epoxycyclohexyl) methyltrimethoxysilane, (3,4-epoxycyclohexyl) methyltriethoxy Silane, β- (3,4-epoxycyclohexyl) ethyltrimethoxysilane, β- (3,4-epoxycyclohexyl) ethyltriethoxysilane, β- (3,4-epoxycyclohexyl) ethyltripropoxysilane, β-( 3,4-Epoxycyclohexyl) ethyltributoxysilane, γ- (3,4-epoxycyclohexyl) propyltrimethoxysilane, γ- (3,4-epoxycyclohexyl) propyltriethoxysilane, δ- (3,4-epoxy) Cyclohexyl) butyltrimethoxysilane, δ- (3,4-epoxycyclohexyl) butyltriethoxysilane, glycidoxymethylmethyldimethoxysilane, glycidoxymethylmethyldiethoxysilane, α-glycidoxyethylmethyldimethoxysilane, α -Glysidoxyethyl methyldiethoxysilane, β-glycidoxyethylmethyldimethoxysilane, β-glycidoxyethyl ethyldimethoxysilane, α-glycidoxypropylmethyldimethoxysilane, α-glycidoxypropylmethyldiethoxysilane , Β-glycidoxypropylmethyldimethoxysilane, β -Glysidoxypropyl ethyldimethoxysilane, γ-glycidoxypropylmethyldimethoxysilane, γ-glycidoxypropylmethyldiethoxysilane, γ-glycidoxypropylmethyldipropoxysilane, γ-glycidoxypropylmethyldibutoxy Examples thereof include silane, γ-glycidoxypropyl ethyldimethoxysilane, γ-glycidoxypropylethyldiethoxysilane, γ-glycidoxypropylvinyldimethoxysilane, and γ-glycidoxypropylvinyldiethoxysilane.

また式(1−b)で表される加水分解性シランの具体例としては、アセトキシメチルトリメトキシシラン、アセトキシメチルトリエトキシシラン、アセトキシエチルトリメトキシシラン、アセトキシエチルトリエトキシシラン、アセトキシプロピルトリメトキシラン
、アセトキシプロピルトリエトキシラン、アセトキシブチルトリメトキシラン、アセトキシブチルトリエトキシラン、アセトキシペンチルトリメトキシラン、アセトキシペンチルトリエトキシラン、アセトキシフェニルトリメトキシシラン、アセトキシフェニルトリエトキシシランアセトキシベンジルトリメトキシシラン、アセトキシベンジルトリエトキシシラン、アセトキシフェニルプロピルトリメトキシシラン、アセトキシフェニルプロピルトリエトキシシラン、アセトキシメチルフェニルトリメトキシシラン、アセトキシメチルフェニルトリエトキシシラン、アセトキシエチルフェニルトリメトキシシラン、アセトキシエチルフェニルトリエトキシシラン、アセトキシメチルベンジルトリメトキシシラン、アセトキシメチルベンジルトリメトキシシラン、ジ(アセトキシメチル)フェニルトリメトキシシラン、ジ(アセトキシメチル)フェニルトリエトキシシラン、ジ(アセトキシエチル)フェニルトリメトキシシラン、ジ(アセトキシエチル)フェニルトリエトキシシラン等が挙げられる。
Specific examples of the hydrolyzable silane represented by the formula (1-b) include acetoxymethyltrimethoxysilane, acetoxymethyltriethoxysilane, acetoxyethyltrimethoxysilane, acetoxyethyltriethoxysilane, and acetoxypropyltrimethoxylan. , Acetoxypropyltriethoxylan, Acetoxybutyltrimethoxylan, Acetoxybutyltriethoxylan, Acetoxypentyl Trimethoxylan, Acetoxypentyl Triethoxylan, Acetoxyphenyl Trimethoxysilane, Acetoxyphenyl Triethoxysilane Acetoxybenzyl Trimethoxysilane, Acetoxybenzyl Triethoxysilane, Acetoxyphenylpropyltrimethoxysilane, Acetoxyphenylpropyltriethoxysilane, Acetoxymethylphenyltrimethoxysilane, Acetoxymethylphenyltriethoxysilane, Acetoxyethylphenyltrimethoxysilane, Acetoxyethylphenyltriethoxysilane, Acetoxymethylbenzyltrimethoxysilane , Acetoxymethylbenzyltrimethoxysilane, di (acetoxymethyl) phenyltrimethoxysilane, di (acetoxymethyl) phenyltriethoxysilane, di (acetoxyethyl) phenyltrimethoxysilane, di (acetoxyethyl) phenyltriethoxysilane, etc. Be done.

〔その他のシラン化合物(加水分解性シラン)〕
本発明においては、上記加水分解性シラン混合物において、上記式(1−a)で表される加水分解性シラン、式(1−b)で表される加水分解性シランとともに、上記式以外の加水分解性シランを使用することができる。なお、以下に示す化合物の例示において、エポキシ基を有する有機基を含む加水分解性シランやアセトキシ基を有する有機基を含む加水分解性シランが例示されている場合があるが、これら化合物はそれぞれエポキシ基を有する有機基を含む加水分解性シラン、アセトキシ基を有する有機基を含む加水分解性シランとして使用することができる。
[Other silane compounds (hydrolyzable silane)]
In the present invention, in the hydrolyzable silane mixture, the hydrolyzable silane represented by the above formula (1-a), the hydrolyzable silane represented by the formula (1-b), and water other than the above formula are added. Degradable silane can be used. In the examples of the compounds shown below, a hydrolyzable silane containing an organic group having an epoxy group and a hydrolyzable silane containing an organic group having an acetoxy group may be exemplified, but these compounds are each epoxy. It can be used as a hydrolyzable silane containing an organic group having a group and a hydrolyzable silane containing an organic group having an acetoxy group.

例えば膜密度等の膜物性の調整等を目的として、下記式(2)で表される加水分解性シラン及び下記式(3)で表される加水分解性シランから選ばれる少なくとも1種(その他の加水分解性シラン)を使用することができる。これらその他の加水分解性シランの中でも、式(2)で表される加水分解性シランが好ましい。 For example, at least one selected from the hydrolyzable silane represented by the following formula (2) and the hydrolyzable silane represented by the following formula (3) for the purpose of adjusting the film physical characteristics such as the film density (others). Hydrolyzable silane) can be used. Among these other hydrolyzable silanes, the hydrolyzable silane represented by the formula (2) is preferable.

Figure 2021189314
式(2)中、Rは、Si−C結合によりケイ素原子に結合する基であって、互いに独立して、置換されていてもよいアルキル基、置換されていてもよいアリール基、置換されていてもよいアラルキル基、置換されていてもよいハロゲン化アルキル基、置換されていてもよいハロゲン化アリール基、置換されていてもよいハロゲン化アラルキル基、置換されていてもよいアルコキシアルキル基、置換されていてもよいアルコキシアリール基、置換されていてもよいアルコキシアラルキル基、若しくは置換されていてもよいアルケニル基を表すか、又はアクリロイル基、メタクリロイル基、メルカプト基、アミノ基、アミド基、アルコキシ基、スルホニル基、若しくはシアノ基を含む有機基、又はそれらの組み合わせを表す。
またRは、ケイ素原子に結合する基又は原子であって、互いに独立して、アルコキシ基、アラルキルオキシ基、アシルオキシ基、又はハロゲン原子を表す。
そしてcは、0〜3の整数を表す。
Figure 2021189314
In the formula (2), R 4 is a group bonded to the silicon atom by Si-C bond, independently of one another, an optionally substituted alkyl group, an aryl group which may be substituted, it is substituted Aralkyl group which may be substituted, alkyl halide group which may be substituted, aryl halide group which may be substituted, aralkyl group which may be substituted, alkoxyalkyl group which may be substituted, Represents a optionally substituted alkoxyaryl group, an optionally substituted alkoxyaralkyl group, or an optionally substituted alkenyl group, or an acrylloyl group, a methacryloyl group, a mercapto group, an amino group, an amide group, an alkoxy. Represents a group, a sulfonyl group, an organic group containing a cyano group, or a combination thereof.
The R 5 is a group or atom attached to silicon atoms, each independently represent an alkoxy group, an aralkyloxy group, an acyloxy group, or a halogen atom.
And c represents an integer of 0 to 3.

上記Rにおける各基の具体例、及びそれらの好適な炭素原子数としては、上述の基、例えばR2a及びR2bについて上述した基及び炭素原子数を挙げることができる。
上記Rにおける各基の具体例、及びそれらの好適な炭素原子数としては、上述の基、R3a及びR3bについて上述した基及び原子並びに炭素原子数を挙げることができる。
またcは好ましくは0又は1を表し、より好ましくは0である。
Specific examples of each group represented by R 4, and as their preferred number of carbon atoms, may be mentioned the groups described above, for example, the R 2a and groups and number of carbon atoms mentioned above for R 2b.
The above specific examples of each group in R 5, and as their preferred number of carbon atoms, the above groups, may be mentioned groups and atoms and number of carbon atoms mentioned above for R 3a and R 3b.
Further, c preferably represents 0 or 1, and more preferably 0.

Figure 2021189314
式(3)中、Rは、Si−C結合によりケイ素原子に結合する基であって、互いに独立して、置換されていてもよいアルキル基、置換されていてもよいアリール基、置換されていてもよいアラルキル基、置換されていてもよいハロゲン化アルキル基、置換されていてもよいハロゲン化アリール基、置換されていてもよいハロゲン化アラルキル基、置換されていてもよいアルコキシアルキル基、置換されていてもよいアルコキシアリール基、置換されていてもよいアルコキシアラルキル基、若しくは置換されていてもよいアルケニル基を表すか、又はアクリロイル基、メタクリロイル基、メルカプト基、アミノ基、アミド基、アルコキシ基、スルホニル基、若しくはシアノ基を含む有機基、又はそれらの組み合わせを表す。
またRは、ケイ素原子に結合する基又は原子であって、互いに独立して、アルコキシ基、アラルキルオキシ基、アシルオキシ基、又はハロゲン原子を表す。
Yは、Si−C結合によりケイ素原子に結合する基であって、互いに独立して、アルキレン基又はアリーレン基を表す。
そして、dは0又は1を表し、eは0又は1を表す。
Figure 2021189314
In formula (3), R 6 is a group bonded to a silicon atom by a Si—C bond, and is an alkyl group which may be substituted independently of each other, an aryl group which may be substituted, and a substituted group. Aralkyl group which may be substituted, alkyl halide group which may be substituted, aryl halide group which may be substituted, aralkyl group which may be substituted, alkoxyalkyl group which may be substituted, Represents a optionally substituted alkoxyaryl group, an optionally substituted alkoxyaralkyl group, or an optionally substituted alkenyl group, or an acrylloyl group, a methacryloyl group, a mercapto group, an amino group, an amide group, an alkoxy. Represents a group, a sulfonyl group, an organic group containing a cyano group, or a combination thereof.
Further, R 7 is a group or atom bonded to a silicon atom, and independently represents an alkoxy group, an aralkyloxy group, an acyloxy group, or a halogen atom.
Y is a group bonded to a silicon atom by a Si—C bond and represents an alkylene group or an arylene group independently of each other.
And d represents 0 or 1, and e represents 0 or 1.

上記R及びRにおける各基の具体例、及びそれらの好適な炭素原子数としては、上述の基及び炭素原子数を挙げることができる。
上記Rにおける各基の具体例、及びそれらの好適な炭素原子数としては、上述の基、例えばR2a及びR2bについて上述した基及び炭素原子数を挙げることができる。
上記Rにおける各基の具体例、及びそれらの好適な炭素原子数としては、上述の基、例えばR3a及びR3bについて上述した基及び原子並びに炭素原子数を挙げることができる。
また上記Yにおけるアルキレン基の具体例としては、メチレン基、エチレン基、トリメチレン基、テトラメチレン基、ペンタメチレン基、ヘキサメチレン基、ヘプタメチレン基、オクタメチレン基、ノナメチレン基、デカメチレン基等の直鎖状アルキレン基、1−メチルトリメチレン基、2−メチルトリメチレン基、1,1−ジメチルエチレン基、1−メチルテトラメチレン基、2−メチルテトラメチレン基、1,1−ジメチルトリメチレン基、1,2−ジメチルトリメチレン基、2,2−ジメチルトリメチレン基、1−エチルトリメチレン基等の分岐鎖状アルキレン基等のアルキレン基、メタントリイル基、エタン−1,1,2−トリイル基、エタン−1,2,2−トリイル基、エタン−2,2,2−トリイル基、プロパン−1,1,1−トリイル基、プロパン−1,1,2−トリイル基、プロパン−1,2,3−トリイル基、プロパン−1,2,2−トリイル基、プロパン−1,1,3−トリイル基、ブタン−1,1,1−トリイル基、ブタン−1,1,2−トリイル基、ブタン−1,1,3−トリイル基、ブタン−1,2,3−トリイル基、ブタン−1,2,4−トリイル基、ブタン−1,2,2−トリイル基、ブタン−2,2,3−トリイル基、2−メチルプロパン−1,1,1−トリイル基、2−メチルプロパン−1,1,2−トリイル基、2−メチルプロパン−1,1,3−トリイル基、2−メチルプロパン−1,1,1−トリイル基のアルカントリイル基等が挙げられるが、これらに限定されない。
またアリーレン基の具体例としては、1,2−フェニレン基、1,3−フェニレン基、1,4−フェニレン基;1,5−ナフタレンジイル基、1,8−ナフタレンジイル基、2,6−ナフタレンジイル基、2,7−ナフタレンジイル基、1,2−アントラセンジイル基、1,3−アントラセンジイル基、1,4−アントラセンジイル基、1,5−アントラセンジイル基、1,6−アントラセンジイル基、1,7−アントラセンジイル基、1,8
−アントラセンジイル基、2,3−アントラセンジイル基、2,6−アントラセンジイル基、2,7−アントラセンジイル基、2,9−アントラセンジイル基、2,10−アントラセンジイル基、9,10−アントラセンジイル基等の縮合環芳香族炭化水素化合物の芳香環上の水素原子を二つ取り除いて誘導される基;4,4’−ビフェニルジイル基、4,4”−パラテルフェニルジイル基の環連結芳香族炭化水素化合物の芳香環上の水素原子を二つ取り除いて誘導される基等が挙げられるが、これらに限定されない。
またdは好ましくは0又は1を表し、より好ましくは0である。
さらにeは好ましくは1である。
Specific examples of each group in R 6 and R 7 and a suitable number of carbon atoms thereof include the above-mentioned groups and the number of carbon atoms.
Specific examples of each group represented by R 6, and as their preferred number of carbon atoms, may be mentioned the groups described above, for example, the R 2a and groups and number of carbon atoms mentioned above for R 2b.
The above specific examples of each group in R 7, and as their preferred number of carbon atoms, may be mentioned the above-mentioned groups, such as R 3a and groups described above and atoms and number of carbon atoms for R 3b.
Specific examples of the alkylene group in Y include linear chains such as methylene group, ethylene group, trimethylene group, tetramethylene group, pentamethylene group, hexamethylene group, heptamethylene group, octamethylene group, nonamethylene group, and decamethylene group. Alkane group, 1-methyltrimethylene group, 2-methyltrimethylene group, 1,1-dimethylethylene group, 1-methyltetramethylene group, 2-methyltetramethylene group, 1,1-dimethyltrimethylene group, 1 , 2-dimethyltrimethylene group, 2,2-dimethyltrimethylene group, alkylene group such as branched alkylene group such as 1-ethyltrimethylene group, methanetriyl group, ethane-1,1,2-triyl group, ethane -1,2,2-triyl group, etan-2,2,2-triyl group, propane-1,1,1-triyl group, propane-1,1,2-triyl group, propane-1,2,3 -Triyl group, propane-1,2,2-triyl group, propane-1,1,3-triyl group, butane-1,1,1-triyl group, butane-1,1,2-triyl group, butane- 1,1,3-triyl group, butane-1,2,3-triyl group, butane-1,2,4-triyl group, butane-1,2,2-triyl group, butane-2,2,3- Triyl group, 2-methylpropane-1,1,1-triyl group, 2-methylpropane-1,1,2-triyl group, 2-methylpropane-1,1,3-triyl group, 2-methylpropane- Examples thereof include, but are not limited to, an alkanetriyl group having a 1,1,1-triyl group.
Specific examples of the arylene group include 1,2-phenylene group, 1,3-phenylene group, 1,4-phenylene group; 1,5-naphthalenediyl group, 1,8-naphthalenediyl group, 2,6-. Naphthalenediyl group, 2,7-naphthalenediyl group, 1,2-anthracendiyl group, 1,3-anthracendiyl group, 1,4-anthrasendiyl group, 1,5-anthracendiyl group, 1,6-anthrasendil Group, 1,7-anthrassendiyl group, 1,8
-Anthracene Group, 2,3-Anthracene Group, 2,6-Anthracene Group, 2,7-Anthracene Group, 2,9-Anthracene Group, 2,10-Anthracene Group, 9,10-Anthracene A group derived by removing two hydrogen atoms on the aromatic ring of a fused ring aromatic hydrocarbon compound such as a diyl group; a ring linkage of 4,4'-biphenyldiyl group and 4,4 "-paratelphenyldiyl group. Examples thereof include, but are not limited to, a group derived by removing two hydrogen atoms on the aromatic ring of the aromatic hydrocarbon compound.
Further, d preferably represents 0 or 1, and more preferably 0.
Further, e is preferably 1.

式(2)で表される加水分解性シランの具体例として、テトラメトキシシラン、テトラクロロシラン、テトラアセトキシシラン、テトラエトキシシラン、テトラn−プロポキシシラン、テトラi−プロポキシシラン、テトラn−ブトキシシラン、メチルトリメトキシシラン、メチルトリクロロシラン、メチルトリアセトキシシラン、メチルトリエトキシシラン、メチルトリプロポキシシラン、メチルトリブトキシシラン、メチルトリアミロキシシラン、メチルトリベンジルオキシシラン、メチルトリフェネチルオキシシラン、エチルトリメトキシシラン、エチルトリエトキシシラン、ビニルトリメトキシシラン、ビニルトリクロロシラン、ビニルトリアセトキシシラン、ビニルトリエトキシシラン、メトキシフェニルトリメトキシシラン、メトキシフェニルトリエトキシシラン、メトキシフェニルトリアセトキシシラン、メトキシフェニルトリクロロシラン、メトキシベンジルトリメトキシシラン、メトキシベンジルトリエトキシシラン、メトキシベンジルトリアセトキシシラン、メトキシベンジルトリクロロシラン、メトキシフェネチルトリメトキシシラン、メトキシフェネチルトリエトキシシラン、メトキシフェネチルトリアセトキシシラン、メトキシフェネチルトリクロロシラン、エトキシフェニルトリメトキシシラン、エトキシフェニルトリエトキシシラン、エトキシフェニルトリアセトキシシラン、エトキシフェニルトリクロロシラン、エトキシベンジルトリメトキシシラン、エトキシベンジルトリエトキシシラン、エトキシベンジルトリアセトキシシラン、エトキシベンジルトリクロロシラン、i−プロポキシフェニルトリメトキシシラン、i−プロポキシフェニルトリエトキシシラン、i−プロポキシフェニルトリアセトキシシラン、i−プロポキシフェニルトリクロロシラン、i−プロポキシベンジルトリメトキシシラン、i−プロポキシベンジルトリエトキシシラン、i−プロポキシベンジルトリアセトキシシラン、i−プロポキシベンジルトリクロロシラン、t−ブトキシフェニルトリメトキシシラン、t−ブトキシフェニルトリエトキシシラン、t−ブトキシフェニルトリアセトキシシラン、t−ブトキシフェニルトリクロロシラン、t−ブトキシベンジルトリメトキシシラン、t−ブトキシベンジルトリエトキシシラン、t−ブトキシベンジルトリアセトキシシラン、t−ブトキシシベンジルトリクロロシラン、メトキシナフチルトリメトキシシラン、メトキシナフチルトリエトキシシラン、メトキシナフチルトリアセトキシシラン、メトキシナフチルトリクロロシラン、エトキシナフチルトリメトキシシラン、エトキシナフチルトリエトキシシラン、エトキシナフチルトリアセトキシシラン、エトキシナフチルトリクロロシラン、γ−クロロプロピルトリメトキシシラン、γ−クロロプロピルトリエトキシシラン、γ−クロロプロピルトリアセトキシシラン、3,3,3−トリフロロプロピルトリメトキシシラン、γ−メタクリルオキシプロピルトリメトキシシラン、γ−メルカプトプロピルトリメトキシシラン、γ−メルカプトプロピルトリエトキシシラン、β−シアノエチルトリエトキシシラン、クロロメチルトリメトキシシラン、クロロメチルトリエトキシシラン、トリエトキシシリルプロピルジアリルイソシアヌレート、ビシクロ(2,2,1)ヘプテニルトリエトキシシラン、ベンゼンスルホニルプロピルトリエトキシシラン、ベンゼンスルホンアミドプロピルトリエトキシシラン、ジメチルアミノプロピルトリメトキシシラン、ジメチルジメトキシシラン、フェニルメチルジメトキシシラン、ジメチルジエトキシシラン、フェニルメチルジエトキシシラン、γ−クロロプロピルメチルジメトキシシラン、γ−クロロプロピルメチルジエトキシシラン、ジメチルジアセトキシシラン、γ−メタクリルオキシプロピルメチルジメトキシシラン、γ−メタクリルオキシプロピルメチルジエトキシシラン、γ−
メルカプトプロピルメチルジメトキシシラン、γ−メルカプトメチルジエトキシシラン、メチルビニルジメトキシシラン、メチルビニルジエトキシシランや、下記式(A−1)〜式(A−41)で表されるシラン化合物等が挙げられるが、これらに限定されない。
Specific examples of the hydrolyzable silane represented by the formula (2) include tetramethoxysilane, tetrachlorosilane, tetraacetoxysilane, tetraethoxysilane, tetran-propoxysilane, tetrai-propoxysilane, and tetran-butoxysilane. Methyltrimethoxysilane, Methyltrichlorosilane, Methyltriacetoxysilane, Methyltriethoxysilane, Methyltripropoxysilane, Methyltributoxysilane, Methyltriamiloxysilane, Methyltribenzyloxysilane, Methyltriphenethyloxysilane, Ethyltrimethoxy Silane, ethyltriethoxysilane, vinyltrimethoxysilane, vinyltrichlorosilane, vinyltriacetoxysilane, vinyltriethoxysilane, methoxyphenyltrimethoxysilane, methoxyphenyltriethoxysilane, methoxyphenyltriacetoxysilane, methoxyphenyltrichlorosilane, methoxy Benzyltrimethoxysilane, methoxybenzyltriethoxysilane, methoxybenzyltriacetoxysilane, methoxybenzyltrichlorosilane, methoxyphenetiltrimethoxysilane, methoxyphenetiltilriethoxysilane, methoxyphenetilitriactoxysilane, methoxyphenetiltichlorosilane, ethoxyphenyltrimethoxysilane , Ethoxyphenyltriethoxysilane, ethoxyphenyltriacetoxysilane, ethoxyphenyltrichlorosilane, ethoxybenzyltrimethoxysilane, ethoxybenzyltriethoxysilane, ethoxybenzyltriacetoxysilane, ethoxybenzyltrichlorosilane, i-propoxyphenyltrimethoxysilane, i -Propoxyphenyltriethoxysilane, i-propoxyphenyltriacetoxysilane, i-propoxyphenyltrichlorosilane, i-propoxybenzyltrimethoxysilane, i-propoxybenzyltriethoxysilane, i-propoxybenzyltriacetoxysilane, i-propoxybenzyl Trichlorosilane, t-butoxyphenyltrimethoxysilane, t-butoxyphenyltriethoxysilane, t-butoxyphenyltriacetoxysilane, t-butoxyphenyltrichlorosilane, t-butoxybenzyltrimethoxysilane, t-butoxybenzyltriethoxysilane, t-butoxybenzyltriacetoxysilane, t-butoxycybenzyltri Chlorosilane, methoxynaphthyltrimethoxysilane, methoxynaphthyltriethoxysilane, methoxynaphthyltrichlorosilane, methoxynaphthyltrichlorosilane, ethoxynaphthyltrimethoxysilane, ethoxynaphthyltriethoxysilane, ethoxynaphthylliactoxysilane, ethoxynaphthyltrichlorosilane, γ- Chloropropyltrimethoxysilane, γ-chloropropyltriethoxysilane, γ-chloropropyltriacetoxysilane, 3,3,3-trifluoropropyltrimethoxysilane, γ-methacryloxypropyltrimethoxysilane, γ-mercaptopropyltrimethoxy Silane, γ-mercaptopropyltriethoxysilane, β-cyanoethyltriethoxysilane, chloromethyltrimethoxysilane, chloromethyltriethoxysilane, triethoxysilylpropyldiallylisocyanurate, bicyclo (2,2,1) heptenyltriethoxysilane , Benzenesulfonylpropyltriethoxysilane, benzenesulfonamidepropyltriethoxysilane, dimethylaminopropyltrimethoxysilane, dimethyldimethoxysilane, phenylmethyldimethoxysilane, dimethyldiethoxysilane, phenylmethyldiethoxysilane, γ-chloropropylmethyldimethoxysilane , Γ-Chloropropylmethyldiethoxysilane, dimethyldiacetoxysilane, γ-methacryloxypropylmethyldimethoxysilane, γ-methacryloxypropylmethyldiethoxysilane, γ-
Examples thereof include mercaptopropylmethyldimethoxysilane, γ-mercaptomethyldiethoxysilane, methylvinyldimethoxysilane, methylvinyldiethoxysilane, and silane compounds represented by the following formulas (A-1) to (A-41). However, it is not limited to these.

Figure 2021189314
Figure 2021189314

Figure 2021189314
Figure 2021189314

Figure 2021189314
Figure 2021189314

式(3)で表されるシラン化合物の具体例としては、メチレンビストリメトキシシラン、メチレンビストリクロロシラン、メチレンビストリアセトキシシラン、エチレンビストリエトキシシラン、エチレンビストリクロロシラン、エチレンビストリアセトキシシラン、プロピレンビストリエトキシシラン、ブチレンビストリメトキシシラン、フェニレンビストリメトキシシラン、フェニレンビストリエトキシシラン、フェニレンビスメチルジエトキシシラン、フェニレンビスメチルジメトキシシラン、ナフチレンビストリメトキシシラン、ビストリメトキシジシラン、ビストリエトキシジシラン、ビスエチルジエトキシジシラン、ビスメチルジメトキシジシラン等が挙げられるが、これらに限定されない。 Specific examples of the silane compound represented by the formula (3) include methylenebistrimethoxysilane, methylenebistrichlorosilane, methylenebistriacetoxysilane, ethylenebistriethoxysilane, ethylenebistrichlorosilane, ethylenebistriacetoxysilane, propylenebistriethoxysilane, and butylene. Bistrimethoxysilane, phenylene bistrimethoxysilane, phenylene bistriethoxysilane, phenylene bismethyldiethoxysilane, phenylene bismethyldimethoxysilane, naphthylene bistrimethoxysilane, bistrimethoxydisilane, bistriethoxydisilane, bisethyldiethoxydisilane, bismethyldimethoxy Examples thereof include, but are not limited to, disilane.

これらの中でも、上記組成物から得られる膜の架橋密度を向上させて、レジスト膜の成分の当該得られる膜への拡散等を抑制し、当該レジスト膜のレジスト特性の維持・改善する観点等から、メトキシベンジルトリメトキシシラン、トリエトキシシリルプロピルジアリルイソシアヌレート、メチルトリエトキシシラン等を用いることが好ましい。
Among these, from the viewpoint of improving the cross-linking density of the film obtained from the above composition, suppressing the diffusion of the components of the resist film into the obtained film, and maintaining / improving the resist characteristics of the resist film. , Methoxybenzyltrimethoxysilane, triethoxysilylpropyldialyl isocyanurate, methyltriethoxysilane and the like are preferably used.

本発明においては、上記加水分解性シラン混合物において、オニウム基を分子内に有するシラン化合物(加水分解性オルガノシラン)を含んでいてもよい。オニウム基を分子内に有するシラン化合物(加水分解性オルガノシラン)を用いることで、加水分解性シラン
の架橋反応を効果的に且つ効率的に促進できる。
In the present invention, the hydrolyzable silane mixture may contain a silane compound (hydrolyzable organosilane) having an onium group in the molecule. By using a silane compound (hydrolyzable organosilane) having an onium group in the molecule, the cross-linking reaction of the hydrolyzable silane can be effectively and efficiently promoted.

このようなオニウム基を分子内に有する加水分解性オルガノシラン(加水分解性オルガノシラン)の好適な一例は、式(4)で表される。 A suitable example of a hydrolyzable organosilane having such an onium group in the molecule (hydrolyzable organosilane) is represented by the formula (4).

Figure 2021189314
式(4)中、R11は、ケイ素原子に結合する基であって、オニウム基又はそれを含む有機基を表す。
12は、ケイ素原子に結合する基であって、互いに独立して、置換されていてもよいアルキル基、置換されていてもよいアリール基、置換されていてもよいアラルキル基、置換されていてもよいハロゲン化アルキル基、置換されていてもよいハロゲン化アリール基、置換されていてもよいハロゲン化アラルキル基、置換されていてもよいアルコキシアルキル基、置換されていてもよいアルコキシアリール基、置換されていてもよいアルコキシアラルキル基、若しくは置換されていてもよいアルケニル基を表すか、又はアクリロイル基、メタクリロイル基、メルカプト基、アミノ基、若しくはシアノ基を含む有機基、又はそれらの組み合わせを表す。
13は、ケイ素原子に結合する基又は原子であって、互いに独立して、アルコキシ基、アラルキルオキシ基、アシルオキシ基、又はハロゲン原子を表す。
fは1又は2を表し、gは0又は1を表し、1≦f+g≦2を満たす。
Figure 2021189314
In the formula (4), R 11 is a group bonded to a silicon atom and represents an onium group or an organic group containing the onium group.
R 12 is a group bonded to a silicon atom, which is an alkyl group which may be substituted, an aryl group which may be substituted, an aralkyl group which may be substituted, and a substituent which may be substituted. May be an alkyl halide group, an optionally substituted aryl halide group, an optionally substituted aralkyl halide group, an optionally substituted alkoxyalkyl group, an optionally substituted alkoxyaryl group, substituted. Represents an alkoxyaralkyl group which may be substituted, or an alkenyl group which may be substituted, or an organic group containing an acryloyl group, a methacryloyl group, a mercapto group, an amino group, or a cyano group, or a combination thereof.
R 13 is a group or atom bonded to a silicon atom, and independently represents an alkoxy group, an aralkyloxy group, an acyloxy group, or a halogen atom.
f represents 1 or 2, g represents 0 or 1, and 1 ≦ f + g ≦ 2 is satisfied.

上記のアルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基、アルコキシアルキル基、アルコキシアリール基、アルコキシアラルキル基、アルケニル基、並びに、アクリロイル基、メタクリロイル基、メルカプト基、アミノ基又はシアノ基を含む有機基、アルコキシ基、アラルキルオキシ基、アシルオキシ基、ハロゲン原子の具体例、またアルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基、アルコキシアルキル基、アルコキシアリール基、アルコキシアラルキル基及びアルケニル基の置換基の具体例、及びそれらの好適な炭素原子数としては、R12については、例えばR2a及びR2bに関して上述したものを、R13については、例えばR3a及びR3bに関して上述したものをそれぞれ挙げることができる。 The above alkyl group, aryl group, aralkyl group, alkyl halide group, aryl halide group, aralkyl group halide, alkoxyalkyl group, alkoxyaryl group, alkoxyaralkyl group, alkenyl group, and acryloyl group, methacryloyl group, mercapto. Specific examples of a group, an organic group containing an amino group or a cyano group, an alkoxy group, an aralkyloxy group, an acyloxy group, a halogen atom, and an alkyl group, an aryl group, an aralkyl group, an alkyl halide group, an aryl halide group, and a halogenated group. those aralkyl group, an alkoxyalkyl group, specific examples of the substituents of alkoxy aryl group, alkoxy aralkyl and alkenyl groups, and as their preferred number of carbon atoms, for R 12 is, for example, described above with respect to R 2a and R 2b For R 13 , for example, the above-mentioned ones for R 3a and R 3b can be mentioned, respectively.

より詳述すれば、オニウム基の具体例としては、環状アンモニウム基又は鎖状アンモニウム基が挙げられ、第3級アンモニウム基又は第4級アンモニウム基が好ましい。
すなわち、オニウム基又はそれを含む有機基の好適な具体例としては、環状アンモニウム基若しくは鎖状アンモニウム基又はこれらの少なくとも一方を含む有機基が挙げられ、第3級アンモニウム基若しくは第4級アンモニウム基又はこれらの少なくとも一方を含む有機基が好ましい。
なお、オニウム基が環状アンモニウム基である場合、アンモニウム基を構成する窒素原子が環を構成する原子を兼ねる。この際、環を構成する窒素原子とシリコン原子とが直接又は2価の連結基を介して結合している場合と、環を構成する炭素原子とシリコン原子が直接に又は2価の連結基を介して結合している場合とがある。
More specifically, specific examples of the onium group include a cyclic ammonium group or a chain ammonium group, and a tertiary ammonium group or a quaternary ammonium group is preferable.
That is, suitable specific examples of the onium group or the organic group containing the same include a cyclic ammonium group, a chain ammonium group, or an organic group containing at least one of these, and a tertiary ammonium group or a quaternary ammonium group. Alternatively, an organic group containing at least one of these is preferable.
When the onium group is a cyclic ammonium group, the nitrogen atom constituting the ammonium group also serves as an atom constituting the ring. At this time, when the nitrogen atom constituting the ring and the silicon atom are bonded directly or via a divalent linking group, and the carbon atom constituting the ring and the silicon atom directly or divalently linking groups. It may be connected via.

本発明の好適な態様の一例においては、ケイ素原子に結合する基であるR11は、下記式(S1)で表されるヘテロ芳香族環状アンモニウム基である。

Figure 2021189314
In one example of a preferred embodiment of the present invention, R 11 is a group bonded to the silicon atom is a hetero-aromatic cyclic ammonium group represented by the following formula (S1).
Figure 2021189314

式(S1)中、A、A、A及びAは、互いに独立して、下記式(J1)〜(J3)のいずれかで表される基を表すが、A〜Aのうち少なくとも1つは、下記式(J2)で表される基である。上記式(4)におけるケイ素原子がA〜Aのいずれと結合するかに応じて、構成される環が芳香族性を示すように、A〜Aそれぞれと、それら各々に隣接し共に環を構成する原子との間の結合が、単結合であるか、二重結合であるかが定まる。 In formula (S1), A 1 , A 2 , A 3 and A 4 represent groups represented by any of the following formulas (J1) to (J3) independently of each other, but A 1 to A 4 At least one of them is a group represented by the following formula (J2). Depending on which of A 1 to A 4 the silicon atom in the above formula (4) is bonded to, A 1 to A 4 and each of them are adjacent to each other so that the constituent rings exhibit aromaticity. It is determined whether the bond between the atoms forming the ring together is a single bond or a double bond.

Figure 2021189314
式(J1)〜(J3)中、R10は、互いに独立して、単結合、水素原子、アルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基又はアルケニル基を表し、アルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基及びアルケニル基の具体例及びそれらの好適な炭素原子数としては、上述と同じものが挙げられる。
Figure 2021189314
In formulas (J1) to (J3), R 10 are independent of each other, single bond, hydrogen atom, alkyl group, aryl group, aralkyl group, alkyl halide group, aryl halide group, aralkyl group halide or alkenyl. Specific examples of the alkyl group, the aryl group, the aralkyl group, the alkyl halide group, the aryl halide group, the halogenated aralkyl group and the alkenyl group and the suitable number of carbon atoms thereof include the same as those described above. Will be.

式(S1)中、R14は、互いに独立して、アルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基、アルケニル基又はヒドロキシ基を表し、R14が2つ以上存在する場合、2つのR14は、互いに結合して環を形成していてもよく、2つのR14が形成する環は架橋環構造であってもよく、このような場合においては、環状アンモニウム基は、アダマンタン環、ノルボルネン環、スピロ環等を有することとなる。
このようなアルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基及びアルケニル基の具体例及びそれらの好適な炭素原子数としては、上述と同じものが挙げられる。
Wherein (S1), R 14, independently of one another, an alkyl group, an aryl group, an aralkyl group, a halogenated alkyl group, halogenated aryl group, a halogenated aralkyl group, an alkenyl group or a hydroxy group, R 14 is When two or more are present, the two R 14s may be coupled to each other to form a ring, or the ring formed by the two R 14s may have a crosslinked ring structure, in such cases. , The cyclic ammonium group will have an adamantan ring, a norbornen ring, a spiro ring and the like.
Specific examples of such an alkyl group, an aryl group, an aralkyl group, an alkyl halide group, an aryl halide group, a halogenated aralkyl group and an alkenyl group, and suitable carbon atoms thereof include the same as described above. ..

式(S1)中、nは、1〜8の整数であり、mは、0又は1であり、mは、0又は1から単環若しくは多環に置換可能な最大数までの正の整数である。
が0である場合、A〜Aを含む(4+n)員環が構成される。すなわち、nが1であるときは5員環、nが2であるときは6員環、nが3であるときは7員環、nが4であるときは8員環、nが5であるときは9員環、nが6であるときは10員環、nが7であるときは11員環、nが8であるときは12員環が、それぞれ構成される。
が1である場合、A〜Aを含む(4+n)員環とAを含む6員環とが縮合した縮合環が形成される。
〜Aは、式(J1)〜(J3)のいずれであるか次第で、環を構成する原子上に水素原子を有する場合と、水素原子を有さない場合があるが、A〜Aが、環を構成する原子上に水素原子を有する場合、その水素原子は、R14に置き換わっていてもよい。また、A〜A中の環構成原子以外の環構成原子に、R14が置換していてもよい。このような事情から、上述の通り、mは、0又は1から単環若しくは多環に置換可能な最大数までの整数から選択される。
In equation (S1), n 1 is an integer from 1 to 8, m 1 is 0 or 1, and m 2 is a positive number from 0 or 1 to the maximum number that can be replaced with a monocyclic or polycyclic ring. Is an integer of.
When m 1 is 0, a (4 + n 1 ) member ring containing A 1 to A 4 is configured. That is, a 5-membered ring when n 1 is 1, a 6-membered ring when n 1 is 2, a 7-membered ring when n 1 is 3, and an 8-membered ring when n 1 is 4. A 9-membered ring when n 1 is 5, a 10-membered ring when n 1 is 6, an 11-membered ring when n 1 is 7, and a 12-membered ring when n 1 is 8. It is composed.
When m 1 is 1, a condensed ring is formed by condensing a (4 + n 1 ) -membered ring containing A 1 to A 3 and a 6-membered ring containing A 4.
Depending on which of the formulas (J1) to (J3), A 1 to A 4 may have a hydrogen atom on the atom constituting the ring or may not have a hydrogen atom, but A 1 When ~ A 4 has a hydrogen atom on an atom constituting a ring, the hydrogen atom may be replaced with R 14. Further , R 14 may be substituted with a ring-constituting atom other than the ring-constituting atom in A 1 to A 4. Under these circumstances, as described above, m 2 is selected from 0 or 1 to the maximum number that can be replaced with a monocyclic or polycyclic integer.

上記式(S1)で表されるヘテロ芳香族環状アンモニウム基の結合手は、このような単環又は縮合環に存在する任意の炭素原子又は窒素原子に存在し、ケイ素原子と直接結合するか、又は連結基が結合して環状アンモニウムを含む有機基が構成され、これがケイ素原子と結合する。
このような連結基としては、アルキレン基、アリーレン基、アルケニレン基等が挙げられるが、これらに限定されない。
アルキレン基及びアリーレン基の具体例及びそれらの好適な炭素原子数としては、上述したものと同じものが挙げられる。
The bond of the heteroaromatic cyclic ammonium group represented by the above formula (S1) is present at any carbon atom or nitrogen atom present in such a monocyclic or fused ring, and is directly bonded to a silicon atom. Alternatively, a linking group is bonded to form an organic group containing cyclic ammonium, which is bonded to a silicon atom.
Examples of such a linking group include, but are not limited to, an alkylene group, an arylene group, an alkenylene group and the like.
Specific examples of the alkylene group and the arylene group and suitable carbon atoms thereof include the same as those described above.

またアルケニレン基は、アルケニル基の水素原子を更に1つ取り除いて誘導される2価の基であり、このようなアルケニル基の具体例としては、上述したものと同じものが挙げられる。アルケニレン基の炭素原子数は、特に限定されるものではないが、好ましくは40以下、より好ましくは30以下、より一層好ましくは20以下である。
その具体例としては、ビニレン、1−メチルビニレン、プロペニレン、1−ブテニレン、2−ブテニレン、1−ペンテニレン、2−ペンテニレン基等が挙げられるが、これらに限定されない。
Further, the alkenylene group is a divalent group derived by further removing one hydrogen atom of the alkenyl group, and specific examples of such an alkenyl group include the same as those described above. The number of carbon atoms of the alkenylene group is not particularly limited, but is preferably 40 or less, more preferably 30 or less, and even more preferably 20 or less.
Specific examples thereof include, but are not limited to, vinylene, 1-methylvinylene, propenylene, 1-butenylene, 2-butenylene, 1-pentenylene, 2-pentenylene group and the like.

上記式(S1)で表されるヘテロ芳香族環状アンモニウム基を有する式(4)で表されるシラン化合物(加水分解性オルガノシラン)の具体例を以下に挙げるが、これらに限定されない。

Figure 2021189314
Specific examples of the silane compound (hydrolyzable organosilane) represented by the formula (4) having a heteroaromatic cyclic ammonium group represented by the above formula (S1) are given below, but are not limited thereto.
Figure 2021189314

Figure 2021189314
Figure 2021189314

Figure 2021189314
Figure 2021189314

またその他の一例において、上記式(4)中のケイ素原子に結合する基であるR11は、下記式(S2)で表されるヘテロ脂肪族環状アンモニウム基とすることができる。

Figure 2021189314
式(S2)中、A、A、A及びAは、互いに独立して、下記式(J4)〜(J
6)のいずれかで表される基を表すが、A〜Aのうち少なくとも1つは、下記式(J5)で表される基である。上記式(4)におけるケイ素原子がA〜Aのいずれと結合するかに応じて、構成される環が非芳香族性を示すように、A〜Aそれぞれと、それら各々に隣接し共に環を構成する原子との結合が、単結合であるか、二重結合であるかが定まる。 In another example, R 11 which is a group bonded to a silicon atom in the above formula (4) can be a heteroaliphatic cyclic ammonium group represented by the following formula (S2).
Figure 2021189314
In the formula (S2), A 5 , A 6 , A 7 and A 8 are independent of each other and have the following formulas (J4) to (J).
Represents a group represented by any one of 6), at least one of A 5 to A 8 is a group represented by the following formula (J5). Silicon atoms in the above formula (4) depending on whether bound with any A 5 to A 8, as the ring is constructed showing the non-aromatic, and A 5 to A 8 each, adjacent to their respective It is determined whether the bond with the atom constituting the ring is a single bond or a double bond.

Figure 2021189314
式(J4)〜(J6)中、R10は、互いに独立して、単結合、水素原子、アルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基又はアルケニル基を表し、アルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基及びアルケニル基の具体例及びそれらの好適な炭素原子数としては、上述したものと同じものが挙げられる。
Figure 2021189314
In formulas (J4) to (J6), R 10 is independent of each other and has a single bond, a hydrogen atom, an alkyl group, an aryl group, an aralkyl group, an alkyl halide group, an aryl halide group, an aralkyl group halide or an alkenyl. Specific examples of an alkyl group, an aryl group, an aralkyl group, an alkyl halide group, an aryl halide group, an aralkyl halide group and an alkenyl group and their suitable carbon atoms are the same as those described above. Can be mentioned.

式(S2)中、R15は、互いに独立して、アルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基、アルケニル基又はヒドロキシ基を表し、R15が2つ以上存在する場合、2つのR15は、互いに結合して環を形成していてもよく、2つのR15が形成する環は架橋環構造であってもよく、このような場合においては、環状アンモニウム基は、アダマンタン環、ノルボルネン環、スピロ環等を有することとなる。
アルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基及びアルケニル基の具体例及びそれらの好適な炭素原子数としては、上述したものと同じものが挙げられる。
Wherein (S2), R 15, independently of one another, an alkyl group, an aryl group, an aralkyl group, a halogenated alkyl group, halogenated aryl group, a halogenated aralkyl group, an alkenyl group or a hydroxy group, R 15 is When two or more are present, the two R 15s may be coupled to each other to form a ring, or the ring formed by the two R 15s may have a crosslinked ring structure, in such cases. , The cyclic ammonium group will have an adamantan ring, a norbornen ring, a spiro ring and the like.
Specific examples of the alkyl group, aryl group, aralkyl group, alkyl halide group, aryl halide group, halogenated aralkyl group and alkenyl group and suitable carbon atoms thereof include the same as those described above.

式(S2)中、nは、1〜8の整数であり、mは、0又は1であり、mは、0又は1から単環若しくは多環に置換可能な最大数までの正の整数である。
が0である場合、A〜Aを含む(4+n)員環が構成される。すなわち、nが1であるときは5員環、nが2であるときは6員環、nが3であるときは7員環、nが4であるときは8員環、nが5であるときは9員環、nが6であるときは10員環、nが7であるときは11員環、nが8であるときは12員環が、それぞれ構成される。
が1である場合、A〜Aを含む(4+n)員環とAを含む6員環とが縮合した縮合環が形成される。
〜Aは、式(J4)〜(J6)のいずれであるか次第で、環を構成する原子上に水素原子を有することと、水素原子を有さないことがあるが、A〜Aが、環を構成する原子上に水素原子を有する場合、その水素原子は、R15に置き換わっていてもよい。また、A〜A中の環構成原子以外の環構成原子に、R15が置換していてもよい。
このような事情から、上述の通り、mは、0又は1から単環若しくは多環に置換可能な最大数までの整数から選択される。
In the formula (S2), n 2 is an integer of 1 to 8, m 3 is 0 or 1, and m 4 is a positive number from 0 or 1 to the maximum number that can be replaced with a monocyclic or polycyclic ring. Is an integer of.
When m 3 is 0, a (4 + n 2 ) member ring containing A 5 to A 8 is configured. That is, a 5-membered ring when n 2 is 1, a 6-membered ring when n 2 is 2, a 7-membered ring when n 2 is 3, and an 8-membered ring when n 2 is 4. When n 2 is 5, there is a 9-membered ring, when n 2 is 6, there is a 10-membered ring, when n 2 is 7, there is an 11-membered ring, and when n 2 is 8, there is a 12-membered ring. It is composed.
When m 3 is 1, a condensed ring is formed by condensing a (4 + n 2 ) member ring containing A 5 to A 7 and a 6 member ring containing A 8.
Depending on which of the formulas (J4) to (J6), A 5 to A 8 may have a hydrogen atom on the atom constituting the ring or may not have a hydrogen atom, but A 5 When ~ A 8 has a hydrogen atom on the atom constituting the ring, the hydrogen atom may be replaced with R 15. Further, the ring-constituting atom other than the ring member atoms in the A 5 ~A 8, R 15 may be substituted.
Under such circumstances, as described above, m 4 is selected from an integer from 0 or 1 to a maximum number that can be substituted in the monocyclic or polycyclic.

上記式(S2)で表されるヘテロ脂肪族環状アンモニウム基の結合手は、このような単環又は縮合環に存在する任意の炭素原子又は窒素原子に存在し、ケイ素原子と直接結合するか、又は連結基が結合して環状アンモニウムを含む有機基が構成され、これがケイ素原子と結合する。
このような連結基としては、アルキレン基、アリーレン基又はアルケニレン基が挙げられ、アルキレン基、アリーレン基及びアルケニレン基の具体例及びそれらの好適な炭素原
子数としては、上述と同じものが挙げられる。
The bond of the heteroaliphatic cyclic ammonium group represented by the above formula (S2) is present at any carbon atom or nitrogen atom present in such a monocyclic or fused ring, and is directly bonded to a silicon atom. Alternatively, a linking group is bonded to form an organic group containing cyclic ammonium, which is bonded to a silicon atom.
Examples of such a linking group include an alkylene group, an arylene group or an alkenylene group, and specific examples of the alkylene group, the arylene group and the alkenylene group and suitable carbon atoms thereof include the same as described above.

上記式(S2)で表されるヘテロ脂肪族環状アンモニウム基を有する式(4)で表されるシラン化合物(加水分解性オルガノシラン)の具体例を以下に挙げるが、これらに限定されない。

Figure 2021189314
Specific examples of the silane compound (hydrolyzable organosilane) represented by the formula (4) having a heteroaliphatic cyclic ammonium group represented by the above formula (S2) are given below, but are not limited thereto.
Figure 2021189314

Figure 2021189314
Figure 2021189314

さらにその他の一例において、上記式(4)中のケイ素原子に結合する基であるR11は、下記式(S3)で表される鎖状アンモニウム基とすることができる。

Figure 2021189314
式(S3)中、R10は、互いに独立して、水素原子、アルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基又はアルケニル基を表し、アルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基及びアルケニル基の具体例及びそれらの好適な炭素原子数としては、上述したものと同じものが挙げられる。 In still another example, R 11 which is a group bonded to a silicon atom in the above formula (4) can be a chain ammonium group represented by the following formula (S3).
Figure 2021189314
In the formula (S3), R 10 represents a hydrogen atom, an alkyl group, an aryl group, an aralkyl group, an alkyl halide group, an aryl halide group, an aralkyl halide group or an alkenyl group independently of each other, and the alkyl group, Specific examples of the aryl group, the aralkyl group, the alkyl halide group, the aryl halide group, the halogenated aralkyl group and the alkenyl group, and suitable carbon atoms thereof include the same ones as described above.

式(S3)で表される鎖状アンモニウム基は、ケイ素原子と直接結合するか、又は連結基が結合して鎖状アンモニウム基を含む有機基が構成され、これがケイ素原子と結合する。
このような連結基としては、アルキレン基、アリーレン基又はアルケニレン基が挙げられ、アルキレン基、アリーレン基及びアルケニレン基の具体例としては、上述と同じものが挙げられる。
The chain ammonium group represented by the formula (S3) is directly bonded to the silicon atom, or the linking group is bonded to form an organic group containing the chain ammonium group, which is bonded to the silicon atom.
Examples of such a linking group include an alkylene group, an arylene group or an alkenylene group, and specific examples of the alkylene group, the arylene group and the alkenylene group include the same as described above.

上記式(S3)で表される鎖状アンモニウム基を有する式(4)で表されるシラン化合
物(加水分解性オルガノシラン)の具体例を以下に挙げるが、これらに限定されない。

Figure 2021189314
Specific examples of the silane compound (hydrolyzable organosilane) represented by the formula (4) having a chain ammonium group represented by the above formula (S3) are given below, but the present invention is not limited thereto.
Figure 2021189314

Figure 2021189314
Figure 2021189314

また上記加水分解性シラン混合物において、スルホン基を有する加水分解性シランや、スルホンアミド基を有する加水分解性シランを更に含んでいてもよい。以下、その具体例を挙げるが、これらに限定されない。
下記式中、Meはメチル基を、Etはエチル基をそれぞれ表す。
Further, the hydrolyzable silane mixture may further contain a hydrolyzable silane having a sulfone group and a hydrolyzable silane having a sulfonamide group. Specific examples thereof will be given below, but the present invention is not limited thereto.
In the following formula, Me represents a methyl group and Et represents an ethyl group.

Figure 2021189314
Figure 2021189314

Figure 2021189314
Figure 2021189314

Figure 2021189314
Figure 2021189314

さらに本発明においては、上記加水分解性シラン混合物において、環状尿素骨格を分子内に有するシラン化合物(加水分解性オルガノシラン)を含んでいてもよく、具体例としては、これに限定される訳ではないが、下記式(5−1)で表されるシラン化合物(加水分解性オルガノシラン)を挙げることができる。 Further, in the present invention, the hydrolyzable silane mixture may contain a silane compound (hydrolyzable organosilane) having a cyclic urea skeleton in the molecule, and specific examples thereof are not limited to this. However, a silane compound (hydrolyzable organosilane) represented by the following formula (5-1) can be mentioned.

Figure 2021189314
式(5−1)中、R501は、ケイ素原子に結合する基であって、互いに独立して、式
(5−2)で表される基を表す。
502は、ケイ素原子に結合する基であって、互いに独立して、置換されていてもよいアルキル基、置換されていてもよいアリール基、置換されていてもよいアラルキル基、置換されていてもよいハロゲン化アルキル基、置換されていてもよいハロゲン化アリール基、置換されていてもよいハロゲン化アラルキル基、置換されていてもよいアルコキシアルキル基、置換されていてもよいアルコキシアリール基、置換されていてもよいアルコキシアラルキル基、若しくは置換されていてもよいアルケニル基を表すか、又はエポキシ基、アクリロイル基、メタクリロイル基、メルカプト基、若しくはシアノ基を含む有機基、又はそれらの組み合わせを表す。
503は、ケイ素原子に結合する基又は原子であって、互いに独立して、アルコキシ基、アラルキルオキシ基、アシルオキシ基、又はハロゲン原子を表す。
xは1又は2を表し、yは0又は1を表し、x+y≦2を満たす。
Figure 2021189314
In formula (5-1), R501 is a group bonded to a silicon atom and represents a group represented by formula (5-2) independently of each other.
R 502 is a group bonded to a silicon atom, which is an alkyl group which may be substituted, an aryl group which may be substituted, an aralkyl group which may be substituted, and a substituent which may be substituted. May be an alkyl halide group, an optionally substituted aryl halide group, an optionally substituted aralkyl halide group, an optionally substituted alkoxyalkyl group, an optionally substituted alkoxyaryl group, substituted. Represents an alkoxyaralkyl group which may be substituted, or an alkenyl group which may be substituted, or an organic group containing an epoxy group, an acryloyl group, a methacryloyl group, a mercapto group, or a cyano group, or a combination thereof.
R 503 is a group or atom bonded to a silicon atom, and independently represents an alkoxy group, an aralkyloxy group, an acyloxy group, or a halogen atom.
x represents 1 or 2, y represents 0 or 1, and x + y ≦ 2 is satisfied.

上記R502のアルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基、アルコキシアルキル基、アルコキシアリール基、アルコキシアラルキル基、アルケニル基、並びに、エポキシ基、アクリロイル基、メタクリロイル基、メルカプト基、又はシアノ基を含む有機基の具体例、並びに、R503のアルコキシ基、アラルキルオキシ、アシルオキシ基及びハロゲン原子の具体例、並びにそれらの置換基の具体例、好適な炭素原子数等は、例えばR502については、例えばR2a及びR2bに関して上述したものを、R503については、例えばR3a及びR3bに関して上述したものを挙げることができる。 Alkyl group of the R 502, aryl group, aralkyl group, halogenated alkyl group, halogenated aryl group, a halogenated aralkyl group, an alkoxyalkyl group, an alkoxyaryl group, alkoxy aralkyl group, an alkenyl group, and an epoxy group, an acryloyl group specific examples of the organic group containing a methacryloyl group, a mercapto group, or a cyano group, and specific examples of alkoxy groups, aralkyloxy, specific examples of the acyloxy group and a halogen atom, and the substituents of R 503, preferred carbon As for the number of atoms and the like, for example, for R 502 , the above-mentioned ones for R 2a and R 2b can be mentioned, and for R 503 , for example , those mentioned above for R 3a and R 3b can be mentioned.

Figure 2021189314
式(5−2)中、R504は、互いに独立して、水素原子、置換されていてもよいアルキル基、置換されていてもよいアルケニル基、又はエポキシ基若しくはスルホニル基を含む有機基を表す。
505は、互いに独立して、アルキレン基、ヒドロキシアルキレン基、スルフィド結合(−S−)、エーテル結合(−O−)又はエステル結合(−CO−O−又は−O−CO−)を表す。
なお、R504の置換されていてもよいアルキル基、置換されていてもよいアルケニル基及びエポキシ基を含む有機基の具体例、好適な炭素原子数等は、上述したものと同じものが挙げられるが、これらの他、置換されていてもアルキル基としては、末端の水素原子がビニル基で置換されたアルキル基が好ましく、その具体例としては、アリル基、2−ビニルエチル基、3−ビニルプロピル基、4−ビニルブチル基等が挙げられる。
Figure 2021189314
In formula (5-2), R 504 represents a hydrogen atom, an optionally substituted alkyl group, an optionally substituted alkenyl group, or an organic group containing an epoxy group or a sulfonyl group, independently of each other. ..
R 505 independently represents an alkylene group, a hydroxyalkylene group, a sulfide bond (-S-), an ether bond (-O-) or an ester bond (-CO-O- or -O-CO-).
Specific examples of the optionally substituted alkyl group of R 504 , the specific examples of the organic group containing the optionally substituted alkenyl group and the epoxy group, the suitable number of carbon atoms and the like are the same as those described above. However, in addition to these, as the alkyl group even if substituted, an alkyl group in which the terminal hydrogen atom is substituted with a vinyl group is preferable, and specific examples thereof include an allyl group, a 2-vinylethyl group, and a 3-vinylpropyl group. Groups, 4-vinylbutyl groups and the like can be mentioned.

スルホニル基を含む有機基としては、スルホニル基を含む限り特に限定されるものではなく、置換されていてもよいアルキルスルホニル基、置換されていてもよいアリールスルホニル、置換されていてもよいアラルキルスルホニル基、置換されていてもよいハロゲン化アルキルスルホニル基、置換されていてもよいハロゲン化アリールスルホニル基、置換されていてもよいハロゲン化アラルキルスルホニル基、置換されていてもよいアルコキシアルキルスルホニル基、置換されていてもよいアルコキシアリールスルホニル基、置換されていてもよいアルコキシアラルキルスルホニル基、置換されていてもよいアルケニルスルホニル基等が挙げられ、これらの基におけるアルキル基、アリール基、アラルキル基、
ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基、アルコキシアルキル基、アルコキシアリール基、アルコキシアラルキル基及びアルケニル基並びにそれらの置換基の具体例、好適な炭素原子数等は、上述したものと同じものが挙げられる。
The organic group containing a sulfonyl group is not particularly limited as long as it contains a sulfonyl group, and may be substituted alkylsulfonyl group, optionally substituted arylsulfonyl, or optionally substituted aralkylsulfonyl group. , An optionally substituted alkyl halide sulfonyl group, an optionally substituted aryl halide sulfonyl group, an optionally substituted aralkyl sulfonyl halide group, an optionally substituted alkoxyalkylsulfonyl group, substituted. Examples thereof include an alkoxyarylsulfonyl group which may be present, an alkoxyaralkylsulfonyl group which may be substituted, an alkenylsulfonyl group which may be substituted, and the alkyl group, the aryl group, and the aralkyl group in these groups.
Specific examples of the alkyl halide group, the aryl halide group, the aralkyl halide group, the alkoxyalkyl group, the alkoxyaryl group, the alkoxyaralkyl group and the alkenyl group and their substituents, the suitable number of carbon atoms and the like are as described above. The same can be mentioned.

アルキレン基は、上記アルキル基の水素原子を更に1つ取り除いて誘導される2価の基であり、直鎖状、分岐鎖状、環状のいずれでもよく、このようなアルキレン基の具体例としては、上述したものと同じものが挙げられる。アルキレン基の炭素原子数は、特に限定されるものではないが、好ましくは40以下、より好ましくは30以下、より一層好ましくは20以下、更に好ましくは10以下である。 The alkylene group is a divalent group derived by further removing one hydrogen atom of the alkyl group, and may be linear, branched or cyclic, and specific examples of such an alkylene group include , The same as those mentioned above. The number of carbon atoms of the alkylene group is not particularly limited, but is preferably 40 or less, more preferably 30 or less, still more preferably 20 or less, still more preferably 10 or less.

また、アルキレン基は、スルフィド結合、エーテル結合及びエステル結合から選ばれる1種又は2種以上を、その末端又は途中、好ましくは途中に有していてもよい。
アルキレン基の具体例としては、メチレン基、エチレン基、トリメチレン基、メチルエチレン基、テトラメチレン基、ペンタメチレン基、ヘキサメチレン基、ヘプタメチレン基、オクタメチレン基、ノナメチレン基、デカメチレン基等の直鎖状アルキレン基、1−メチルトリメチレン基、2−メチルトリメチレン基、1,1−ジメチルエチレン基、1−メチルテトラメチレン基、2−メチルテトラメチレン基、1,1−ジメチルトリメチレン基、1,2−ジメチルトリメチレン基、2,2−ジメチルトリメチレン基、1−エチルトリメチレン基等の分岐鎖状アルキレン基、1,2−シクロプロピパンジイル基、1,2−シクロブタンジイル、1,3−シクロブチタンジイル基、1,2−シクロヘキサンジイル、1,3−シクロヘキサンジイル等の環状アルキレン等、−CHOCH−、−CHCHOCH−、−CHCHOCHCH−、−CHCHCHOCHCH−、−CHCHOCHCHCH−、−CHCHCHOCHCHCH−、−CHSCH−、−CHCHSCH−、−CHCHSCHCH−、−CHCHCHSCHCH−、−CHCHSCHCHCH−、−CHCHCHSCHCHCH−、−CHOCHCHSCH−等のエーテル基等を含むアルキレン基が挙げられるが、これらに限定されない。
Further, the alkylene group may have one or more selected from a sulfide bond, an ether bond and an ester bond at the terminal or in the middle, preferably in the middle.
Specific examples of the alkylene group include a straight chain such as a methylene group, an ethylene group, a trimethylene group, a methylethylene group, a tetramethylene group, a pentamethylene group, a hexamethylene group, a heptamethylene group, an octamethylene group, a nonamethylene group, and a decamethylene group. Alkylene group, 1-methyltrimethylene group, 2-methyltrimethylene group, 1,1-dimethylethylene group, 1-methyltetramethylene group, 2-methyltetramethylene group, 1,1-dimethyltrimethylene group, 1 , 2-Dimethyltrimethylene group, 2,2-dimethyltrimethylene group, 1-ethyltrimethylene group and other branched chain alkylene groups, 1,2-cyclopropipandyl group, 1,2-cyclobutandyl, 1, Cyclic alkylene such as 3-cyclobutitaniumdiyl group, 1,2-cyclohexanediyl, 1,3-cyclohexanediyl, etc., -CH 2 OCH 2- , -CH 2 CH 2 OCH 2- , -CH 2 CH 2 OCH 2 CH 2 −, −CH 2 CH 2 CH 2 OCH 2 CH 2 −, −CH 2 CH 2 OCH 2 CH 2 CH 2 −, −CH 2 CH 2 CH 2 OCH 2 CH 2 CH 2 −, −CH 2 SCH 2 −, −CH 2 CH 2 SCH 2 −, −CH 2 CH 2 SCH 2 CH 2 −, −CH 2 CH 2 CH 2 SCH 2 CH 2 −, −CH 2 CH 2 SCH 2 CH 2 CH 2 −, −CH Examples thereof include, but are not limited to, alkylene groups containing ether groups such as 2 CH 2 CH 2 SCH 2 CH 2 CH 2 −, −CH 2 OCH 2 CH 2 SCH 2 −.

ヒドロキシアルキレン基は、上記アルキレン基の水素原子の少なくとも1つが、ヒドロキシ基に置き換わったものであり、その具体例としては、ヒドロキシメチレン基、1−ヒドロキシエチレン基、2−ヒドロキシエチレン基、1,2−ジヒドロキシエチレン基、1−ヒドロキシトリメチレン基、2−ヒドロキシトリメチレン基、3−ヒドロキシトリメチレン基、1−ヒドロキシテトラメチレン基、2−ヒドロキシテトラメチレン基、3−ヒドロキシテトラメチレン基、4−ヒドロキシテトラメチレン基、1,2−ジヒドロキシテトラメチレン基、1,3−ジヒドロキシテトラメチレン基、1,4−ジヒドロキシテトラメチレン基、2,3−ジヒドロキシテトラメチレン基、2,4−ジヒドロキシテトラメチレン基、4,4−ジヒドロキシテトラメチレン基等が挙げられるが、これらに限定されない。 The hydroxyalkylene group has at least one hydrogen atom of the alkylene group replaced with a hydroxy group, and specific examples thereof include a hydroxymethylene group, a 1-hydroxyethylene group, a 2-hydroxyethylene group, and 1,2. -Dihydroxyethylene group, 1-hydroxytrimethylene group, 2-hydroxytrimethylene group, 3-hydroxytrimethylene group, 1-hydroxytetramethylene group, 2-hydroxytetramethylene group, 3-hydroxytetramethylene group, 4-hydroxy Tetramethylene group, 1,2-dihydroxytetramethylene group, 1,3-dihydroxytetramethylene group, 1,4-dihydroxytetramethylene group, 2,3-dihydroxytetramethylene group, 2,4-dihydroxytetramethylene group, 4 , 4-Dihydroxytetramethylene group and the like, but are not limited thereto.

式(5−2)中、X501は、互いに独立して、下記式(5−3)乃至式(5−5)で表される基を表し、下記式(5−4)及び式(5−5)におけるケトン基(−C(=O)−)の炭素原子は、式(5−2)におけるR505が結合する窒素原子と結合する。

Figure 2021189314
式(5−3)乃至式(5−5)中、R506乃至R510は、互いに独立して、水素原子、又は置換されていてもよいアルキル基、置換されていてもよいアルケニル基、エポキシ基、スルホニル基若しくはそれらのいずれかを含む有機基を表し、置換されていてもよいアルキル基、置換されていてもよいアルケニル基及びエポキシ基を含む有機基の具体例及び好適な炭素原子数等は、R2a及びR2bに関し上述したものと同じものが挙げられる。
中でも、優れたリソグラフィー特性を再現性よく実現する観点から、X501は式(5−5)で表される基が好ましい。 In the formula (5-2), X 501 represents a group represented by the following formulas (5-3) to (5-5) independently of the following formulas (5-4) and (5). The carbon atom of the ketone group (-C (= O)-) in −5) is bonded to the nitrogen atom to which R505 is bonded in the formula (5-2).
Figure 2021189314
In formulas (5-3) to (5-5), R 506 to R 510 are independent of each other, a hydrogen atom, an alkyl group which may be substituted, an alkenyl group which may be substituted, and an epoxy. Representing an organic group containing a group, a sulfonyl group or any of them, a specific example of an organic group containing a optionally substituted alkyl group, an optionally substituted alkenyl group and an epoxy group, a suitable number of carbon atoms, etc. Can be the same as those described above for R 2a and R 2b.
Above all, from the viewpoint of realizing excellent lithography characteristics with good reproducibility, X 501 is preferably a group represented by the formula (5-5).

優れたリソグラフィー特性を再現性良く実現する観点から、R504及びR506乃至R510の少なくとも1つは、末端の水素原子がビニル基で置換されたアルキル基であることが好ましい。 From the viewpoint of achieving excellent lithography characteristics with good reproducibility, it is preferable that at least one of R 504 and R 506 to R 510 is an alkyl group in which a terminal hydrogen atom is substituted with a vinyl group.

上記式(5−1)で表されるシラン化合物(加水分解性オルガノシラン)は、市販品を用いてもよく、国際公開第2011/102470号等に記載の公知方法で合成することもできる。 The silane compound (hydrolyzable organosilane) represented by the above formula (5-1) may be a commercially available product, or may be synthesized by a known method described in International Publication No. 2011/102470 or the like.

以下、式(5−1)で表される加水分解性オルガノシランの具体例を挙げるが、これらに限定されない。

Figure 2021189314
Hereinafter, specific examples of the hydrolyzable organosilane represented by the formula (5-1) will be given, but the present invention is not limited thereto.
Figure 2021189314

Figure 2021189314
Figure 2021189314

Figure 2021189314
Figure 2021189314

また上記の例示以外にも、本発明の効果を損なわない範囲において、上記加水分解性シラン混合物には、上記の例示以外のその他の加水分解性シランを含んでいてよい。 In addition to the above examples, the hydrolyzable silane mixture may contain other hydrolyzable silanes other than the above examples as long as the effects of the present invention are not impaired.

上記の加水分解シラン混合物の加水分解縮合物(a)は、上述のシラン化合物(加水分解性シラン)を加水分解及び縮合することで得られる。
上記シラン化合物(加水分解性シラン)は、ケイ素原子に直接結合するアルコキシ基、アラルキルオキシ基、アシルオキシ基、ハロゲン原子を、すなわち加水分解性基であるアルコキシシリル基、アラルキルオキシシリル基、アシロキシシリル基、ハロゲン化シリル基を含む。
これら加水分解性基の加水分解には、加水分解性基の1モル当たり、通常0.5〜100モル、好ましくは1〜10モルの水を用いる。
加水分解及び縮合の際、反応を促進する目的等で、加水分解触媒を用いてもよいし、用いずに加水分解及び縮合を行ってもよい。加水分解触媒を用いる場合は、加水分解性基の1モル当たり、通常0.0001〜10モル、好ましくは0.001〜1モルの加水分解触媒を用いることができる。
加水分解と縮合を行う際の反応温度は、通常、室温以上、加水分解に用いられ得る有機溶媒の常圧での還流温度以下の範囲であり、また加水分解に用いられ得る有機溶媒の常圧での還流温度以下の範囲で実施され得、例えば20乃至110℃、また例えば20乃至80℃とすることができる
加水分解は完全に加水分解を行う、すなわち、全ての加水分解性基をシラノール基に変えてもよいし、部分加水分解する、即ち未反応の加水分解性基を残してもよい。
加水分解し縮合させる際に使用可能な加水分解触媒としては、金属キレート化合物、有機酸、無機酸、有機塩基、無機塩基を挙げることができる。
The hydrolyzed condensate (a) of the above-mentioned hydrolyzed silane mixture is obtained by hydrolyzing and condensing the above-mentioned silane compound (hydrolyzable silane).
The above-mentioned silane compound (hydrolyzable silane) has an alkoxy group, an aralkyloxy group, an acyloxy group, or a halogen atom directly bonded to a silicon atom, that is, an alkoxysilyl group, an aralkyloxysilyl group, or an acyloxysilyl which is a hydrolyzable group. Includes groups and silyl halide groups.
For the hydrolysis of these hydrolyzable groups, usually 0.5 to 100 mol, preferably 1 to 10 mol of water is used per 1 mol of the hydrolyzable group.
At the time of hydrolysis and condensation, a hydrolysis catalyst may be used for the purpose of accelerating the reaction, or hydrolysis and condensation may be carried out without using the hydrolysis catalyst. When a hydrolysis catalyst is used, a hydrolysis catalyst of usually 0.0001 to 10 mol, preferably 0.001 to 1 mol, can be used per mol of the hydrolyzable group.
The reaction temperature for hydrolysis and condensation is usually in the range of room temperature or higher, reflux temperature or less at normal pressure of an organic solvent that can be used for hydrolysis, and normal pressure of an organic solvent that can be used for hydrolysis. Hydrolysis can be carried out in the range below the reflux temperature in, eg 20-110 ° C., and for example 20-80 ° C., is completely hydrolyzed, i.e. all hydrolyzable groups are silanol groups. Or may be partially hydrolyzed, i.e. leaving unreacted hydrolyzable groups.
Examples of the hydrolysis catalyst that can be used for hydrolysis and condensation include metal chelate compounds, organic acids, inorganic acids, organic bases, and inorganic bases.

加水分解触媒としての金属キレート化合物は、例えばトリエトキシ・モノ(アセチルアセトナート)チタン、トリ−n−プロポキシ・モノ(アセチルアセトナート)チタン、トリ−i−プロポキシ・モノ(アセチルアセトナート)チタン、トリ−n−ブトキシ・モノ(アセチルアセトナート)チタン、トリ−sec−ブトキシ・モノ(アセチルアセトナート)チタン、トリ−t−ブトキシ・モノ(アセチルアセトナート)チタン、ジエトキシ・ビス(アセチルアセトナート)チタン、ジ−n−プロポキシ・ビス(アセチルアセトナート)チタン、ジ−i−プロポキシ・ビス(アセチルアセトナート)チタン、ジ−n−ブトキシ・ビス(アセチルアセトナート)チタン、ジ−sec−ブトキシ・ビス(アセチルアセトナート)チタン、ジ−t−ブトキシ・ビス(アセチルアセトナート)チタン、モノエトキシ・トリス(アセチルアセトナート)チタン、モノ−n−プロポキシ・トリス(アセチルアセトナート)チタン、モノ−i−プロポキシ・トリス(アセチルアセトナート)チタン、モノ−n−ブトキシ・トリス(アセチルアセトナート)チタン、モノ−sec−ブトキシ・トリス(アセチルアセトナート)チタン、モノ−t−ブトキシ・トリス(アセチルアセトナート)チタン、テトラキス(アセチルアセトナート)チタン、トリエトキシ・モノ(エチルアセトアセテート)チタン、トリ−n−プロポキシ・モノ(エチルアセトアセテート)チタン、トリ−i−プロポキシ・モノ(エチルアセトアセテート)チタン、トリ−n−ブトキシ・モノ(エチルアセトアセテート)チタン、トリ−sec−ブトキシ・モノ(エチルアセトアセテート)チタン、トリ−t−ブトキシ・モノ(エチルアセトアセテート)チタン、ジエトキシ・ビス(エチルアセトアセテート)チタン、ジ−n−プロポキシ・ビス(エチルアセトアセテート)チタン、ジ−i−プロポキシ・ビス(エチルアセトアセテート)チタン、ジ−n−ブトキシ・ビス(エチルアセトアセテート)チタン、ジ−sec−ブトキシ・ビス(エチルアセトアセテート)チタン、ジ−t−ブトキシ・ビス(エチルアセトアセテート)チタン、モノエトキシ・トリス(エチルアセトアセテート)チタン、モノ−n−プロポキシ・トリス(エチルアセトアセテート)チタン、モノ−i−プロポキシ・トリス(エチルアセトアセテート)チタン、モノ−n−ブトキシ・トリス(エチルアセトアセテート)チタン、モノ−sec−ブトキシ・トリス(エチルアセトアセテート)チタン、モノ−t−ブトキシ・トリス(エチルアセトアセテート)チタン、テトラキス(エチルアセトアセテート)チタン、モノ(アセチルアセトナート)トリス(エチルアセトアセテート)チタン、ビス(アセチルアセトナート)ビス(エチルアセトアセテート)チタン、トリス(アセチルアセトナート)モノ(エチルアセトアセテート)チタン等のチタンキレート化合物;トリエトキシ・モノ(アセチルアセトナート)ジルコニウム、トリ−n−プロポキシ・モノ(アセチルアセトナート)ジルコニウム、トリ−i−プロポキシ・モノ(アセチルアセトナート)ジルコニウム、トリ−n−ブトキシ・モノ(アセチルアセトナート)ジルコニウム、トリ−sec−ブトキシ・モノ(アセチルアセトナート)ジルコニウム、トリ−t−ブトキシ・モノ(アセチルアセトナート)ジルコニウム、ジエトキシ・ビス(アセチルアセトナート)ジルコニウム、ジ−n−プロポキシ・ビス(アセチルアセトナート)ジルコニウム、ジ−i−プロポキシ・ビス(アセチルアセトナート)ジルコニウム、ジ−n−ブトキシ・ビス(アセチルアセトナート)ジルコニウム、ジ−sec−ブトキシ・ビス(アセチルアセトナート)ジルコニウム、ジ−t−ブトキシ・ビス(アセチルアセトナート)ジルコニウム、モノエトキシ・トリス(アセチルアセトナート)ジルコニウム、モノ−n−プロポキシ・トリス(アセチルアセトナート)ジルコニウム、モノ−i−プロポキシ・トリス(アセチルアセトナート)ジルコニウム、モノ−n−ブトキシ・トリス(アセチルアセトナート)ジルコニウム、モノ−sec−ブトキシ・トリス(アセチルアセトナート)ジルコニウム、モノ−t−ブトキシ・トリス(アセチルアセトナート)ジルコニウム、テトラキス(アセチルアセトナート)ジルコニウム、トリエトキシ・モノ(エチルアセトアセテート)ジルコニウム、トリ−n−プロポキシ・モノ(エチルアセトアセテート)ジルコニウム、トリ−i−プロポキシ・モノ(エチルアセト
アセテート)ジルコニウム、トリ−n−ブトキシ・モノ(エチルアセトアセテート)ジルコニウム、トリ−sec−ブトキシ・モノ(エチルアセトアセテート)ジルコニウム、トリ−t−ブトキシ・モノ(エチルアセトアセテート)ジルコニウム、ジエトキシ・ビス(エチルアセトアセテート)ジルコニウム、ジ−n−プロポキシ・ビス(エチルアセトアセテート)ジルコニウム、ジ−i−プロポキシ・ビス(エチルアセトアセテート)ジルコニウム、ジ−n−ブトキシ・ビス(エチルアセトアセテート)ジルコニウム、ジ−sec−ブトキシ・ビス(エチルアセトアセテート)ジルコニウム、ジ−t−ブトキシ・ビス(エチルアセトアセテート)ジルコニウム、モノエトキシ・トリス(エチルアセトアセテート)ジルコニウム、モノ−n−プロポキシ・トリス(エチルアセトアセテート)ジルコニウム、モノ−i−プロポキシ・トリス(エチルアセトアセテート)ジルコニウム、モノ−n−ブトキシ・トリス(エチルアセトアセテート)ジルコニウム、モノ−sec−ブトキシ・トリス(エチルアセトアセテート)ジルコニウム、モノ−t−ブトキシ・トリス(エチルアセトアセテート)ジルコニウム、テトラキス(エチルアセトアセテート)ジルコニウム、モノ(アセチルアセトナート)トリス(エチルアセトアセテート)ジルコニウム、ビス(アセチルアセトナート)ビス(エチルアセトアセテート)ジルコニウム、トリス(アセチルアセトナート)モノ(エチルアセトアセテート)ジルコニウム等のジルコニウムキレート化合物;トリス(アセチルアセトナート)アルミニウム、トリス(エチルアセトアセテート)アルミニウム等のアルミニウムキレート化合物等などを挙げることをできるが、これらに限定されない。
Examples of the metal chelate compound as a hydrolysis catalyst include triethoxy mono (acetylacetonate) titanium, tri-n-propoxymono (acetylacetonate) titanium, tri-i-propoxymono (acetylacetonate) titanium, and tri. -N-Butoxy mono (acetylacetonet) titanium, tri-sec-butoxymono (acetylacetonate) titanium, trit-butoxymono (acetylacetonate) titanium, diethoxybis (acetylacetonate) titanium , Di-n-propoxybis (acetylacetonate) titanium, di-i-propoxybis (acetylacetonate) titanium, di-n-butoxybis (acetylacetonate) titanium, di-sec-butoxybis (Acetylacetonate) Titanium, Di-t-butoxy-bis (Acetylacetonet) Titanium, Monoethoxytris (Acetylacetonet) Titanium, Mono-n-Propoxytris (Acetylacetonate) Titanium, Mono-i- Propoxy Tris (Acetylacetonate) Titanium, Mono-n-Butoxy Tris (Acetylacetonate) Titanium, Mono-sec-Butoxy Tris (Acetylacetonate) Titanium, Mono-t-Butoxy Tris (Acetylacetonate) Titanium, Tetrakiss (Acetylacetonet) Titanium, Triethoxy Mono (Ethylacetone Acetate) Titanium, Tri-n-Propoxy Mono (Ethylacetone Acetate) Titanium, Tri-i-Propoxy Mono (Ethylacetone Acetate) Titanium, Tri- n-butoxy mono (ethylacetone acetate) titanium, tri-sec-butoxy mono (ethylacetone acetate) titanium, trit-butoxy mono (ethylacetone acetate) titanium, diethoxy bis (ethylacetone acetate) titanium, Di-n-propoxybis (ethylacetone acetate) titanium, di-i-propoxybis (ethylacetoneacetate) titanium, di-n-butoxybis (ethylacetoneacetate) titanium, di-sec-butoxybis ( Ethylacetacetate) Titanium, Di-t-butoxy-bis (ethylacetoneacetate) titanium, Monoethoxytris (ethylacetoneacetate) titanium, Mono-n-propoxytris (ethylacetoneacetate) titanium, Mono-i-propoxy・ Tris (ethylacetone acetate) titanium, mono-n-butoxy tris (d) Chilacetacetate) Titanium, Mono-sec-Butoxytris (Ethylacetacetate) Titanium, Mono-t-Butoxytris (Ethylacetacetate) Titanium, Tetrakiss (Ethylacetacetate) Titanium, Mono (Acetylacetonate) Tris ( Titanium chelate compounds such as ethylacetacetate) titanium, bis (acetylacetonate) bis (ethylacetonate) titanium, tris (acetylacetonate) mono (ethylacetoacetate) titanium; triethoxymono (acetylacetonate) zirconium, tri -N-propoxymono (acetylacetonate) zirconium, tri-i-propoxymono (acetylacetonate) zirconium, tri-n-butoxymono (acetylacetonate) zirconium, tri-sec-butoxymono (acetyl) Acetonate) Zirconium, Trit-butoxy mono (acetylacetonate) zirconium, Diethoxybis (acetylacetonate) zirconium, di-n-propoxybis (acetylacetonate) zirconium, di-i-propoxybis (Acetylacetonate) Zirconium, di-n-butoxy-bis (acetylacetonate) zirconium, di-sec-butoxy-bis (acetylacetonate) zirconium, di-t-butoxy-bis (acetylacetonato) zirconium, mono Ethoxytris (acetylacetonate) zirconium, mono-n-propoxytris (acetylacetonate) zirconium, mono-i-propoxytris (acetylacetonate) zirconium, mono-n-butoxytris (acetylacetonate) Zirconium, mono-sec-butoxy tris (acetylacetonate) zirconium, mono-t-butoxytris (acetylacetonate) zirconium, tetrakis (acetylacetonate) zirconium, triethoxy mono (ethylacetoacetate) zirconium, tri- n-propoxy mono (ethyl acetoacetate) zirconium, tri-i-propoxy mono (ethyl acetoacetate) zirconium, tri-n-butoxy mono (ethyl acetoacetate) zirconium, tri-sec-butoxy mono (ethyl aceto) Acetate) Zirconium, Trit-butoxy Mono (Ethylacet Acetate) Zirconium, Diethoxybis (D) Tyracetoacetate) Zirconium, di-n-propoxybis (ethylacetate) zirconium, di-i-propoxybis (ethylacetate) zirconium, di-n-butoxybis (ethylacetate) zirconium, di- sec-butoxy bis (ethyl acetoacetate) zirconium, dit-butoxy bis (ethyl acetoacetate) zirconium, monoethoxy tris (ethyl acetoacetate) zirconium, mono-n-propoxy tris (ethyl acetoacetate) zirconium , Mono-i-propoxytris (ethylacetate) zirconium, mono-n-butoxytris (ethylacetacetate) zirconium, mono-sec-butoxytris (ethylacetacetate) zirconium, mono-t-butoxytris (Ethylacetacetate) Zirconium, Tetrakiss (Ethylacetacetate) Zirconium, Mono (Acetylacetonate) Tris (Ethylacetacetate) Zirconium, Bis (Acetylacetonate) Bis (Ethylacetacetate) Zirconium, Tris (Acetylacetonate) Mono (Ethylacetacetate) Zirconium chelating compounds such as zirconium; aluminum chelating compounds such as tris (acetylacetonate) aluminum and tris (ethylacetacetate) aluminum can be mentioned, but are not limited thereto.

加水分解触媒としての有機酸は、例えば酢酸、プロピオン酸、ブタン酸、ペンタン酸、ヘキサン酸、ヘプタン酸、オクタン酸、ノナン酸、デカン酸、シュウ酸、マレイン酸、メチルマロン酸、アジピン酸、セバシン酸、没食子酸、酪酸、メリット酸、アラキドン酸、2−エチルヘキサン酸、オレイン酸、ステアリン酸、リノール酸、リノレイン酸、サリチル酸、安息香酸、p−アミノ安息香酸、p−トルエンスルホン酸、ベンゼンスルホン酸、モノクロロ酢酸、ジクロロ酢酸、トリクロロ酢酸、トリフルオロ酢酸、ギ酸、マロン酸、スルホン酸、フタル酸、フマル酸、クエン酸、酒石酸等を挙げることができるが、これらに限定されない。 Organic acids as hydrolysis catalysts include, for example, acetic acid, propionic acid, butanoic acid, pentanoic acid, hexanoic acid, heptonic acid, octanoic acid, nonanoic acid, decanoic acid, oxalic acid, maleic acid, methylmalonic acid, adipic acid, sebacin. Acid, gallic acid, butyric acid, merit acid, arachidonic acid, 2-ethylhexanoic acid, oleic acid, stearic acid, linoleic acid, linoleic acid, salicylic acid, benzoic acid, p-aminobenzoic acid, p-toluenesulfonic acid, benzenesulfon Examples thereof include, but are not limited to, acids, monochloroacetic acid, dichloroacetic acid, trichloroacetic acid, trifluoroacetic acid, formic acid, malonic acid, sulfonic acid, phthalic acid, fumaric acid, citric acid, tartrate acid and the like.

加水分解触媒としての無機酸は、例えば塩酸、硝酸、硫酸、フッ酸、リン酸等を挙げることができるが、これらに限定されない。 Examples of the inorganic acid as a hydrolysis catalyst include, but are not limited to, hydrochloric acid, nitric acid, sulfuric acid, phosphoric acid, phosphoric acid and the like.

加水分解触媒としての有機塩基は、例えばピリジン、ピロール、ピペラジン、ピロリジン、ピペリジン、ピコリン、トリメチルアミン、トリエチルアミン、モノエタノールアミン、ジエタノールアミン、ジメチルモノエタノールアミン、モノメチルジエタノールアミン、トリエタノールアミン、ジアザビシクロオクタン、ジアザビシクロノナン、ジアザビシクロウンデセン、テトラメチルアンモニウムヒドロキシド、テトラエチルアンモニウムヒドロキシド、テトラプロピルアンモニウムヒドロキシド、テトラブチルアンモニウムヒドロキシド、トリメチルフェニルアンモニウムヒドロキシド、ベンジルトリメチルアンモニウムヒドロキシド、ベンジルトリエチルアンモニウムヒドロキシド等を挙げることができるが、これらに限定されない。
加水分解触媒としての無機塩基は、例えばアンモニア、水酸化ナトリウム、水酸化カリウム、水酸化バリウム、水酸化カルシウム等を挙げることができるが、これらに限定されない。
Organic bases as hydrolysis catalysts include, for example, pyridine, pyrrol, piperazine, pyrrolidine, piperidine, picolin, trimethylamine, triethylamine, monoethanolamine, diethanolamine, dimethylmonoethanolamine, monomethyldiethanolamine, triethanolamine, diazabicyclooctane, diah. Zabicyclononane, diazabicycloundecene, tetramethylammonium hydroxide, tetraethylammonium hydroxide, tetrapropylammonium hydroxide, tetrabutylammonium hydroxide, trimethylphenylammonium hydroxide, benzyltrimethylammonium hydroxide, benzyltriethylammonium hydroxide Etc., but are not limited to these.
Examples of the inorganic base as the hydrolysis catalyst include, but are not limited to, ammonia, sodium hydroxide, potassium hydroxide, barium hydroxide, calcium hydroxide and the like.

これらの加水分解触媒のうち、加水分解縮合物(a)の加水分解縮合反応時において、加水分解性シラン分子毎に加水分解が進む、すなわち、1個の加水分解性シラン(分子)内に存在する少なくとも1つの加水分解性基が加水分解されたシラン化合物において、同一分子内の別の加水分解性基の加水分解が促進される観点から、有機塩基や無機塩基を用いることが好ましく、これらは1種を単独で使用してもよいし、2種以上を組み合わせて
使用してもよい。たとえば、これら(アルカリ性物質)の水溶液を用いることができる。本発明では、加水分解性シランの加水分解縮合時にアルカリ性物質水溶液を存在させ、シラノール基の形成を優先して加水分解縮合物(a)を得た後、後述するように無機酸の添加やカチオン交換樹脂により、エポキシ基をジヒドロキシ基に変化させ、ジヒドロキシ基を有する有機基を含む加水分解縮合物(A)を得ることができる。
なお加水分解縮合反応時に、上記エポキシ基の開環反応が生じた場合、上記加水分解縮合反応と、加水分解性シランのシラノール基と開環により生じたヒドロキシ基の副反応(脱水縮合)が同時に進行し得、その場合、得られる加水分解縮合物がゲル化し、ゲル状構造となり得るため好ましくない。
Among these hydrolysis catalysts, during the hydrolysis condensation reaction of the hydrolysis condensate (a), hydrolysis proceeds for each hydrolyzable silane molecule, that is, it is present in one hydrolyzable silane (molecule). In the silane compound in which at least one hydrolyzable group is hydrolyzed, it is preferable to use an organic base or an inorganic base from the viewpoint of promoting the hydrolysis of another hydrolyzable group in the same molecule. One type may be used alone, or two or more types may be used in combination. For example, an aqueous solution of these (alkaline substances) can be used. In the present invention, an alkaline substance aqueous solution is present at the time of hydrolysis condensation of hydrolyzable silane, priority is given to the formation of silanol groups to obtain a hydrolysis condensate (a), and then an inorganic acid is added or cations are used as described later. The exchange resin can be used to change the epoxy group to a dihydroxy group to obtain a hydrolyzed condensate (A) containing an organic group having a dihydroxy group.
When the ring-opening reaction of the epoxy group occurs during the hydrolysis-condensation reaction, the hydrolysis-condensation reaction and the side reaction (dehydration condensation) of the silanol group of the hydrolyzable silane and the hydroxy group generated by the ring-opening occur at the same time. It can proceed, in which case the resulting hydrolyzed condensate can gel and form a gel-like structure, which is not preferred.

加水分解をする際、溶媒として有機溶媒を用いてもよく、その具体例としては、例えばn−ペンタン、i−ペンタン、n−ヘキサン、i−ヘキサン、n−ヘプタン、i−ヘプタン、2,2,4−トリメチルペンタン、n−オクタン、i−オクタン、シクロヘキサン、メチルシクロヘキサン等の脂肪族炭化水素系溶媒;ベンゼン、トルエン、キシレン、エチルベンゼン、トリメチルベンゼン、メチルエチルベンゼン、n−プロピルベンセン、i−プロピルベンセン、ジエチルベンゼン、i−ブチルベンゼン、トリエチルベンゼン、ジ−i−プロピルベンセン、n−アミルナフタレン、トリメチルベンゼン等の芳香族炭化水素系溶媒;メタノール、エタノール、n−プロパノール、i−プロパノール、n−ブタノール、i−ブタノール、sec−ブタノール、t−ブタノール、n−ペンタノール、i−ペンタノール、2−メチルブタノール、sec−ペンタノール、t−ペンタノール、3−メトキシブタノール、n−ヘキサノール、2−メチルペンタノール、sec−ヘキサノール、2−エチルブタノール、n−ヘプタノール、sec−ヘプタノール、3−ヘプタノール、n−オクタノール、2−エチルヘキサノール、sec−オクタノール、n−ノニルアルコール、2,6−ジメチル−4−ヘプタノール、n−デカノール、sec−ウンデシルアルコール、トリメチルノニルアルコール、sec−テトラデシルアルコール、sec−ヘプタデシルアルコール、フェノール、シクロヘキサノール、メチルシクロヘキサノール、3,3,5−トリメチルシクロヘキサノール、ベンジルアルコール、フェニルメチルカルビノール、ジアセトンアルコール、クレゾール等のモノアルコール系溶媒;エチレングリコール、プロピレングリコール、1,3−ブチレングリコール、2,4−ペンタンジオール、2−メチル−2,4−ペンタンジオール、2,5−ヘキサンジオール、2,4−ヘプタンジオール、2−エチル−1,3−ヘキサンジオール、ジエチレングリコール、ジプロピレングリコール、トリエチレングリコール、トリプロピレングリコール、グリセリン等の多価アルコール系溶媒;アセトン、メチルエチルケトン、メチル−n−プロピルケトン、メチル−n−ブチルケトン、ジエチルケトン、メチル−i−ブチルケトン、メチル−n−ペンチルケトン、エチル−n−ブチルケトン、メチル−n−ヘキシルケトン、ジ−i−ブチルケトン、トリメチルノナノン、シクロヘキサノン、メチルシクロヘキサノン、2,4−ペンタンジオン、アセトニルアセトン、ジアセトンアルコール、アセトフェノン、フェンチョン等のケトン系溶媒;エチルエーテル、i−プロピルエーテル、n−ブチルエーテル、n−ヘキシルエーテル、2−エチルヘキシルエーテル、エチレンオキシド、1,2−プロピレンオキシド、ジオキソラン、4−メチルジオキソラン、ジオキサン、ジメチルジオキサン、エチレングリコールモノメチルエーテル、エチレングリコールモノエチルエーテル、エチレングリコールジエチルエーテル、エチレングリコールモノ−n−ブチルエーテル、エチレングリコールモノ−n−ヘキシルエーテル、エチレングリコールモノフェニルエーテル、エチレングリコールモノ−2−エチルブチルエーテル、エチレングリコールジブチルエーテル、ジエチレングリコールモノメチルエーテル、ジエチレングリコールモノエチルエーテル、ジエチレングリコールジエチルエーテル、ジエチレングリコールモノ−n−ブチルエーテル、ジエチレングリコールジ−n−ブチルエーテル、ジエチレングリコールモノ−n−ヘキシルエーテル、エトキシトリグリコール、テトラエチレングリコールジ−n−ブチルエーテル、プロピレングリコールモノメチルエーテル(1−メトキシ−2−プロパノール)、プロピレングリコールモノエチルエーテル(1−エトキシ−2−
プロパノール)、プロピレングリコールモノプロピルエーテル、プロピレングリコールモノブチルエーテル、プロピレングリコールモノメチルエーテルアセテート(1−メトキシ−2−プロパノールモノアセテート)、ジプロピレングリコールモノメチルエーテル、ジプロピレングリコールモノエチルエーテル、ジプロピレングリコールモノプロピルエーテル、ジプロピレングリコールモノブチルエーテル、トリプロピレングリコールモノメチルエーテル、テトラヒドロフラン、2−メチルテトラヒドロフラン等のエーテル系溶媒;ジエチルカーボネート、酢酸メチル、酢酸エチル、γ−ブチロラクトン、γ−バレロラクトン、酢酸n−プロピル、酢酸i−プロピル、酢酸n−ブチル、酢酸i−ブチル、酢酸sec−ブチル、酢酸n−ペンチル、酢酸sec−ペンチル、酢酸3−メトキシブチル、酢酸メチルペンチル、酢酸2−エチルブチル、酢酸2−エチルヘキシル、酢酸ベンジル、酢酸シクロヘキシル、酢酸メチルシクロヘキシル、酢酸n−ノニル、アセト酢酸メチル、アセト酢酸エチル、酢酸エチレングリコールモノメチルエーテル、酢酸エチレングリコールモノエチルエーテル、酢酸ジエチレングリコールモノメチルエーテル、酢酸ジエチレングリコールモノエチルエーテル、酢酸ジエチレングリコールモノ−n−ブチルエーテル、酢酸プロピレングリコールモノメチルエーテル、酢酸プロピレングリコールモノエチルエーテル、酢酸プロピレングリコールモノプロピルエーテル、酢酸プロピレングリコールモノブチルエーテル、酢酸ジプロピレングリコールモノメチルエーテル、酢酸ジプロピレングリコールモノエチルエーテル、ジ酢酸グリコール、酢酸メトキシトリグリコール、プロピオン酸エチル、プロピオン酸n−ブチル、プロピオン酸i−アミル、シュウ酸ジエチル、シュウ酸ジ−n−ブチル、乳酸メチル、乳酸エチル、乳酸n−ブチル、乳酸n−アミル、マロン酸ジエチル、フタル酸ジメチル、フタル酸ジエチル等のエステル系溶媒;N−メチルホルムアミド、N,N−ジメチルホルムアミド、N,N−ジエチルホルムアミド、アセトアミド、N−メチルアセトアミド、N,N−ジメチルアセトアミド、N−メチルプロピオンアミド、N−メチル−2−ピロリドン等の含窒素系溶媒;硫化ジメチル、硫化ジエチル、チオフェン、テトラヒドロチオフェン、ジメチルスルホキシド、スルホラン、1,3−プロパンスルトン等の含硫黄系溶媒等を挙げることができるが、これらに限定されない。これらの溶媒は、1種単独で又は2種以上組み合わせて用いることができる。
When hydrolyzing, an organic solvent may be used as a solvent, and specific examples thereof include n-pentane, i-pentane, n-hexane, i-hexane, n-heptane, i-heptane, 2,2. , 4-trimethylpentane, n-octane, i-octane, cyclohexane, methylcyclohexane and other aliphatic hydrocarbon solvents; benzene, toluene, xylene, ethylbenzene, trimethylbenzene, methylethylbenzene, n-propylbensen, i-propylbensen , Diethylbenzene, i-butylbenzene, triethylbenzene, di-i-propylbensen, n-amylnaphthalene, trimethylbenzene and other aromatic hydrocarbon solvents; methanol, ethanol, n-propanol, i-propanol, n-butanol, i-butanol, sec-butanol, t-butanol, n-pentanol, i-pentanol, 2-methylbutanol, sec-pentanol, t-pentanol, 3-methoxybutanol, n-hexanol, 2-methylpen Tanol, sec-hexanol, 2-ethylbutanol, n-heptanol, sec-heptanol, 3-heptanol, n-octanol, 2-ethylhexanol, sec-octanol, n-nonyl alcohol, 2,6-dimethyl-4-heptanol , N-decanol, sec-undecyl alcohol, trimethylnonyl alcohol, sec-tetradecyl alcohol, sec-heptadecyl alcohol, phenol, cyclohexanol, methylcyclohexanol, 3,3,5-trimethylcyclohexanol, benzyl alcohol, phenyl Monoalcoholic solvents such as methylcarbinol, diacetone alcohol, cresol; ethylene glycol, propylene glycol, 1,3-butylene glycol, 2,4-pentanediol, 2-methyl-2,4-pentanediol, 2,5 -Polyhydric alcohol solvents such as hexanediol, 2,4-heptanediol, 2-ethyl-1,3-hexanediol, diethylene glycol, dipropylene glycol, triethylene glycol, tripropylene glycol, glycerin; acetone, methyl ethyl ketone, methyl -N-propylketone, methyl-n-butylketone, diethylketone, methyl-i-butylketone, methyl-n-pentylketone, ethyl-n-butylketone, methyl-n-hexylketone, di-i-ve Ketone solvents such as tylketone, trimethylnonanone, cyclohexanone, methylcyclohexanone, 2,4-pentandione, acetonylacetone, diacetone alcohol, acetophenone, fenchon; ethyl ether, i-propyl ether, n-butyl ether, n- Hexyl ether, 2-ethylhexyl ether, ethylene oxide, 1,2-propylene oxide, dioxolane, 4-methyldioxolan, dioxane, dimethyldioxane, ethylene glycol monomethyl ether, ethylene glycol monoethyl ether, ethylene glycol diethyl ether, ethylene glycol mono-n -Butyl ether, ethylene glycol mono-n-hexyl ether, ethylene glycol monophenyl ether, ethylene glycol mono-2-ethyl butyl ether, ethylene glycol dibutyl ether, diethylene glycol monomethyl ether, diethylene glycol monoethyl ether, diethylene glycol diethyl ether, diethylene glycol mono-n- Butyl ether, diethylene glycol di-n-butyl ether, diethylene glycol mono-n-hexyl ether, ethoxytriglycol, tetraethylene glycol di-n-butyl ether, propylene glycol monomethyl ether (1-methoxy-2-propanol), propylene glycol monoethyl ether ( 1-ethoxy-2-
(Propanol), propylene glycol monopropyl ether, propylene glycol monobutyl ether, propylene glycol monomethyl ether acetate (1-methoxy-2-propanol monoacetate), dipropylene glycol monomethyl ether, dipropylene glycol monoethyl ether, dipropylene glycol monopropyl ether. , Dipropylene glycol monobutyl ether, tripropylene glycol monomethyl ether, tetrahydrofuran, 2-methyltetra ether-based solvent; diethyl carbonate, methyl acetate, ethyl acetate, γ-butyrolactone, γ-valerolactone, n-propyl acetate, i acetate -Propyl, n-butyl acetate, i-butyl acetate, sec-butyl acetate, n-pentyl acetate, sec-pentyl acetate, 3-methoxybutyl acetate, methylpentyl acetate, 2-ethylbutyl acetate, 2-ethylhexyl acetate, benzyl acetate , Cyclohexyl acetate, Methylcyclohexyl acetate, n-nonyl acetate, Methyl acetoacetate, Ethyl acetoacetate, Ethylene glycol monomethyl ether, Ethylene glycol monoethyl ether acetate, Diethylene glycol monomethyl ether acetate, Diethylene glycol monoethyl ether acetate, Diethylene glycol monoethyl acetate -Butyl ether, propylene glycol monomethyl ether acetate, propylene glycol monoethyl ether acetate, propylene glycol monopropyl ether acetate, propylene glycol monobutyl ether acetate, dipropylene glycol monomethyl ether acetate, dipropylene glycol monoethyl ether acetate, glycol diacetate, methoxy acetate Triglycol, ethyl propionate, n-butyl propionate, i-amyl propionate, diethyl oxalate, di-n-butyl oxalate, methyl lactate, ethyl lactate, n-butyl lactate, n-amyl lactate, diethyl malonate , Ether-based solvents such as dimethyl phthalate, diethyl phthalate; N-methylformamide, N, N-dimethylformamide, N, N-diethylformamide, acetamide, N-methylacetamide, N, N-dimethylacetamide, N-methyl Nitrogen-containing solvents such as propionamide, N-methyl-2-pyrrolidone; dimethyl sulfide, diethyl sulfide, thiophene, tetrahydrothiophene, dimethylsulfoxide, sulfolane, 1,3- Sulfur-containing solvents such as propane sultone can be mentioned, but the present invention is not limited thereto. These solvents can be used alone or in combination of two or more.

こうして得られた加水分解縮合物は、エポキシ基を有する有機基を含むシロキサン単位と、アセトキシ基を有する有機基を含むシロキサン単位とを含む加水分解縮合物(a)となる。
上記加水分解縮合物(a)は、エポキシ基を有する有機基を含む加水分解性シラン(例えば式(1−a)で表される化合物)を、加水分解性シラン混合物中に含まれる加水分解性シランの全量(全モル数:100モル%)に基づいて、例えば10モル%〜90モル%の割合で含み、アセトキシ基を有する有機基を含む加水分解性シラン(例えば式(1−b)で表される化合物)を、加水分解性シラン混合物中に含まれる加水分解性シランの全量(全モル数:100モル%)に基づいて、例えば10モル%〜90モル%の割合で含む、加水分解性シラン混合物の加水分解縮合物とすることができる。
The hydrolyzed condensate thus obtained is a hydrolyzed condensate (a) containing a siloxane unit containing an organic group having an epoxy group and a siloxane unit containing an organic group having an acetoxy group.
The hydrolyzable condensate (a) is a hydrolyzable silane containing an organic group having an epoxy group (for example, a compound represented by the formula (1-a)) contained in a hydrolyzable silane mixture. Based on the total amount of silane (total number of moles: 100 mol%), for example, in a hydrolyzable silane containing an organic group having an acetoxy group (eg, formula (1-b)) containing 10 mol% to 90 mol%. The compound represented) is hydrolyzed, for example, in a proportion of 10 mol% to 90 mol% based on the total amount of hydrolyzable silane contained in the hydrolyzable silane mixture (total number of moles: 100 mol%). It can be a hydrolyzed condensate of a sex silane mixture.

加水分解性シラン混合物において、エポキシ基を有する有機基を含む加水分解性シラン(例えば式(1−a)で表される化合物)及びアセトキシ基を有する有機基を含む加水分解性シラン(例えば式(1−b)で表される化合物)以外の加水分解性シランを用いる場合、エポキシ基を有する有機基を含む加水分解性シラン(例えば式(1−a)で表される化合物)の仕込み量は、加水分解性シラン混合物中に含まれる全ての加水分解性シランの仕込み量(100モル%)に対して、例えば10モル%〜90モル%とすることができる。またアセトキシ基を有する有機基を含む加水分解性シラン(例えば式(1−b)で表される化合物)の仕込み量は同10モル%〜90モル%とすることができる。本発明の上記効果を再現性よく得る観点から、好ましくは式(1−a)で表される化合物を15モル%〜85モル%、又は15モル%〜80モル%、又は20モル%〜60モル%、又は20モル%〜40モル%、或いは15モル%〜45モル%の仕込み量で、式(1−b)で表され
る化合物を15モル%〜85モル%、又は15モル%〜80モル%、又は20モル%〜60モル%、又は20モル%〜40モル%、或いは15モル%〜45モル%の仕込み量とすることができ、これらは使用する化合物によって適宜調製され得る。
加水分解性シラン混合物において、式(2)で表されるシラン化合物や式(3)で表されるシラン化合物を用いる場合、これらシラン化合物の仕込み量は、加水分解性シラン混合物中に含まれる全ての加水分解性シランの仕込み量に対して中、通常10モル%〜90モル%、例えば10モル%〜80モル%、10モル%〜70モル%、20モル%〜60モル%などとすることができる。
また加水分解性シラン混合物において、式(4)で表されるオニウム基を分子内に有する加水分解性オルガノシランを用いる場合、当該オルガノシランの仕込み量は、全てのシラン化合物(加水分解性シラン)の仕込み量に対して、通常0.01モル%以上、好ましくは0.1モル%以上であり、通常30モル%以下、好ましくは10モル%以下である。
加水分解性シラン混合物において、式(5−1)で表される加水分解性オルガノシランを用いる場合、当該オルガノシランの仕込み量は、全てのシラン化合物(加水分解性シラン)中、通常0.1モル%以上、好ましくは0.3モル%以上であり、通常50モル%以下、好ましくは30モル%以下である。
In a hydrolyzable silane mixture, a hydrolyzable silane containing an organic group having an epoxy group (for example, a compound represented by the formula (1-a)) and a hydrolyzable silane containing an organic group having an acetoxy group (for example, a formula (for example) When a hydrolyzable silane other than the compound represented by 1-b) is used, the amount of the hydrolyzable silane containing an organic group having an epoxy group (for example, the compound represented by the formula (1-a)) is charged. , For example, 10 mol% to 90 mol% can be set with respect to the charged amount (100 mol%) of all the hydrolyzable silanes contained in the hydrolyzable silane mixture. The amount of the hydrolyzable silane (for example, the compound represented by the formula (1-b)) containing an organic group having an acetoxy group can be 10 mol% to 90 mol%. From the viewpoint of obtaining the above-mentioned effects of the present invention with good reproducibility, preferably, the compound represented by the formula (1-a) is contained in an amount of 15 mol% to 85 mol%, 15 mol% to 80 mol%, or 20 mol% to 60. 15 mol% to 85 mol% or 15 mol% to the compound represented by the formula (1-b) in a charge amount of mol%, 20 mol% to 40 mol%, or 15 mol% to 45 mol%. The charging amount can be 80 mol%, or 20 mol% to 60 mol%, or 20 mol% to 40 mol%, or 15 mol% to 45 mol%, and these can be appropriately prepared depending on the compound used.
When a silane compound represented by the formula (2) or a silane compound represented by the formula (3) is used in the hydrolyzable silane mixture, the amount of these silane compounds charged is all contained in the hydrolyzable silane mixture. The amount of the hydrolyzable silane charged is usually 10 mol% to 90 mol%, for example, 10 mol% to 80 mol%, 10 mol% to 70 mol%, 20 mol% to 60 mol%, or the like. Can be done.
When a hydrolyzable organosilane having an onium group represented by the formula (4) in the molecule is used in the hydrolyzable silane mixture, the amount of the organosilane charged is all silane compounds (hydrolyzable silane). It is usually 0.01 mol% or more, preferably 0.1 mol% or more, and usually 30 mol% or less, preferably 10 mol% or less, with respect to the charged amount of.
When the hydrolyzable organosilane represented by the formula (5-1) is used in the hydrolyzable silane mixture, the amount of the organosilane charged is usually 0.1 among all the silane compounds (hydrolyzable silane). It is mol% or more, preferably 0.3 mol% or more, usually 50 mol% or less, preferably 30 mol% or less.

上記(a)加水分解縮合物において、該縮合物中のエポキシ基は開環させることによりジヒドロキシ基となり、これにより、2つ以上のヒドロキシ基を有する有機基を含むシロキサン単位と、アセトキシ基を有する有機基を含むシロキサン単位とを含む加水分解縮合物(A)を得る。
なお加水分解及び縮合反応終了後、反応液をそのままエポキシ基の開環反応に供してもよい。また該反応溶液をそのまま又は希釈若しくは濃縮し、それを中和することで、或いはイオン交換樹脂を用いて処理することで、加水分解及び縮合に用いた酸や塩基等の加水分解触媒を取り除くことができ、さらには、このような処理の前又は後に、減圧蒸留等によって、反応溶液から副生成物のアルコールや水、用いた加水分解触媒等を除去することができ、これらの操作を行った後に開環反応に供してもよい。
In the above (a) hydrolyzed condensate, the epoxy group in the condensate becomes a dihydroxy group by opening the ring, thereby having a siloxane unit containing an organic group having two or more hydroxy groups and an acetoxy group. A hydrolysis condensate (A) containing a siloxane unit containing an organic group is obtained.
After the hydrolysis and condensation reactions are completed, the reaction solution may be directly subjected to the ring-opening reaction of the epoxy group. Further, the hydrolysis catalyst such as acid or base used for hydrolysis and condensation can be removed by treating the reaction solution as it is, by diluting or concentrating it and neutralizing it, or by treating it with an ion exchange resin. Further, before or after such treatment, by vacuum distillation or the like, alcohol or water as a by-product, the hydrolyzed catalyst used, etc. could be removed from the reaction solution, and these operations were performed. It may be subjected to a ring-opening reaction later.

加水分解縮合物(a)中のエポキシ基の開環反応は、無機酸又はカチオン交換樹脂により実施され得る。
上記無機酸は、無機酸水溶液として加えることができる。無機酸水溶液の濃度は例えば、0.01M〜10M程度の濃度で用いることができる。無機酸としては例えば塩酸、硝酸、硫酸、フッ酸、リン酸等を挙げることができる。
また、カチオン交換樹脂としては強酸性カチオン樹脂(例えばスルホン酸型イオン交換樹脂)、弱酸性カチオン樹脂(例えばカルボン酸型イオン交換樹脂)が挙げられる。
なお有機酸を用いた場合、エポキシ基と有機酸の反応では有機酸残基がエポキシ基の開環反応時に付加反応が生じて、ジヒドロキシ構造を形成することができない
The ring-opening reaction of the epoxy group in the hydrolyzed condensate (a) can be carried out with an inorganic acid or a cation exchange resin.
The above-mentioned inorganic acid can be added as an aqueous solution of an inorganic acid. The concentration of the inorganic acid aqueous solution can be used, for example, at a concentration of about 0.01 M to 10 M. Examples of the inorganic acid include hydrochloric acid, nitric acid, sulfuric acid, hydrofluoric acid, phosphoric acid and the like.
Examples of the cation exchange resin include a strongly acidic cation resin (for example, a sulfonic acid type ion exchange resin) and a weakly acidic cation resin (for example, a carboxylic acid type ion exchange resin).
When an organic acid is used, in the reaction between the epoxy group and the organic acid, the organic acid residue undergoes an addition reaction during the ring opening reaction of the epoxy group, and a dihydroxy structure cannot be formed.

無機酸及びカチオン交換樹脂のプロトンは、エポキシ基の開環反応において触媒として機能する。本発明において、上述のアルカリ性物質水溶液による加水分解と縮合反応を行い、加水分解縮合物を含む反応系に無機酸又はカチオン交換樹脂を添加する場合、無機酸又はカチオン交換樹脂は残存するアルカリ性物質の中和に消費されることがある。エポキシ基の開環反応に用いられる上記プロトンは、エポキシ基に対してプロトンが0.01〜100モル%の割合で添加することによるジヒドロキシ基を生成するが、アルカリ性物質の中和での消費量も考え、0.01〜1000モル%、又は0.01〜500モル%、0.01〜300モル%、0.01〜100モル%の割合で添加することができる。 The protons of the inorganic acid and the cation exchange resin function as catalysts in the ring-opening reaction of the epoxy group. In the present invention, when the above-mentioned hydrolysis with an aqueous solution of an alkaline substance and a condensation reaction are carried out and an inorganic acid or a cation exchange resin is added to a reaction system containing a hydrolysis condensate, the inorganic acid or the cation exchange resin is a residual alkaline substance. May be consumed for neutralization. The above-mentioned protons used in the ring-opening reaction of an epoxy group generate a dihydroxy group by adding a proton at a ratio of 0.01 to 100 mol% with respect to the epoxy group, but the amount consumed in neutralizing an alkaline substance. Also, it can be added in a ratio of 0.01 to 1000 mol%, or 0.01 to 500 mol%, 0.01 to 300 mol%, 0.01 to 100 mol%.

また、無機酸又はカチオン交換樹脂を添加した後、アニオンを除去するためにアニオン交換樹脂を用いることができる。アニオン交換樹脂としては強塩基性アニオン交換樹脂(例えば第4級アンモニウム型イオン交換樹脂)、弱塩基性アニオン交換樹脂(例えばポリ
アミン型イオン交換樹脂)を添加することができる。
上記カチオン交換樹脂とアニオン交換樹脂は反応系からろ過により容易に取り除くことができる。
Further, after adding the inorganic acid or the cation exchange resin, the anion exchange resin can be used to remove the anion. As the anion exchange resin, a strongly basic anion exchange resin (for example, a quaternary ammonium type ion exchange resin) and a weakly basic anion exchange resin (for example, a polyamine type ion exchange resin) can be added.
The cation exchange resin and the anion exchange resin can be easily removed from the reaction system by filtration.

このようにして得られた加水分解縮合物(A)(以下、ポリシロキサンとも称する)は、有機溶媒中に溶解しているポリシロキサンワニスの形態として得られ、これをそのまま後述するレジスト下層膜形成組成物の製造に用いることができる。得られたポリシロキサンワニスは溶媒置換してもよいし、また適宜溶媒で希釈してもよい。なお得られたポリシロキサンワニスは、その保存安定性が悪くなければ、有機溶媒を留去し、固形分濃度100%とすることもできる。
上記ポリシロキサンワニスの溶媒置換や希釈等に用いる有機溶媒は、加水分解性シラン混合物の加水分解及び縮合反応に用いた有機溶媒と同じでも異なってもよい。この希釈用溶媒は、特に限定されず、1種でも2種以上でも任意に選択して用いることができる。
The hydrolyzed condensate (A) (hereinafter, also referred to as polysiloxane) thus obtained is obtained in the form of a polysiloxane varnish dissolved in an organic solvent, which is used as it is for forming a resist underlayer film, which will be described later. It can be used in the production of compositions. The obtained polysiloxane varnish may be solvent-substituted or diluted with a solvent as appropriate. The obtained polysiloxane varnish may have a solid content concentration of 100% by distilling off an organic solvent as long as its storage stability is not poor.
The organic solvent used for solvent substitution or dilution of the polysiloxane varnish may be the same as or different from the organic solvent used for the hydrolysis and condensation reaction of the hydrolyzable silane mixture. The diluting solvent is not particularly limited, and either one type or two or more types can be arbitrarily selected and used.

また上記の加水分解性シラン混合物の加水分解縮合物(A)、並びにその前駆体である加水分解縮合物(a)は、その重量平均分子量を例えば500〜1,000,000とすることができる。レジスト下層膜形成組成物中での加水分解縮合物の析出等を抑制する観点等から、好ましくは重量平均分子量を500,000以下、より好ましくは250,000以下、より一層好ましくは100,000以下とすることができ、保存安定性と塗布性の両立の観点等から、好ましくは700以上、より好ましくは1,000以上とすることができる。
なお、重量平均分子量は、GPC分析によるポリスチレン換算で得られる分子量である。GPC分析は、例えばGPC装置(商品名HLC−8220GPC、東ソー(株)製)、GPCカラム(商品名Shodex(登録商標)KF803L、KF802、KF801、昭和電工(株)製)を用い、カラム温度を40℃とし、溶離液(溶出溶媒)としてテトラヒドロフランを用い、流量(流速)を1.0mL/分とし、標準試料としてポリスチレン(昭和電工(株)製)を用いて、行うことができる。
Further, the hydrolyzed condensate (A) of the above-mentioned hydrolyzable silane mixture and the hydrolyzed condensate (a) which is a precursor thereof can have a weight average molecular weight of, for example, 500 to 1,000,000. .. From the viewpoint of suppressing the precipitation of the hydrolyzed condensate in the resist underlayer film forming composition, the weight average molecular weight is preferably 500,000 or less, more preferably 250,000 or less, still more preferably 100,000 or less. From the viewpoint of achieving both storage stability and coatability, the concentration is preferably 700 or more, more preferably 1,000 or more.
The weight average molecular weight is a molecular weight obtained in terms of polystyrene by GPC analysis. For GPC analysis, for example, a GPC apparatus (trade name: HLC-8220GPC, manufactured by Toso Co., Ltd.) and a GPC column (trade name: Shodex (registered trademark) KF803L, KF802, KF801, manufactured by Showa Denko KK) are used to determine the column temperature. It can be carried out at 40 ° C., using tetrahydrofuran as the eluent (eluting solvent), setting the flow rate (flow rate) to 1.0 mL / min, and using polystyrene (manufactured by Showa Denko KK) as a standard sample.

(B)架橋性化合物(架橋剤)
本発明に用いられる架橋性化合物(B)として、アルコキシメチル基又はヒドロキシメチル基を有する環構造を含む架橋性化合物、又はブロックイソシアネート基を有する架橋性化合物を挙げることができる。
アルコキシメチル基はメトキシメチル基が好ましく用いることができる。
(B) Crosslinkable compound (crosslinking agent)
Examples of the crosslinkable compound (B) used in the present invention include a crosslinkable compound having a ring structure having an alkoxymethyl group or a hydroxymethyl group, or a crosslinkable compound having a blocked isocyanate group.
As the alkoxymethyl group, a methoxymethyl group can be preferably used.

上記架橋性化合物としてはメラミン系、置換尿素系、またはそれらのポリマー系等が挙げられる。好ましくは少なくとも2個の架橋形成置換基を有する架橋剤であり、メトキシメチル化グリコールウリル、ブトキシメチル化グリコールウリル、メトキシメチル化メラミン、ブトキシメチル化メラミン、メトキシメチル化ベンゾグワナミン、ブトキシメチル化ベンゾグワナミン、メトキシメチル化尿素、ブトキシメチル化尿素、メトキシメチル化チオ尿素、またはメトキシメチル化チオ尿素等の化合物である。また、これらの化合物の縮合体も使用することができる。テトラメトキシメチルグリコールウリルは三井サイテック(株)からパウダーリンク1174(PL−LI)として入手できる。 Examples of the crosslinkable compound include melamine-based compounds, substituted urea-based compounds, and polymer-based compounds thereof. A cross-linking agent having at least two cross-linking substituents, preferably methoxymethylated glycol uryl, butoxymethylated glycol uril, methoxymethylated melamine, butoxymethylated melamine, methoxymethylated benzogwanamine, butoxymethylated benzogwanamine, methoxy. It is a compound such as methylated urea, butoxymethylated urea, methoxymethylated thiourea, or methoxymethylated thiourea. Further, a condensate of these compounds can also be used. Tetramethoxymethyl glycol uryl is available from Mitsui Cytec Co., Ltd. as Powder Link 1174 (PL-LI).

さらに、上記架橋剤としては耐熱性の高い架橋剤を用いることができる。耐熱性の高い架橋剤としては分子内に芳香族環(例えば、ベンゼン環、ナフタレン環)を有する架橋形成置換基を含有する化合物を好ましく用いることができる。 Further, as the cross-linking agent, a cross-linking agent having high heat resistance can be used. As the cross-linking agent having high heat resistance, a compound containing a cross-linking substituent having an aromatic ring (for example, a benzene ring or a naphthalene ring) in the molecule can be preferably used.

この化合物は下記式(6)で表される部分構造を有する化合物や、下記式(7)で表される繰り返し単位を有するポリマー又はオリゴマーが挙げられる。

Figure 2021189314
式(6)中、R41及びR42はそれぞれ水素原子、炭素原子数1〜10のアルキル基、又は炭素原子数6〜20のアリール基であり、n41は1〜4の整数であり、n42は1〜(5−n41)の整数であり、n41+n42は2〜5の整数を示す。
式(7)中、R43は水素原子又は炭素原子数1〜10のアルキル基であり、R44は炭素原子数1〜10のアルキル基であり、n43は1〜4の整数であり、n44は0〜(4−n43)であり、n43+n44は1〜4の整数を示す。
オリゴマー及びポリマーは繰り返し単位構造の数が2〜100、又は2〜50の範囲で用いることができる。これらのアルキル基やアリール基は上述の例示を挙げることができる。
なお上記式(6)で表される部分構造以外の構造や式(7)で表される繰り返し単位以外の構造は特に限定されず、例えば式(6)及び式(7)中のベンゼン環は任意の置換基で置換されていてもよい。 Examples of this compound include a compound having a partial structure represented by the following formula (6) and a polymer or oligomer having a repeating unit represented by the following formula (7).
Figure 2021189314
In formula (6), R 41 and R 42 are hydrogen atoms, alkyl groups having 1 to 10 carbon atoms, or aryl groups having 6 to 20 carbon atoms, respectively, and n 41 is an integer of 1 to 4. n 42 is an integer of 1 to (5-n 41 ), and n 41 + n 42 is an integer of 2 to 5.
In formula (7), R 43 is a hydrogen atom or an alkyl group having 1 to 10 carbon atoms, R 44 is an alkyl group having 1 to 10 carbon atoms, and n 43 is an integer of 1 to 4. n 44 is 0 to (4-n 43 ), and n 43 + n 44 represents an integer of 1 to 4.
Oligomers and polymers can be used in the range of 2-100 or 2-50 repeating unit structures. Examples of these alkyl groups and aryl groups can be mentioned above.
The structure other than the partial structure represented by the above formula (6) and the structure other than the repeating unit represented by the formula (7) are not particularly limited, and for example, the benzene ring in the formula (6) and the formula (7) is used. It may be substituted with any substituent.

上記式(6)で表される部分構造を含む化合物や、式(7)で表される繰り返し単位を有するポリマー、オリゴマーは以下に例示される。

Figure 2021189314
The compound containing the partial structure represented by the above formula (6), the polymer having the repeating unit represented by the formula (7), and the oligomer are exemplified below.
Figure 2021189314

Figure 2021189314
Figure 2021189314

Figure 2021189314
Figure 2021189314

上記式(6)で表される部分構造を含む化合物や、式(7)で表される繰り返し単位を有するポリマー、オリゴマーは、旭有機材(株)、本州化学工業(株)の製品として入手することができる。
例えば旭有機材(株)製、商品名26DMPC(式(6−7)化合物)、46DMOC、DM−BIPC−F(式(6−3)化合物)、DM−BIOC−F(式(6−4)化合物)、TM−BIP−A(式(6−21)化合物);本州化学工業(株)製、商品名T−MOM−PTBP(式(6−23)化合物)、TMOM−BP(式(6−22)化合物)等にて入手することができる。
Compounds containing a partial structure represented by the above formula (6), polymers and oligomers having a repeating unit represented by the formula (7) are obtained as products of Asahi Organic Materials Co., Ltd. and Honshu Chemical Industry Co., Ltd. can do.
For example, manufactured by Asahi Organic Materials Co., Ltd., trade name 26DMPC (formula (6-7) compound), 46DMOC, DM-BIPC-F (formula (6-3) compound), DM-BIOC-F (formula (6-4) compound). ) Compound), TM-BIP-A (formula (6-21) compound); manufactured by Honshu Chemical Industry Co., Ltd., trade name T-MOM-PTBP (formula (6-23) compound), TMOM-BP (formula (6-21) compound). 6-22) Can be obtained from compounds) and the like.

(B)架橋性化合物の添加量は、使用する溶剤、使用する下地基板、要求される溶液粘度、要求される膜形状などにより変動するが、上記レジスト下層膜形成組成物の全固形分に対して0.001〜80質量%、好ましくは0.01〜50質量%、さらに好ましくは0.05〜40質量%である。これら架橋剤は自己縮合による架橋反応を起こすこともあるが、上記加水分解縮合物(A)中に架橋性置換基が存在する場合は、それらの架橋性置換基と架橋反応を起こすことができる。 The amount of the (B) crosslinkable compound added varies depending on the solvent used, the underlying substrate used, the required solution viscosity, the required film shape, and the like, but with respect to the total solid content of the resist underlayer film forming composition. It is 0.001 to 80% by mass, preferably 0.01 to 50% by mass, and more preferably 0.05 to 40% by mass. These cross-linking agents may cause a cross-linking reaction by self-condensation, but if cross-linking substituents are present in the hydrolyzed condensate (A), they can cause a cross-linking reaction with those cross-linking substituents. ..

(C)酸及び酸発生剤
さらに、本発明では、(C)酸及び酸発生剤の少なくともいずれか一方を使用する。
(C) Acid and Acid Generator Further, in the present invention, at least one of (C) acid and acid generator is used.

上記酸(酸性化合物)は架橋反応を促進する作用を有し得、例えばカンファースルホン
酸、クエン酸、p−トルエンスルホン酸、ピリジニウム−p−トルエンスルホン酸、トリフルオロメタンスルホン酸、サリチル酸、スルホサリチル酸、ピリジニウム−スルホサリチル酸、4−クロロベンゼンスルホン酸、ピリジニウム−4−クロロベンゼンスルホン酸、4−ヒドロキシベンゼンスルホン酸、ピリジニウム−4−ヒドロキシベンゼンスルホン酸、ベンゼンジスルホン酸、ピリジニウム−ベンゼンジスルホン酸、安息香酸、ヒドロキシ安息香酸、1−ナフタレンスルホン酸、及びピリジニウム−1−ナフタレンスルホン酸等を挙げることができる。これら酸は、1種のみを使用することができ、また、2種以上を組み合わせて用いることもできる。
上記酸(酸性化合物)は上記加水分解縮合物(A)の質量に対して、0.01〜10質量%、または0.05〜8質量%、または0.1〜8質量%、または0.3〜8質量%、または0.5〜5質量%で使用することができる。
The acid (acidic compound) may have an action of promoting a cross-linking reaction, for example, camphor sulfonic acid, citric acid, p-toluene sulfonic acid, pyridinium-p-toluene sulfonic acid, trifluoromethane sulfonic acid, salicyl acid, sulfosalicylic acid, Pyridinium-sulfosalicylic acid, 4-chlorobenzenesulfonic acid, pyridinium-4-chlorobenzenesulfonic acid, 4-hydroxybenzenesulfonic acid, pyridinium-4-hydroxybenzenesulfonic acid, benzenedisulfonic acid, pyridinium-benzenedisulfonic acid, benzoic acid, hydroxybenzoic acid Acids, 1-naphthalene sulfonic acid, pyridinium-1-naphthalene sulfonic acid and the like can be mentioned. Only one of these acids can be used, or two or more of these acids can be used in combination.
The acid (acidic compound) is 0.01 to 10% by mass, or 0.05 to 8% by mass, or 0.1 to 8% by mass, or 0. It can be used in an amount of 3 to 8% by mass, or 0.5 to 5% by mass.

また、酸発生剤は、熱酸発生剤や光酸発生剤が挙げられる。
中でも光酸発生剤は、レジストの露光時に酸を発生し、下層膜の酸性度の調整ができるため、下層膜の酸性度を上層のレジストとの酸性度に合わせるための一方法として有用となることから、好ましく使用できる。また、下層膜の酸性度の調整によって、上層に形成されるレジストのパターン形状の調整が可能となり得るため、こうした観点からも光酸発生剤の使用が好まれる。
また熱酸発生剤は、酸の発生により上記加水分解縮合物(A)と架橋性化合物(B)との有機架橋を促進させる。
Further, examples of the acid generator include a thermal acid generator and a photoacid generator.
Among them, the photoacid generator generates acid when the resist is exposed and can adjust the acidity of the lower layer film, so that it is useful as a method for adjusting the acidity of the lower layer film to the acidity of the upper layer resist. Therefore, it can be preferably used. Further, since the pattern shape of the resist formed on the upper layer can be adjusted by adjusting the acidity of the lower layer film, the use of a photoacid generator is preferred from this viewpoint as well.
Further, the thermal acid generator promotes organic cross-linking between the hydrolyzed condensate (A) and the cross-linking compound (B) by generating an acid.

上記光酸発生剤としては、オニウム塩化合物、スルホンイミド化合物、及びジスルホニルジアゾメタン化合物等が挙げられるが、これらに限定されない。
また熱酸発生剤としては、例えばテトラメチルアンモニウム硝酸塩、トリフルオロメタンスルホン酸の第4級アンモニウム塩などが挙げられるが、これに限定されない。
Examples of the photoacid generator include, but are not limited to, onium salt compounds, sulfoneimide compounds, and disulfonyldiazomethane compounds.
Examples of the thermoacid generator include, but are not limited to, tetramethylammonium nitrate and a quaternary ammonium salt of trifluoromethanesulfonic acid.

オニウム塩化合物の具体例としては、ジフェニルヨードニウムヘキサフルオロホスフエート、ジフェニルヨードニウムトリフルオロメタンスルホネート、ジフェニルヨードニウムノナフルオロノルマルブタンスルホネート、ジフェニルヨードニウムパーフルオロノルマルオクタンスルホネート、ジフェニルヨードニウムカンファースルホネート、ビス(4−t−ブチルフェニル)ヨードニウムカンファースルホネート、ビス(4−t−ブチルフェニル)ヨードニウムトリフルオロメタンスルホネート等のヨードニウム塩化合物、トリフェニルスルホニウムヘキサフルオロアンチモネート、トリフェニルスルホニウムノナフルオロノルマルブタンスルホネート、トリフェニルスルホニウムカンファースルホネート、トリフェニルスルホニウムトリフルオロメタンスルホネート、トリフェニルスルホニウム硝酸塩、トリフェニルスルホニウムトリフルオロ酢酸塩、トリフェニルスルホニウムマレイン酸塩、トリフェニルスルホニウムクロリド等のスルホニウム塩化合物等を挙げることができるが、これらに限定されない。 Specific examples of the onium salt compound include diphenyliodonium hexafluorosulfonate, diphenyliodonium trifluoromethanesulfonate, diphenyliodonium nonafluoronormal butane sulfonate, diphenyliodonium perfluoronormal octane sulfonate, diphenyliodonium camphor sulfonate, and bis (4-t-butyl). Iodonium salt compounds such as phenyl) iodonium camphor sulfonate, bis (4-t-butylphenyl) iodonium trifluoromethane sulfonate, triphenyl sulfonium hexafluoroantimonate, triphenyl sulfonium nonafluoronormal butane sulfonate, triphenyl sulfonium camphor sulfonate, triphenyl Examples thereof include, but are not limited to, sulfonium salt compounds such as sulfonium trifluoromethane sulfonate, triphenyl sulfonium nitrate, triphenyl sulfonium trifluoro acetate, triphenyl sulfonium maleate, and triphenyl sulfonium chloride.

スルホンイミド化合物の具体例としては、N−(トリフルオロメタンスルホニルオキシ)スクシンイミド、N−(ノナフルオロノルマルブタンスルホニルオキシ)スクシンイミド、N−(カンファースルホニルオキシ)スクシンイミド、N−(トリフルオロメタンスルホニルオキシ)ナフタルイミド等が挙げられるが、これらに限定されない。 Specific examples of the sulfoneimide compound include N- (trifluoromethanesulfonyloxy) succinimide, N- (nonafluoronormalbutanesulfonyloxy) succinimide, N- (kanfersulfonyloxy) succinimide, and N- (trifluoromethanesulfonyloxy) naphthalimide. Etc., but are not limited to these.

ジスルホニルジアゾメタン化合物の具体例としては、ビス(トリフルオロメチルスルホニル)ジアゾメタン、ビス(シクロヘキシルスルホニル)ジアゾメタン、ビス(フェニルスルホニル)ジアゾメタン、ビス(p−トルエンスルホニル)ジアゾメタン、ビス(2,4−ジメチルベンゼンスルホニル)ジアゾメタン、メチルスルホニル−p−トルエンスルホニルジアゾメタン等が挙げられるが、これらに限定されない。 Specific examples of the disulfonyl diazomethane compound include bis (trifluoromethylsulfonyl) diazomethane, bis (cyclohexylsulfonyl) diazomethane, bis (phenylsulfonyl) diazomethane, bis (p-toluenesulfonyl) diazomethane, and bis (2,4-dimethylbenzene). Sulfonyl) Diazomethane, methylsulfonyl-p-toluenesulfonyldiazomethane and the like, but are not limited thereto.

酸発生剤の添加量は、酸発生剤の種類等を考慮して適宜定まるため一概に規定できないが、通常、上記加水分解縮合物(A)の質量に対して、0.01〜10質量%の範囲であり、組成物中での酸発生剤の析出を抑制する観点等から、好ましくは8質量%以下、より好ましくは5質量%以下であり、その効果を十分に得る観点等から、好ましくは0.1質量%以上、より好ましくは0.5質量%以上である。
なお酸発生剤は、1種単独で又は2種以上組み合わせて用いることができ、また、光酸発生剤と熱酸発生剤とを併用してもよい。
The amount of the acid generator added cannot be unconditionally specified because it is appropriately determined in consideration of the type of the acid generator and the like, but is usually 0.01 to 10% by mass with respect to the mass of the hydrolyzed condensate (A). The range is preferably 8% by mass or less, more preferably 5% by mass or less from the viewpoint of suppressing precipitation of the acid generator in the composition, and preferably from the viewpoint of sufficiently obtaining the effect. Is 0.1% by mass or more, more preferably 0.5% by mass or more.
The acid generator may be used alone or in combination of two or more, or a photoacid generator and a thermoacid generator may be used in combination.

上記レジスト下層膜形成組成物は、上記(A)加水分解性シランの加水分解縮合物(ポリシロキサン)、(B)架橋性化合物、(C)酸及び酸発生剤の少なくともいずれか一方を含み、さらに溶媒や後述するその他成分を含み得るものである。
レジスト下層膜形成組成物における固形分の濃度は、当該組成物の全質量に対して、例えば0.1乃至50質量%、0.1乃至30質量%、0.1乃至25質量%、0.5乃至20.0質量%とすることができる。固形分とは、当該組成物の全成分から溶媒成分を除いた成分を指す。
固形分中の上記加水分解縮合物(A)の含有量は、通常20質量%〜100質量%であるが、上述した本発明の効果を再現性よく得る観点等から、その下限値は、好ましくは50質量%、より好ましくは60質量%、より一層好ましくは70質量%、更に好ましくは80質量%であり、その上限値は、好ましくは99質量%であり、その余を、後述の添加剤とすることができる。
また該組成物中の上記加水分解縮合物(A)の含有量は、例えば0.5乃至20.0質量%とすることができる。
The resist underlayer film forming composition contains at least one of (A) a hydrolyzable condensate of hydrolyzable silane (polysiloxane), (B) a crosslinkable compound, (C) an acid and an acid generator. Further, it may contain a solvent and other components described later.
The concentration of the solid content in the resist underlayer film forming composition is, for example, 0.1 to 50% by mass, 0.1 to 30% by mass, 0.1 to 25% by mass, 0. It can be 5 to 20.0% by mass. The solid content refers to a component obtained by removing a solvent component from all the components of the composition.
The content of the hydrolyzed condensate (A) in the solid content is usually 20% by mass to 100% by mass, but the lower limit thereof is preferable from the viewpoint of obtaining the above-mentioned effect of the present invention with good reproducibility. Is 50% by mass, more preferably 60% by mass, even more preferably 70% by mass, still more preferably 80% by mass, the upper limit thereof is preferably 99% by mass, and the remainder is the additive described below. Can be.
The content of the hydrolyzed condensate (A) in the composition can be, for example, 0.5 to 20.0% by mass.

レジスト下層膜形成組成物は、上記(A)〜(C)成分と、所望により後述するその他の成分とを混合することで製造できる。この際、(A)加水分解縮合物に溶媒を加え、(A)加水分解縮合物を含む溶液を予め準備し、この溶液を、(B)成分、(C)成分、そしてその他の成分と混合してもよい。
混合順序は特に限定されるものではない。例えば、(A)加水分解縮合物を含む溶液に,(B)成分、(C)成分を順に加えて混合し、その混合物にその他の成分を加えてもよく、(A)加水分解縮合物を含む溶液と、(B)成分、(C)成分、その他の成分を同時に混合してもよい。
必要であれば、最後に更に溶媒を追加で加えたり、溶媒に比較的溶けやすい一部の成分を混合物中に含めずにおき、最後にそれを加えたりしてもよいが、構成成分の凝集や分離を抑制し、均一性に優れる組成物を再現性よく調製する観点から、加水分解縮合物が良好に溶解した溶液を予め準備し、これを用いて組成物を調製することが好ましい。なお、加水分解縮合物は、共に混ぜられる溶媒の種類や量、その他の成分の量や性質等によっては、これらが混ぜられた際に凝集又は沈殿する可能性がある点に留意する。また、加水分解縮合物が溶解した溶液を用いて組成物を調製する場合、最終的に得られる組成物中の加水分解縮合物が所望の量となるように、加水分解縮合物の溶液の濃度やその使用量を決める必要がある点も留意する。
組成物の調製において、成分が分解したり変質したりしない範囲で、適宜加熱してもよい。
The resist underlayer film forming composition can be produced by mixing the above-mentioned components (A) to (C) and, if desired, other components described later. At this time, a solvent is added to the (A) hydrolyzed condensate, a solution containing the (A) hydrolyzed condensate is prepared in advance, and this solution is mixed with the (B) component, the (C) component, and other components. You may.
The mixing order is not particularly limited. For example, the component (B) and the component (C) may be added in order to a solution containing the (A) hydrolyzed condensate and mixed, and other components may be added to the mixture to obtain the (A) hydrolyzed condensate. The containing solution may be mixed with the component (B), the component (C), and other components at the same time.
If necessary, an additional solvent may be added at the end, or some components that are relatively soluble in the solvent may be left unincluded in the mixture and added at the end, but the agglomeration of the components may be added. From the viewpoint of suppressing the separation and preparing a composition having excellent uniformity with good reproducibility, it is preferable to prepare a solution in which the hydrolysis condensate is well dissolved and prepare the composition using the solution. It should be noted that the hydrolyzed condensate may aggregate or precipitate when these are mixed, depending on the type and amount of the solvent to be mixed together, the amount and properties of other components, and the like. Further, when the composition is prepared using the solution in which the hydrolyzed condensate is dissolved, the concentration of the solution of the hydrolyzed condensate so that the amount of the hydrolyzed condensate in the finally obtained composition is a desired amount. Also keep in mind that it is necessary to determine the amount used.
In the preparation of the composition, heating may be appropriately performed as long as the components are not decomposed or deteriorated.

本発明において、レジスト下層膜形成組成物を製造する途中の段階において、又は全ての成分を混合した後に、サブマイクロメートルオーダーのフィルタ等を用いてろ過してもよい。 In the present invention, filtration may be performed using a filter on the order of submicrometers or the like at the stage of producing the resist underlayer film forming composition or after mixing all the components.

上記レジスト下層膜形成組成物はリソグラフィー工程に使用されるレジスト下層膜形成用の組成物として、好適に用いることができる。 The resist underlayer film forming composition can be suitably used as a composition for forming a resist underlayer film used in the lithography process.

<溶媒>
上記レジスト下層膜形成組成物に使用可能な溶媒は、上記固形分を溶解できる溶媒であれば特に制限なく使用することができる。
このような溶媒は、上記の(A)加水分解縮合物、(B)架橋性化合物、(C)酸及び酸発生剤の少なくともいずれか一方やその他の成分を溶解する限り制限されるものではない。
<Solvent>
The solvent that can be used for the resist underlayer film forming composition is not particularly limited as long as it is a solvent that can dissolve the solid content.
Such a solvent is not limited as long as it dissolves at least one of the above-mentioned (A) hydrolyzable condensate, (B) crosslinkable compound, (C) acid and acid generator, and other components. ..

その具体例としては、メチルセロソルブアセテート、エチルセロソルブアセテート、プロピレングリコール、プロピレングリコールモノメチルエーテル(1−メトキシ−2−プロパノール)、プロピレングリコールモノエチルエーテル(1−エトキシ−2−プロパノール)、メチルイソブチルカルビノール、プロピレングリコールモノブチルエーテル、プロピレングリコールモノメチルエーテルアセテート(1−メトキシ−2−プロパノールモノアセテート)、プロピレングリコールモノエチルエーテルアセテート、プロピレングリコールモノプロピルエーテルアセテート、プロピレングリコールモノブチルエーテルアセテート、トルエン、キシレン、メチルエチルケトン、シクロペンタノン、シクロヘキサノン、2−ヒドロキシプロピオン酸エチル、2−ヒドロキシ−2−メチルプロピオン酸エチル、エトキシ酢酸エチル、ヒドロキシ酢酸エチル、2−ヒドロキシ−3−メチルブタン酸メチル、3−メトキシプロピオン酸メチル、3−メトキシプロピオン酸エチル、3−エトキシプロピオン酸エチル、3−エトキシプロピオン酸メチル、ピルビン酸メチル、ピルビン酸エチル、エチレングリコールモノメチルエーテル、エチレングリコールモノエチルエーテル、エチレングリコールモノプロピルエーテル、エチレングリコールモノブチルエーテル、エチレングリコールモノメチルエーテルアセテート、エチレングリコールモノエチルエーテルアセテート、エチレングリコールモノプロピルエーテルアセテート、エチレングリコールモノブチルエーテルアセテート、ジエチレングリコールジメチルエーテル、ジエチレングリコールジエチルエーテル、ジエチレングリコールジプロピルエーテル、ジエチレングリコールジブチルエーテルプロピレングリコールモノメチルエーテル、プロピレングリコールジメチルエーテル、プロピレングリコールジエチルエーテル、プロピレングリコールジプロピルエーテル、プロピレングリコールジブチルエーテル、乳酸エチル、乳酸プロピル、乳酸イソプロピル、乳酸ブチル、乳酸イソブチル、ギ酸メチル、ギ酸エチル、ギ酸プロピル、ギ酸イソプロピル、ギ酸ブチル、ギ酸イソブチル、ギ酸アミル、ギ酸イソアミル、酢酸メチル、酢酸エチル、酢酸アミル、酢酸イソアミル、酢酸ヘキシル、プロピオン酸メチル、プロピオン酸エチル、プロピオン酸プロピル、プロピオン酸イソプロピル、プロピオン酸ブチル、プロピオン酸イソブチル、酪酸メチル、酪酸エチル、酪酸プロピル、酪酸イソプロピル、酪酸ブチル、酪酸イソブチル、ヒドロキシ酢酸エチル、2−ヒドロキシ−2−メチルプロピオン酸エチル、3−メトキシ−2−メチルプロピオン酸メチル、2−ヒドロキシ−3−メチル酪酸メチル、メトキシ酢酸エチル、エトキシ酢酸エチル、3−メトキシプロピオン酸メチル、3−エトキシプロピオン酸エチル、3−メトキシプロピオン酸エチル、3−メトキシブチルアセテート、3−メトキシプロピルアセテート、3−メチル−3−メトキシブチルアセテート、3−メチル−3−メトキシブチルプロピオネート、3−メチル−3−メトキシブチルブチレート、アセト酢酸メチル、トルエン、キシレン、メチルエチルケトン、メチルプロピルケトン、メチルブチルケトン、2−ヘプタノン、3−ヘプタノン、4−ヘプタノン、シクロヘキサノン、N,N−ジメチルホルムアミド、N−メチルアセトアミド、N,N−ジメチルアセトアミド、N−メチル−2−ピロリドン、4−メチル−2−ペンタノール、γ−ブチロラクトン等を挙げることができ、溶媒は1種単独で又は2種以上組み合わせて使用できる。 Specific examples thereof include methyl cellosolve acetate, ethyl cellosolve acetate, propylene glycol, propylene glycol monomethyl ether (1-methoxy-2-propanol), propylene glycol monoethyl ether (1-ethoxy-2-propanol), and methyl isobutyl carbinol. , Propropylene glycol monobutyl ether, propylene glycol monomethyl ether acetate (1-methoxy-2-propanol monoacetate), propylene glycol monoethyl ether acetate, propylene glycol monopropyl ether acetate, propylene glycol monobutyl ether acetate, toluene, xylene, methyl ethyl ketone, cyclo Pentanone, cyclohexanone, ethyl 2-hydroxypropionate, ethyl 2-hydroxy-2-methylpropionate, ethyl ethoxyacetate, ethyl hydroxyacetate, methyl 2-hydroxy-3-methylbutanoate, methyl 3-methoxypropionate, 3- Ethyl methoxypropionate, ethyl 3-ethoxypropionate, methyl 3-ethoxypropionate, methyl pyruvate, ethyl pyruvate, ethylene glycol monomethyl ether, ethylene glycol monoethyl ether, ethylene glycol monopropyl ether, ethylene glycol monobutyl ether, ethylene Glycol monomethyl ether acetate, ethylene glycol monoethyl ether acetate, ethylene glycol monopropyl ether acetate, ethylene glycol monobutyl ether acetate, diethylene glycol dimethyl ether, diethylene glycol diethyl ether, diethylene glycol dipropyl ether, diethylene glycol dibutyl ether propylene glycol monomethyl ether, propylene glycol dimethyl ether, propylene Glycol diethyl ether, propylene glycol dipropyl ether, propylene glycol dibutyl ether, ethyl lactate, propyl lactate, isopropyl lactate, butyl lactate, isobutyl lactate, methyl formate, ethyl formate, propyl formate, isopropyl formate, butyl formate, isobutyl formate, amyl formate , Isoamyl formate, methyl acetate, ethyl acetate, amyl acetate, isoamyl acetate, hexyl acetate, methyl propionate, ethyl propionate, propyl propionate, isopropyl propionate , Butyl propionate, isobutyl propionate, methyl butyrate, ethyl butyrate, propyl butyrate, isopropyl butyrate, butyl butyrate, isobutyl butyrate, ethyl hydroxyacetate, ethyl 2-hydroxy-2-methylpropionate, 3-methoxy-2-methylpropion Methyl acid, 2-hydroxy-3-methylbutyrate, ethyl methoxyacetate, ethyl ethoxyacetate, methyl 3-methoxypropionate, ethyl 3-ethoxypropionate, ethyl 3-methoxypropionate, 3-methoxybutyl acetate, 3- Methoxypropyl acetate, 3-methyl-3-methoxybutyl acetate, 3-methyl-3-methoxybutyl propionate, 3-methyl-3-methoxybutyl butyrate, methyl acetoacetate, toluene, xylene, methyl ethyl ketone, methyl propyl ketone , Methylbutyl Ketone, 2-Heptanone, 3-Heptanone, 4-Heptanone, Cyclohexanone, N, N-Dimethylformamide, N-Methylacetamide, N, N-dimethylacetamide, N-Methyl-2-pyrrolidone, 4-Methyl- Examples thereof include 2-pentanol, γ-butyrolactone, and the solvent can be used alone or in combination of two or more.

また上記レジスト下層膜形成組成物は、溶媒として水を含んでいてもよい。溶媒として水を含む場合、その含有量は、当該組成物が含む溶媒の合計質量に対して、例えば30質量%以下、好ましくは20質量%以下、より一層好ましくは15質量%以下とすることができる。 Further, the resist underlayer film forming composition may contain water as a solvent. When water is contained as the solvent, the content thereof may be, for example, 30% by mass or less, preferably 20% by mass or less, and even more preferably 15% by mass or less, based on the total mass of the solvent contained in the composition. can.

<その他添加剤>
上記レジスト下層膜形成組成物には、組成物の用途に応じて種々の添加剤を配合可能である。
上記添加剤としては、例えば、硬化触媒(アンモニウム塩、ホスフィン類、ホスホニウム塩、スルホニウム塩、窒素含有シラン化合物等)、安定化剤(有機酸、水、アルコール等)、有機ポリマー化合物、界面活性剤(ノニオン系界面活性剤、アニオン系界面活性剤、カチオン系界面活性剤、シリコン系界面活性剤、フッ素系界面活性剤、UV硬化型界面活性剤等)、pH調整剤、レオロジー調整剤、接着補助剤等、レジスト下層膜や、反射防止膜、パターン反転用膜など、半導体装置の製造に使用され得る各種膜を形成する材料(組成物)に配合される公知の添加剤を挙げることができる。
なお以下に各種添加剤を例示するが、これらに限定されるものではない。
<Other additives>
Various additives can be added to the resist underlayer film forming composition depending on the use of the composition.
Examples of the additive include a curing catalyst (ammonium salt, phosphine, phosphonium salt, sulfonium salt, nitrogen-containing silane compound, etc.), stabilizer (organic acid, water, alcohol, etc.), organic polymer compound, and surfactant. (Nonion-based surfactants, anionic surfactants, cationic surfactants, silicon-based surfactants, fluorosurfactants, UV-curable surfactants, etc.), pH adjusters, rhology adjusters, adhesion aids Examples thereof include known additives to be blended in materials (compositions) that form various films that can be used in the manufacture of semiconductor devices, such as agents, resist underlayer films, antireflection films, and pattern inversion films.
Various additives are exemplified below, but the present invention is not limited thereto.

<硬化触媒>
上記硬化触媒としては、アンモニウム塩、ホスフィン類、ホスホニウム塩、スルホニウム塩等を用いることができる。なお硬化触媒として記載した下記の塩類は、塩の形態にて添加してもよいし、上記組成物中において塩を形成するもの(添加時には別化合物として添加され、系内で塩を形成するもの)のいずれであってもよい。
<Curing catalyst>
As the curing catalyst, ammonium salts, phosphines, phosphonium salts, sulfonium salts and the like can be used. The following salts described as curing catalysts may be added in the form of salts, or those that form a salt in the above composition (at the time of addition, those that are added as a separate compound to form a salt in the system). ) May be any of them.

上記アンモニウム塩としては、式(D−1):

Figure 2021189314
(式中、mは2乃至11、nは2乃至3の整数を、R21はアルキル基又はアリール基を、Yは陰イオンを表す。)で表される構造を有する第4級アンモニウム塩、
式(D−2):
Figure 2021189314
(式中、R22、R23、R24及びR25はアルキル基又はアリール基を、Nは窒素原子を、Yは陰イオンを表し、且つR22、R23、R24、及びR25はそれぞれC−N結合により窒素原子と結合されているものである)で表される構造を有する第4級アンモニウム塩、
式(D−3):
Figure 2021189314
(式中、R26及びR27はアルキル基又はアリール基を、Nは窒素原子を、Yは陰イオンを表す)で表される構造を有する第4級アンモニウム塩、
式(D−4):
Figure 2021189314
(式中、R28はアルキル基又はアリール基を、Nは窒素原子を、Yは陰イオンを表す)で表される構造を有する第4級アンモニウム塩、
式(D−5):
Figure 2021189314
(式中、R29及びR30はアルキル基又はアリール基を、Nは窒素原子を、Yは陰イオンを表す)で表される構造を有する第4級アンモニウム塩、
式(D−6):
Figure 2021189314
(式中、mは2乃至11、nは2乃至3の整数を、Hは水素原子を、Nは窒素原子を、Yは陰イオンを表す)で表される構造を有する第3級アンモニウム塩を挙げることができる。 The ammonium salt has the formula (D-1) :.
Figure 2021189314
(In the formula, m represents an integer of 2 to 11, n represents an integer of 2 to 3, R 21 represents an alkyl group or an aryl group, and Y represents an anion.) A quaternary ammonium salt having a structure represented by the above. ,
Equation (D-2):
Figure 2021189314
(In the formula, R 22 , R 23 , R 24 and R 25 represent an alkyl or aryl group, N represents a nitrogen atom, Y represents an anion, and R 22 , R 23 , R 24 , and R 25. Are quaternary ammonium salts having a structure represented by (each of which is bonded to a nitrogen atom by a CN bond).
Equation (D-3):
Figure 2021189314
A quaternary ammonium salt having a structure represented by (in the formula, R 26 and R 27 represent an alkyl group or an aryl group, N represents a nitrogen atom, and Y − represents an anion).
Equation (D-4):
Figure 2021189314
A quaternary ammonium salt having a structure represented by (in the formula, R 28 represents an alkyl group or an aryl group, N represents a nitrogen atom, and Y − represents an anion).
Equation (D-5):
Figure 2021189314
A quaternary ammonium salt having a structure represented by (in the formula, R 29 and R 30 represent an alkyl group or an aryl group, N represents a nitrogen atom, and Y − represents an anion).
Equation (D-6):
Figure 2021189314
(In the formula, m is an integer of 2 to 11, n is an integer of 2 to 3, H is a hydrogen atom, N is a nitrogen atom, and Y is an anion). You can mention salt.

また、上記ホスホニウム塩としては、式(D−7):

Figure 2021189314
(式中、R31、R32、R33、及びR34はアルキル基又はアリール基を、Pはリン原子を、Yは陰イオンを表し、且つR31、R32、R33、及びR34はそれぞれC−P結合によりリン原子と結合されているものである)で表される第4級ホスホニウム塩を挙げることができる。 Further, as the phosphonium salt, the formula (D-7):
Figure 2021189314
(In the formula, R 31 , R 32 , R 33 , and R 34 represent an alkyl or aryl group, P represents a phosphorus atom, Y represents an anion, and R 31 , R 32 , R 33 , and R. Each of 34 is bonded to a phosphorus atom by a CP bond), and a quaternary phosphonium salt can be mentioned.

また、上記スルホニウム塩としては、式(D−8):

Figure 2021189314
(式中、R35、R36、及びR37はアルキル基又はアリール基を、Sは硫黄原子を、Yは陰イオンを表し、且つR35、R36、及びR37はそれぞれC−S結合により硫黄原子と結合されているものである)で表される第3級スルホニウム塩を挙げることができる。 Further, as the sulfonium salt, the formula (D-8):
Figure 2021189314
(In the formula, R 35 , R 36 , and R 37 represent an alkyl group or an aryl group, S represents a sulfur atom, Y represents an anion, and R 35 , R 36 , and R 37 represent CS, respectively. A tertiary sulfonium salt represented by (which is bonded to a sulfur atom by a bond) can be mentioned.

上記の式(D−1)の化合物は、アミンから誘導される第4級アンモニウム塩であり、mは2乃至11、nは2乃至3の整数を示す。この第4級アンモニウム塩のR21は炭素原子数1乃至18、好ましくは2乃至10のアルキル基、又は炭素原子数6乃至18のアリール基を示し、例えば、エチル基、プロピル基、ブチル基等の直鎖アルキル基や、ベンジル基、シクロヘキシル基、シクロヘキシルメチル基、ジシクロペンタジエニル基等が挙げられる。また陰イオン(Y)は、塩素イオン(Cl)、臭素イオン(Br)、ヨウ素イオン(I)等のハロゲン化物イオンや、カルボキシラート(−COO)、スルホナト(−SO )、アルコラート(−O)等の酸基を挙げることができる。 The compound of the above formula (D-1) is a quaternary ammonium salt derived from an amine, and m represents an integer of 2 to 11 and n represents an integer of 2 to 3. The quaternary R 21 ammonium salt 1 to 18 carbon atoms, preferably an 2-10 alkyl group, or an aryl group having a carbon number of 6 to 18, for example, an ethyl group, a propyl group, a butyl group Examples thereof include a linear alkyl group, a benzyl group, a cyclohexyl group, a cyclohexylmethyl group, a dicyclopentadienyl group and the like. The anion (Y ) includes halide ions such as chlorine ion (Cl ), bromine ion (Br ), and iodine ion (I ), carboxylate (−COO ), and sulfonate (−SO 3 −). ), alcoholates (-O - can be given) acid groups and the like.

上記の式(D−2)の化合物は、R22232425で示される第4級アンモニウム塩である。この第4級アンモニウム塩のR22、R23、R24及びR25は炭素原子数1乃至18のアルキル基、又は炭素原子数6乃至18のアリール基である。陰イオン(Y)は、塩素イオン(Cl)、臭素イオン(Br)、ヨウ素イオン(I)等のハロゲン化物イオンや、カルボキシラート(−COO)、スルホナト(−SO )、アルコラート(−O)等の酸基を挙げることができる。この第4級アンモニウム塩は、市販品で入手することが可能であり、例えばテトラメチルアンモニウムアセテート、テトラブチルアンモニウムアセテート、塩化トリエチルベンジルアンモニウム、臭化トリエチルベンジルアンモニウム、塩化トリオクチルメチルアンモニウム、塩化トリブチルベンジルアンモニウム、塩化トリメチルベンジルアンモニウム等が例示される。 The compound of the above formula (D-2) is a quaternary ammonium salt represented by R 22 R 23 R 24 R 25 N + Y −. The quaternary ammonium salts R 22 , R 23 , R 24 and R 25 are alkyl groups having 1 to 18 carbon atoms or aryl groups having 6 to 18 carbon atoms. Anions (Y ) include halide ions such as chlorine ion (Cl ), bromine ion (Br ), and iodine ion (I ), carboxylate (−COO ), and sulfonate (−SO 3 ). , alcoholates - can be exemplified an acid group such as (-O). This quaternary ammonium salt is commercially available and is available, for example, tetramethylammonium acetate, tetrabutylammonium acetate, triethylbenzylammonium chloride, triethylbenzylammonium bromide, trioctylmethylammonium chloride, tributylbenzyl chloride. Ammonium, trimethylbenzylammonium chloride and the like are exemplified.

上記の式(D−3)の化合物は、1−置換イミダゾールから誘導される第4級アンモニウム塩であり、R26及びR27の炭素原子数は1乃至18であり、R26及びR27の炭素原子数の総和が7以上であることが好ましい。例えばR26はメチル基、エチル基、プロピル基、フェニル基、ベンジル基を、R27はベンジル基、オクチル基、オクタデシル基を例示することができる。陰イオン(Y)は、塩素イオン(Cl)、臭素イオン(Br)、ヨウ素イオン(I)等のハロゲン化物イオンや、カルボキシラート(−COO)、スルホナト(−SO )、アルコラート(−O)等の酸基を挙げることができる。この化合物は、市販品で入手することもできるが、例えば1−メチルイミダゾール、1−ベンジルイミダゾール等のイミダゾール系化合物と、臭化ベンジル、臭化メチル等のハロゲン化アルキルやハロゲン化アリールを反応させて製造することができる。 Compounds of formula (D-3) above is a quaternary ammonium salt derived from 1-substituted imidazole, carbon atoms R 26 and R 27 is 1 to 18, of R 26 and R 27 It is preferable that the total number of carbon atoms is 7 or more. For example R 26 is a methyl group, an ethyl group, a propyl group, a phenyl group, a benzyl group, R 27 can be exemplified benzyl group, octyl group, octadecyl group. Anions (Y ) include halide ions such as chlorine ion (Cl ), bromine ion (Br ), and iodine ion (I ), carboxylate (−COO ), and sulfonate (−SO 3 ). , alcoholates - can be exemplified an acid group such as (-O). This compound can also be obtained as a commercially available product, but for example, an imidazole compound such as 1-methylimidazole or 1-benzylimidazole is reacted with an alkyl halide such as benzyl bromide or methyl bromide or an aryl halide. Can be manufactured.

上記の式(D−4)の化合物は、ピリジンから誘導される第4級アンモニウム塩であり
、R28は炭素原子数1乃至18、好ましくは炭素原子数4乃至18のアルキル基、又は炭素原子数6乃至18のアリール基であり、例えばブチル基、オクチル基、ベンジル基、ラウリル基を例示することができる。陰イオン(Y)は、塩素イオン(Cl)、臭素イオン(Br)、ヨウ素イオン(I)等のハロゲン化物イオンや、カルボキシラート(−COO)、スルホナト(−SO )、アルコラート(−O)等の酸基を挙げることができる。この化合物は、市販品として入手することもできるが、例えばピリジンと、塩化ラウリル、塩化ベンジル、臭化ベンジル、臭化メチル、臭化オクチル等のハロゲン化アルキル、又はハロゲン化アリールを反応させて製造することができる。この化合物は例えば、塩化N−ラウリルピリジニウム、臭化N−ベンジルピリジニウム等を例示することができる。
The compound of the above formula (D-4) is a quaternary ammonium salt derived from pyridine, and R 28 is an alkyl group having 1 to 18 carbon atoms, preferably an alkyl group having 4 to 18 carbon atoms, or a carbon atom. The number is 6 to 18, and examples thereof include a butyl group, an octyl group, a benzyl group, and a lauryl group. Anions (Y ) include halide ions such as chlorine ion (Cl ), bromine ion (Br ), and iodine ion (I ), carboxylate (−COO ), and sulfonate (−SO 3 ). , alcoholates - can be exemplified an acid group such as (-O). This compound can also be obtained as a commercially available product, but is produced by reacting, for example, pyridine with an alkyl halide such as lauryl chloride, benzyl chloride, benzyl bromide, methyl bromide, octyl bromide, or an aryl halide. can do. Examples of this compound include N-laurylpyridinium chloride, N-benzylpyridinium bromide, and the like.

上記の式(D−5)の化合物は、ピコリン等に代表される置換ピリジンから誘導される第4級アンモニウム塩であり、R29は炭素原子数1乃至18、好ましくは炭素原子数4乃至18のアルキル基、又は炭素原子数6乃至18のアリール基であり、例えばメチル基、オクチル基、ラウリル基、ベンジル基等を例示することができる。R30は炭素原子数1乃至18のアルキル基、又は炭素原子数6乃至18のアリール基であり、例えばピコリンから誘導される第4級アンモニウムである場合には、R30はメチル基である。陰イオン(Y)は、塩素イオン(Cl)、臭素イオン(Br)、ヨウ素イオン(I)等のハロゲン化物イオンや、カルボキシラート(−COO)、スルホナト(−SO )、アルコラート(−O)等の酸基を挙げることができる。この化合物は市販品として入手することもできるが、例えばピコリン等の置換ピリジンと、臭化メチル、臭化オクチル、塩化ラウリル、塩化ベンジル、臭化ベンジル等のハロゲン化アルキル、又はハロゲン化アリールを反応させて製造することができる。この化合物は例えば、N−ベンジルピコリニウムクロリド、N−ベンジルピコリニウムブロミド、N−ラウリルピコリニウムクロリド等を例示することができる。 The compound of the above formula (D-5) is a quaternary ammonium salt derived from a substituted pyridine represented by picoline or the like, and R 29 has 1 to 18 carbon atoms, preferably 4 to 18 carbon atoms. , Or an aryl group having 6 to 18 carbon atoms, and examples thereof include a methyl group, an octyl group, a lauryl group, and a benzyl group. R 30 is an alkyl group having 1 to 18 carbon atoms or an aryl group having 6 to 18 carbon atoms, and in the case of quaternary ammonium derived from picoline, for example, R 30 is a methyl group. Anions (Y ) include halide ions such as chlorine ion (Cl ), bromine ion (Br ), and iodine ion (I ), carboxylate (−COO ), and sulfonate (−SO 3 ). , alcoholates - can be exemplified an acid group such as (-O). This compound can also be obtained as a commercial product, but for example, a substituted pyridine such as picoline is reacted with an alkyl halide such as methyl bromide, octyl bromide, lauryl chloride, benzyl chloride, benzyl bromide, or an aryl halide. Can be manufactured. Examples of this compound include N-benzylpicolinium chloride, N-benzylpicolinium bromide, N-laurylpicolinium chloride and the like.

上記の式(D−6)の化合物は、アミンから誘導される第3級アンモニウム塩であり、mは2乃至11、nは2乃至3の整数を示す。また陰イオン(Y)は、塩素イオン(Cl)、臭素イオン(Br)、ヨウ素イオン(I)等のハロゲン化物イオンや、カルボキシラート(−COO)、スルホナト(−SO )、アルコラート(−O)等の酸基を挙げることができる。本化合物は、アミンとカルボン酸やフェノール等の弱酸との反応によって製造することができる。カルボン酸としてはギ酸や酢酸が挙げられ、ギ酸を使用した場合は、陰イオン(Y)は(HCOO)であり、酢酸を使用した場合は、陰イオン(Y)は(CHCOO)である。またフェノールを使用した場合は、陰イオン(Y)は(C)である。 The compound of the above formula (D-6) is a tertiary ammonium salt derived from an amine, where m represents an integer of 2 to 11 and n represents an integer of 2 to 3. The anion (Y ) includes halide ions such as chlorine ion (Cl ), bromine ion (Br ), and iodine ion (I ), carboxylate (−COO ), and sulfonate (−SO 3 −). ), alcoholates (-O - can be given) acid groups and the like. This compound can be produced by reacting an amine with a weak acid such as a carboxylic acid or phenol. Examples of the carboxylic acid include formic acid and acetic acid. When formic acid is used, the anion (Y ) is (HCOO ), and when acetic acid is used, the anion (Y ) is (CH 3 COO). - ). When phenol is used, the anion (Y ) is (C 6 H 5 O ).

上記の式(D−7)の化合物は、R31323334の構造を有する第4級ホスホニウム塩である。R31、R32、R33、及びR34は炭素原子数1乃至18のアルキル基、又は炭素原子数6乃至18のアリール基であり、好ましくはR31乃至R34の4つの置換基の内で3つがフェニル基又は置換されたフェニル基であり、例えばフェニル基やトリル基を例示することができ、また残りの1つは炭素原子数1乃至18のアルキル基、炭素原子数6乃至18のアリール基である。また陰イオン(Y)は、塩素イオン(Cl)、臭素イオン(Br)、ヨウ素イオン(I)等のハロゲン化物イオンや、カルボキシラート(−COO)、スルホナト(−SO )、アルコラート(−O)等の酸基を挙げることができる。この化合物は市販品として入手することが可能であり、例えばハロゲン化テトラn−ブチルホスホニウム、ハロゲン化テトラn−プロピルホスホニウム等のハロゲン化テトラアルキルホスホニウム、ハロゲン化トリエチルベンジルホスホニウム等のハロゲン化トリアルキルベンジルホスホニウム、ハロゲン化トリフェニルメチルホスホニウム、ハロゲン化トリフェニルエチルホスホニウム等のハロゲン化トリフェニルモノアルキルホスホニウム、ハロゲン化トリフェニルベンジルホスホニウム、
ハロゲン化テトラフェニルホスホニウム、ハロゲン化トリトリルモノアリールホスホニウム、或いはハロゲン化トリトリルモノアルキルホスホニウム(以上、ハロゲン原子は塩素原子又は臭素原子)が挙げられる。特に、ハロゲン化トリフェニルメチルホスホニウム、ハロゲン化トリフェニルエチルホスホニウム等のハロゲン化トリフェニルモノアルキルホスホニウム、ハロゲン化トリフェニルベンジルホスホニウム等のハロゲン化トリフェニルモノアリールホスホニウム、ハロゲン化トリトリルモノフェニルホスホニウム等のハロゲン化トリトリルモノアリールホスホニウムや、ハロゲン化トリトリルモノメチルホスホニウム等のハロゲン化トリトリルモノアルキルホスホニウム(ハロゲン原子は塩素原子又は臭素原子)が好ましい。
The compound of the above formula (D-7) is a quaternary phosphonium salt having a structure of R 31 R 32 R 33 R 34 P + Y −. R 31 , R 32 , R 33 , and R 34 are alkyl groups having 1 to 18 carbon atoms or aryl groups having 6 to 18 carbon atoms, preferably among the four substituents R 31 to R 34. Three are phenyl groups or substituted phenyl groups, for example, a phenyl group or a trill group can be exemplified, and the remaining one is an alkyl group having 1 to 18 carbon atoms and 6 to 18 carbon atoms. It is an aryl group. The anion (Y ) includes halide ions such as chlorine ion (Cl ), bromine ion (Br ), and iodine ion (I ), carboxylate (−COO ), and sulfonate (−SO 3 −). ), alcoholates (-O - can be given) acid groups and the like. This compound is available as a commercial product, for example, tetraalkylphosphonium halides such as tetra n-butylphosphonium halides and tetra n-propylphosphonium halides, and trialkylbenzyl halides such as triethylbenzylphosphonium halides. Halogenated triphenyl monoalkylphosphonium, halogenated triphenylbenzylphosphonium, such as phosphonium, halogenated triphenylmethylphosphonium, halogenated triphenylethylphosphonium, etc.
Examples thereof include tetraphenylphosphonium halide, tritrylmonoarylphosphonium halide, and tritryl monoalkylphosphonium halide (henceforth, the halogen atom is a chlorine atom or a bromine atom). In particular, halogenated triphenylmonoalkylphosphoniums such as triphenylmethylphosphonium halides and triphenylethylphosphonium halides, triphenylmonoarylphosphonium halides such as triphenylbenzylphosphonium halides, and halogens such as tritrylmonophenylphosphonium halides. Halogenated tritryl monoalkylphosphonium (halogen atom is chlorine atom or bromine atom) such as tritryl monoarylphosphonium halide or tritryl monomethyl phosphonium halide is preferable.

また、ホスフィン類としては、メチルホスフィン、エチルホスフィン、プロピルホスフィン、イソプロピルホスフィン、イソブチルホスフィン、フェニルホスフィン等の第一ホスフィン、ジメチルホスフィン、ジエチルホスフィン、ジイソプロピルホスフィン、ジイソアミルホスフィン、ジフェニルホスフィン等の第二ホスフィン、トリメチルホスフィン、トリエチルホスフィン、トリフェニルホスフィン、メチルジフェニルホスフィン、ジメチルフェニルホスフィン等の第三ホスフィンが挙げられる。 Examples of phosphines include primary phosphine such as methylphosphine, ethylphosphine, propylphosphine, isopropylphosphine, isobutylphosphine and phenylphosphine, and second phosphine such as dimethylphosphine, diethylphosphine, diisopropylphosphine, diisoamylphosphine and diphenylphosphine. , Trith phosphine such as trimethylphosphine, triethylphosphine, triphenylphosphine, methyldiphenylphosphine, dimethylphenylphosphine and the like.

上記の式(D−8)の化合物は、R353637の構造を有する第3級スルホニウム塩である。R35、R36、及びR37は炭素原子数1乃至18のアルキル基又は炭素原子数6乃至18のアリール基であり、好ましくはR35乃至R37の3つの置換基の内で2つがフェニル基又は置換されたフェニル基であり、例えばフェニル基やトリル基を例示することができ、また残りの1つは炭素原子数1乃至18のアルキル基、、又は炭素原子数6乃至18のアリール基である。また陰イオン(Y)は、塩素イオン(Cl)、臭素イオン(Br)、ヨウ素イオン(I)等のハロゲン化物イオンや、カルボキシラート(−COO)、スルホナト(−SO )、アルコラート(−O)、マレイン酸アニオン、硝酸アニオン等の酸基を挙げることができる。この化合物は市販品として入手することが可能であり、例えばハロゲン化トリn−ブチルスルホニウム、ハロゲン化トリn−プロピルスルホニウム等のハロゲン化トリアルキルスルホニウム、ハロゲン化ジエチルベンジルスルホニウム等のハロゲン化ジアルキルベンジルスルホニウム、ハロゲン化ジフェニルメチルスルホニウム、ハロゲン化ジフェニルエチルスルホニウム等のハロゲン化ジフェニルモノアルキルスルホニウム、ハロゲン化トリフェニルスルホニウム(以上、ハロゲン原子は塩素原子又は臭素原子)、トリn−ブチルスルホニウムカルボキシラート、トリn−プロピルスルホニウムカルボキシラート等のトリアルキルスルホニウムカルボキシラート、ジエチルベンジルスルホニウムカルボキシラート等のジアルキルベンジルスルホニウムカルボキシラート、ジフェニルメチルスルホニウムカルボキシラート、ジフェニルエチルスルホニウムカルボキシラート等のジフェニルモノアルキルスルホニウムカルボキシラート、トリフェニルスルホニウムカルボキシラートが挙げられる。また、ハロゲン化トリフェニルスルホニウム、トリフェニルスルホニウムカルボキシラートが好ましく用いることができる。 The compound of the above formula (D-8) is a tertiary sulfonium salt having a structure of R 35 R 36 R 37 S + Y −. R 35 , R 36 , and R 37 are alkyl groups having 1 to 18 carbon atoms or aryl groups having 6 to 18 carbon atoms, preferably two of the three substituents R 35 to R 37 are phenyl. A group or substituted phenyl group, for example a phenyl group or a trill group can be exemplified, and the remaining one is an alkyl group having 1 to 18 carbon atoms or an aryl group having 6 to 18 carbon atoms. Is. The anion (Y ) includes halide ions such as chlorine ion (Cl ), bromine ion (Br ), iodine ion (I ), carboxylate (−COO ), and sulfonate (−SO 3 −). ), alcoholates (-O -), maleic acid anion include an acid group such as a nitrate anion. This compound is available as a commercial product, for example, trialkylsulfonium halides such as tri-n-butyl sulfonium halides and tri-n-propyl sulfonium halides, and dialkyl benzyl sulfonium halides such as diethyl benzyl sulfonium halides. , Diphenylmethylsulfonium halide, diphenylmonoalkylsulfonium halide such as diphenylethylsulfonium halide, triphenylsulfonium halide (above, halogen atom is chlorine atom or bromine atom), tri n-butyl sulfonium carboxylate, tri n- Trialkyl sulfonium carboxylate such as propyl sulfonium carboxylate, dialkyl benzyl sulfonium carboxylate such as diethyl benzyl sulfonium carboxylate, diphenyl monoalkyl sulfonium carboxylate such as diphenylmethyl sulfonium carboxylate, diphenyl ethyl sulfonium carboxylate, triphenyl sulfonium carboxylate Can be mentioned. Further, halogenated triphenyl sulfonium and triphenyl sulfonium carboxylate can be preferably used.

また、本発明では硬化触媒として窒素含有シラン化合物を添加することができる。窒素含有シラン化合物としてはN−(3−トリエトキシシリプロピル)−4,5−ジヒドロイミダゾール等のイミダゾール環含有シラン化合物が挙げられる。 Further, in the present invention, a nitrogen-containing silane compound can be added as a curing catalyst. Examples of the nitrogen-containing silane compound include an imidazole ring-containing silane compound such as N- (3-triethoxysiripropyl) -4,5-dihydroimidazole.

硬化触媒が使用される場合、(A)ポリシロキサン100質量部に対して、0.01質量部乃至10質量部、または0.01質量部乃至5質量部、または0.01質量部乃至3質量部である。 When a curing catalyst is used, (A) 0.01 parts by mass to 10 parts by mass, 0.01 parts by mass to 5 parts by mass, or 0.01 parts by mass to 3 parts by mass with respect to 100 parts by mass of polysiloxane. It is a department.

<安定化剤>
上記安定化剤は、上記加水分解縮合物の安定化等の目的のために添加され得、その具体
例として、有機酸、水、アルコール、又はそれらの組み合わせを添加することができる。
上記有機酸としては、例えばシュウ酸、マロン酸、メチルマロン酸、コハク酸、マレイン酸、リンゴ酸、酒石酸、フタル酸、クエン酸、グルタル酸、乳酸、サリチル酸等が挙げられる。中でも、シュウ酸、マレイン酸が好ましい。有機酸を添加する場合、その添加量は、上記加水分解縮合物(A)の質量に対して0.1〜5.0質量%である。これら有機酸はpH調整剤としても働き得る。
上記水としては、純水、超純水、イオン交換水等を用いることができ、使用する場合、その添加量は、レジスト下層膜形成組成物100質量部に対して1質量部〜20質量部とすることができる。
上記アルコールとしては塗布後の加熱により飛散しやすいものが好ましく、例えばメタノール、エタノール、プロパノール、i−プロパノール、ブタノール等が挙げられる。アルコールを添加する場合、その添加量は、レジスト下層膜形成組成物100質量部に対して1質量部〜20質量部とすることができる。
<Stabilizer>
The stabilizer can be added for the purpose of stabilizing the hydrolyzed condensate and the like, and as a specific example thereof, an organic acid, water, alcohol, or a combination thereof can be added.
Examples of the organic acid include oxalic acid, malonic acid, methylmalonic acid, succinic acid, maleic acid, malic acid, tartrate acid, phthalic acid, citric acid, glutaric acid, lactic acid, salicylic acid and the like. Of these, oxalic acid and maleic acid are preferable. When an organic acid is added, the amount of the organic acid added is 0.1 to 5.0% by mass with respect to the mass of the hydrolyzed condensate (A). These organic acids can also act as pH regulators.
As the water, pure water, ultrapure water, ion-exchanged water, or the like can be used, and when used, the amount added is 1 part by mass to 20 parts by mass with respect to 100 parts by mass of the resist underlayer film forming composition. Can be.
The alcohol is preferably one that easily scatters by heating after coating, and examples thereof include methanol, ethanol, propanol, i-propanol, butanol and the like. When alcohol is added, the amount thereof can be 1 part by mass to 20 parts by mass with respect to 100 parts by mass of the resist underlayer film forming composition.

<有機ポリマー>
上記有機ポリマー化合物は、該レジスト下層膜形成組成物に添加することにより、該組成物から形成される膜(レジスト下層膜)のドライエッチング速度(単位時間当たりの膜厚の減少量)や、また減衰係数や屈折率等を調整することができる。該有機ポリマー化合物としては特に制限はなく、その添加目的に応じて、種々の有機ポリマー(縮重合ポリマー及び付加重合ポリマー)の中から適宜選択される。
その具体例としては、ポリエステル、ポリスチレン、ポリイミド、アクリルポリマー、メタクリルポリマー、ポリビニルエーテル、フェノールノボラック、ナフトールノボラック、ポリエーテル、ポリアミド、ポリカーボネート等の付加重合ポリマー及び縮重合ポリマーが挙げられる。
本発明においては、吸光部位として機能するベンゼン環、ナフタレン環、アントラセン環、トリアジン環、キノリン環、キノキサリン環等の芳香環や複素芳香環を含む有機ポリマーも、そのような機能が必要な場合には、好適に用い得る。そのような有機ポリマー化合物の具体例としては、ベンジルアクリレート、ベンジルメタクリレート、フェニルアクリレート、ナフチルアクリレート、アントリルメタクリレート、アントリルメチルメタクリレート、スチレン、ヒドロキシスチレン、ベンジルビニルエーテル及びN−フェニルマレイミド等の付加重合性モノマーをその構造単位として含む付加重合ポリマーや、フェノールノボラック及びナフトールノボラック等の縮重合ポリマーが挙げられるが、これらに限定されない。
<Organic polymer>
By adding the organic polymer compound to the resist underlayer film forming composition, the dry etching rate (decrease in film thickness per unit time) of the film (resist underlayer film) formed from the composition, and the amount of decrease in the film thickness per unit time, and also. The attenuation coefficient, refractive index, etc. can be adjusted. The organic polymer compound is not particularly limited, and is appropriately selected from various organic polymers (condensation polymer and addition polymer) according to the purpose of addition thereof.
Specific examples thereof include addition-polymerized polymers such as polyester, polystyrene, polyimide, acrylic polymer, methacrylic polymer, polyvinyl ether, phenol novolac, naphthol novolak, polyether, polyamide and polycarbonate, and polypolymerized polymers.
In the present invention, an organic polymer containing an aromatic ring such as a benzene ring, a naphthalene ring, an anthracene ring, a triazine ring, a quinoline ring, a quinoxaline ring, or a heteroaromatic ring that functions as an absorption site also has such a function. Can be suitably used. Specific examples of such organic polymer compounds include addition polymerizable properties such as benzyl acrylate, benzyl methacrylate, phenyl acrylate, naphthyl acrylate, anthryl methacrylate, anthryl methyl methacrylate, styrene, hydroxystyrene, benzyl vinyl ether and N-phenylmaleimide. Examples thereof include, but are not limited to, addition-polymerized polymers containing a monomer as a structural unit thereof, and condensed-polymerized polymers such as phenol novolac and naphthol novolak.

有機ポリマー化合物として付加重合ポリマーが使用される場合、そのポリマー化合物は、単独重合体、共重合体のいずれであってもよい。
付加重合ポリマーの製造には付加重合性モノマーが使用されるが、そのような付加重合性モノマーの具体例としては、アクリル酸、メタクリル酸、アクリル酸エステル化合物、メタクリル酸エステル化合物、アクリルアミド化合物、メタクリルアミド化合物、ビニル化合物、スチレン化合物、マレイミド化合物、マレイン酸無水物、アクリロニトリル等が挙げられるが、これらに限定されない。
When an addition polymerization polymer is used as the organic polymer compound, the polymer compound may be either a homopolymer or a copolymer.
Addition-polymerizable monomers are used in the production of addition-polymerizable polymers, and specific examples of such addition-polymerizable monomers include acrylic acid, methacrylic acid, acrylic acid ester compounds, methacrylic acid ester compounds, acrylamide compounds, and methacryl. Examples thereof include, but are not limited to, amide compounds, vinyl compounds, styrene compounds, maleimide compounds, maleic acid anhydrides, and acrylonitrile.

アクリル酸エステル化合物の具体例としては、メチルアクリレート、エチルアクリレート、ノルマルヘキシルアクリレート、i−プロピルアクリレート、シクロヘキシルアクリレート、ベンジルアクリレート、フェニルアクリレート、アントリルメチルアクリレート、2−ヒドロキシエチルアクリレート、3−クロロ−2−ヒドロキシプロピルアクリレート、2−ヒドロキシプロピルアクリレート、2,2,2−トリフルオロエチルアクリレート、2,2,2−トリクロロエチルアクリレート、2−ブロモエチルアクリレート、4−ヒドロキシブチルアクリレート、2−メトキシエチルアクリレート、テトラヒドロフルフリルアクリレート、2−メチル−2−アダマンチルアクリレート、5−アクリロイルオキ
シ−6−ヒドロキシノルボルネン−2−カルボキシリック−6−ラクトン、3−アクリロキシプロピルトリエトキシシラン、グリシジルアクリレート等が挙げられるが、これらに限定されない。
Specific examples of the acrylic acid ester compound include methyl acrylate, ethyl acrylate, normal hexyl acrylate, i-propyl acrylate, cyclohexyl acrylate, benzyl acrylate, phenyl acrylate, anthrylmethyl acrylate, 2-hydroxyethyl acrylate, and 3-chloro-2. -Hydroxypropyl acrylate, 2-hydroxypropyl acrylate, 2,2,2-trifluoroethyl acrylate, 2,2,2-trichloroethyl acrylate, 2-bromoethyl acrylate, 4-hydroxybutyl acrylate, 2-methoxyethyl acrylate, Examples thereof include tetrahydrofurfuryl acrylate, 2-methyl-2-adamantyl acrylate, 5-acryloyloxy-6-hydroxynorbornene-2-carboxylic-6-lactone, 3-acryloxypropyltriethoxysilane, and glycidyl acrylate. Not limited to these.

メタクリル酸エステル化合物の具体例としては、メチルメタクリレート、エチルメタクリレート、ノルマルヘキシルメタクリレート、i−プロピルメタクリレート、シクロヘキシルメタクリレート、ベンジルメタクリレート、フェニルメタクリレート、アントリルメチルメタクリレート、2−ヒドロキシエチルメタクリレート、2−ヒドロキシプロピルメタクリレート、2,2,2−トリフルオロエチルメタクリレート、2,2,2−トリクロロエチルメタクリレート、2−ブロモエチルメタクリレート、4−ヒドロキシブチルメタクリレート、2−メトキシエチルメタクリレート、テトラヒドロフルフリルメタクリレート、2−メチル−2−アダマンチルメタクリレート、5−メタクリロイルオキシ−6−ヒドロキシノルボルネン−2−カルボキシリック−6−ラクトン、3−メタクリロキシプロピルトリエトキシシラン、グリシジルメタクリレート、2−フェニルエチルメタクリレート、ヒドロキシフェニルメタクリレート、ブロモフェニルメタクリレート等が挙げられるが、これらに限定されない。 Specific examples of the methacrylic acid ester compound include methyl methacrylate, ethyl methacrylate, normal hexyl methacrylate, i-propyl methacrylate, cyclohexyl methacrylate, benzyl methacrylate, phenyl methacrylate, anthrylmethyl methacrylate, 2-hydroxyethyl methacrylate and 2-hydroxypropyl methacrylate. , 2,2,2-Trifluoroethyl methacrylate, 2,2,2-trichloroethyl methacrylate, 2-bromoethyl methacrylate, 4-hydroxybutyl methacrylate, 2-methoxyethyl methacrylate, tetrahydrofurfuryl methacrylate, 2-methyl-2 -Adamantil methacrylate, 5-methacryloyloxy-6-hydroxynorbornene-2-carboxylic-6-lactone, 3-methacryloxypropyltriethoxysilane, glycidylmethacrylate, 2-phenylethylmethacrylate, hydroxyphenylmethacrylate, bromophenylmethacrylate, etc. However, but not limited to these.

アクリルアミド化合物の具体例としては、アクリルアミド、N−メチルアクリルアミド、N−エチルアクリルアミド、N−ベンジルアクリルアミド、N−フェニルアクリルアミド、N,N−ジメチルアクリルアミド、N−アントリルアクリルアミド等が挙げられるが、これらに限定されない。 Specific examples of the acrylamide compound include acrylamide, N-methylacrylamide, N-ethylacrylamide, N-benzylacrylamide, N-phenylacrylamide, N, N-dimethylacrylamide, N-anthrylacrylamide and the like. Not limited.

メタクリルアミド化合物の具体例としては、メタクリルアミド、N−メチルメタクリルアミド、N−エチルメタクリルアミド、N−ベンジルメタクリルアミド、N−フェニルメタクリルアミド、N,N−ジメチルメタクリルアミド、N−アントリルアクリルアミド等が挙げられるが、これらに限定されない。 Specific examples of methacrylamide compounds include methacrylamide, N-methylmethacrylamide, N-ethylmethacrylamide, N-benzylmethacrylamide, N-phenylmethacrylamide, N, N-dimethylmethacrylamide, N-anthrylacrylamide and the like. However, it is not limited to these.

ビニル化合物の具体例としては、ビニルアルコール、2−ヒドロキシエチルビニルエーテル、メチルビニルエーテル、エチルビニルエーテル、ベンジルビニルエーテル、ビニル酢酸、ビニルトリメトキシシラン、2−クロロエチルビニルエーテル、2−メトキシエチルビニルエーテル、ビニルナフタレン、ビニルアントラセン等が挙げられるが、これらに限定されない。 Specific examples of the vinyl compound include vinyl alcohol, 2-hydroxyethyl vinyl ether, methyl vinyl ether, ethyl vinyl ether, benzyl vinyl ether, vinyl acetate, vinyl trimethoxysilane, 2-chloroethyl vinyl ether, 2-methoxyethyl vinyl ether, vinyl naphthalene and vinyl. Examples include, but are not limited to, anthracene.

スチレン化合物の具体例としては、スチレン、ヒドロキシスチレン、クロロスチレン、ブロモスチレン、メトキシスチレン、シアノスチレン、アセチルスチレン等が挙げられるが、これらに限定されない。 Specific examples of the styrene compound include, but are not limited to, styrene, hydroxystyrene, chlorostyrene, bromostyrene, methoxystyrene, cyanostyrene, acetylstyrene and the like.

マレイミド化合物としては、マレイミド、N−メチルマレイミド、N−フェニルマレイミド、N−シクロヘキシルマレイミド、N−ベンジルマレイミド、N−ヒドロキシエチルマレイミド等が挙げられるが、これらに限定されない。 Examples of the maleimide compound include, but are not limited to, maleimide, N-methylmaleimide, N-phenylmaleimide, N-cyclohexylmaleimide, N-benzylmaleimide, N-hydroxyethylmaleimide and the like.

ポリマーとして縮重合ポリマーが使用される場合、そのようなポリマーとしては、例えば、グリコール化合物とジカルボン酸化合物との縮重合ポリマーが挙げられる。グリコール化合物としてはジエチレングリコール、ヘキサメチレングリコール、ブチレングリコール等が挙げられる。ジカルボン酸化合物としては、コハク酸、アジピン酸、テレフタル酸、無水マレイン酸等が挙げられる。また、例えば、ポリピロメリットイミド、ポリ(p−フェニレンテレフタルアミド)、ポリブチレンテレフタレート、ポリエチレンテレフタレート等のポリエステル、ポリアミド、ポリイミドが挙げられるが、これらに限定されない。
有機ポリマー化合物がヒドロキシ基を含む場合は、このヒドロキシ基は、加水分解縮合
物等と架橋反応をし得る。
When a polycondensation polymer is used as the polymer, such a polymer includes, for example, a polycondensation polymer of a glycol compound and a dicarboxylic acid compound. Examples of the glycol compound include diethylene glycol, hexamethylene glycol, butylene glycol and the like. Examples of the dicarboxylic acid compound include succinic acid, adipic acid, terephthalic acid, maleic anhydride and the like. Further, examples thereof include, but are not limited to, polyesters such as polypyrro meritimide, poly (p-phenylene terephthalamide), polybutylene terephthalate, and polyethylene terephthalate, polyamides, and polyimides.
When the organic polymer compound contains a hydroxy group, the hydroxy group can undergo a cross-linking reaction with a hydrolyzed condensate or the like.

上記有機ポリマー化合物の重量平均分子量は、通常1,000〜1,000,000でとすることができる。有機ポリマー化合物を配合する場合、ポリマーとしての機能の効果を十分に得つつ、組成物中での析出を抑制する観点から、その重量平均分子量を例えば3,000〜300,000、又は5,000〜300,000、あるいは10,000〜200,000などとすることができる。
このような有機ポリマー化合物は、1種単独で使用してもよいし、2種以上組み合わせて用いることができる。
The weight average molecular weight of the organic polymer compound is usually 1,000 to 1,000,000. When an organic polymer compound is blended, the weight average molecular weight thereof is, for example, 3,000 to 300,000, or 5,000, from the viewpoint of suppressing precipitation in the composition while sufficiently obtaining the effect of the function as a polymer. It can be ~ 300,000, or 10,000 ~ 200,000.
Such an organic polymer compound may be used alone or in combination of two or more.

上記レジスト下層膜形成組成物が有機ポリマー化合物を含む場合、その含有量は、その有機ポリマー化合物の機能等を考慮して適宜定まるため一概に規定できないが、通常、上記加水分解縮合物(A)の質量に対して、1〜200質量%の範囲とすることができ、組成物中での析出を抑制する観点等から、例えば100質量%以下、好ましくは50質量%以下、より好ましくは30質量%以下とすることができ、その効果を十分に得る観点等から、例えば5質量%以上、好ましくは10質量%以上、より好ましくは30質量%以上とすることができる。 When the resist underlayer film forming composition contains an organic polymer compound, the content thereof cannot be unconditionally determined because it is appropriately determined in consideration of the function of the organic polymer compound and the like, but usually, the hydrolysis condensate (A) It can be in the range of 1 to 200% by mass with respect to the mass of the above, and from the viewpoint of suppressing precipitation in the composition, for example, 100% by mass or less, preferably 50% by mass or less, more preferably 30% by mass. % Or less, and from the viewpoint of sufficiently obtaining the effect, for example, it can be 5% by mass or more, preferably 10% by mass or more, and more preferably 30% by mass or more.

<界面活性剤>
界面活性剤は、上記レジスト下層膜形成組成物を基板に塗布した際に、ピンホール、ストレーション等の発生を抑制するのに有効である。上記界面活性剤としては、ノニオン系界面活性剤、アニオン系界面活性剤、カチオン系界面活性剤、シリコン系界面活性剤、フッ素系界面活性剤、UV硬化型界面活性剤等が挙げられる。より具体的には、例えば、ポリオキシエチレンラウリルエーテル、ポリオキシエチレンステアリルエーテル、ポリオキシエチレンセチルエーテル、ポリオキシエチレンオレイルエーテル等のポリオキシエチレンアルキルエーテル類、ポリオキシエチレンオクチルフェノールエーテル、ポリオキシエチレンノニルフェノールエーテル等のポリオキシエチレンアルキルアリールエーテル類、ポリオキシエチレン・ポリオキシプロピレンブロックコポリマー類、ソルビタンモノラウレート、ソルビタンモノパルミテート、ソルビタンモノステアレート、ソルビタンモノオレエート、ソルビタントリオレエート、ソルビタントリステアレート等のソルビタン脂肪酸エステル類、ポリオキシエチレンソルビタンモノラウレート、ポリオキシエチレンソルビタンモノパルミテート、ポリオキシエチレンソルビタンモノステアレート、ポリオキシエチレンソルビタントリオレエート、ポリオキシエチレンソルビタントリステアレート等のポリオキシエチレンソルビタン脂肪酸エステル類等のノニオン系界面活性剤、商品名エフトップ(登録商標)EF301、EF303、EF352(三菱マテリアル電子化成(株)(旧(株)トーケムプロダクツ)製)、商品名メガファック(登録商標)F171、F173、R−08、R−30、R−30N、R−40LM(DIC(株)製)、フロラードFC430、FC431(スリーエムジャパン(株)製)、商品名アサヒガード(登録商標)AG710(AGC(株)製)、サーフロン(登録商標)S−382、SC101、SC102、SC103、SC104、SC105、SC106(AGCセイミケミカル(株)製)等のフッ素系界面活性剤、及びオルガノシロキサンポリマ−KP341(信越化学工業(株)製)等を挙げることができるが、これらに限定されない。
界面活性剤は、1種単独で又は2種以上組み合わせて用いることができる。
<Surfactant>
The surfactant is effective in suppressing the occurrence of pinholes, stirries, etc. when the resist underlayer film forming composition is applied to a substrate. Examples of the surfactant include nonionic surfactants, anionic surfactants, cationic surfactants, silicon-based surfactants, fluorine-based surfactants, UV-curable surfactants and the like. More specifically, for example, polyoxyethylene alkyl ethers such as polyoxyethylene lauryl ether, polyoxyethylene stearyl ether, polyoxyethylene cetyl ether, and polyoxyethylene oleyl ether, polyoxyethylene octylphenol ether, and polyoxyethylene nonylphenol. Polyoxyethylene alkylaryl ethers such as ethers, polyoxyethylene / polyoxypropylene block copolymers, sorbitan monolaurates, sorbitan monopalmitates, sorbitan monostearates, sorbitan monooleates, sorbitan trioleates, sorbitan tristearates. Solbitan fatty acid esters such as, polyoxyethylene sorbitan monolaurate, polyoxyethylene sorbitan monopalmitate, polyoxyethylene sorbitan monostearate, polyoxyethylene sorbitan trioleate, polyoxyethylene such as polyoxyethylene sorbitan tristearate. Nonionic surfactants such as sorbitan fatty acid esters, trade name Ftop (registered trademark) EF301, EF303, EF352 (manufactured by Mitsubishi Material Denshi Kasei Co., Ltd. (formerly Tochem Products Co., Ltd.)), trade name Megafuck ( Registered trademarks) F171, F173, R-08, R-30, R-30N, R-40LM (manufactured by DIC Co., Ltd.), Florard FC430, FC431 (manufactured by 3M Japan Co., Ltd.), trade name Asahi Guard (registered trademark) ) Fluorosurfactants such as AG710 (manufactured by AGC Co., Ltd.), Surflon (registered trademark) S-382, SC101, SC102, SC103, SC104, SC105, SC106 (manufactured by AGC Seimi Chemical Co., Ltd.), and organosiloxanes. Polyethylene-KP341 (manufactured by Shin-Etsu Chemical Industry Co., Ltd.) and the like can be mentioned, but the present invention is not limited thereto.
The surfactant can be used alone or in combination of two or more.

上記レジスト下層膜形成組成物が界面活性剤を含む場合、その含有量は、上記加水分解縮合物(A)の質量に対して、0.0001〜5質量%の範囲とすることができ、又は0.01〜1質量%、又は0.01〜1質量%とすることができる。 When the resist underlayer film forming composition contains a surfactant, the content thereof can be in the range of 0.0001 to 5% by mass with respect to the mass of the hydrolyzed condensate (A), or It can be 0.01 to 1% by mass, or 0.01 to 1% by mass.

<レオロジー調整剤>
上記レオロジー調整剤は、主にレジスト下層膜形成組成物の流動性を向上させ、特にベ
ーキング工程において、形成される膜の膜厚均一性の向上や、ホール内部への組成物の充填性を高める目的で添加される。具体例としては、ジメチルフタレート、ジエチルフタレート、ジi−ブチルフタレート、ジヘキシルフタレート、ブチルi−デシルフタレート等のフタル酸誘導体、ジノルマルブチルアジペート、ジ−i−ブチルアジペート、ジ−i−オクチルアジペート、オクチルデシルアジペート等のアジピン酸誘導体、ジノルマルブチルマレート、ジエチルマレート、ジノニルマレート等のマレイン酸誘導体、メチルオレート、ブチルオレート、テトラヒドロフルフリルオレート等のオレイン酸誘導体、またはノルマルブチルステアレート、グリセリルステアレート等のステアリン酸誘導体等を挙げることができる。
これらのレオロジー調整剤が使用される場合、その添加量は、上記レジスト下層膜形成組成物の全固形分に対して通常30質量%未満の割合である。
<Rheology adjuster>
The rheology adjuster mainly improves the fluidity of the resist underlayer film forming composition, particularly in the baking step, improves the film thickness uniformity of the formed film, and enhances the filling property of the composition into the hole. Added for the purpose. Specific examples include phthalate derivatives such as dimethylphthalate, diethylphthalate, dii-butylphthalate, dihexylphthalate, and butyl i-decylphthalate, dinormal butyl adipate, di-i-butyl adipate, and di-i-octyl adipate. Adipic acid derivatives such as octyldecyl adipate, maleic acid derivatives such as dinormal butyl malate, diethyl malate, dinonyl malate, oleic acid derivatives such as methyl oleate, butyl olate, tetrahydrofurfuryl oleate, or normal butyl stearate, glyceryl steer. Examples thereof include phthalates and the like stearic acid derivatives.
When these rheology adjusters are used, the amount added thereof is usually less than 30% by mass with respect to the total solid content of the resist underlayer film forming composition.

<接着補助剤>
上記接着補助剤は、主に基板あるいはレジストと、当該レジスト下層膜形成組成物から形成される膜(レジスト下層膜)との密着性を向上させ、特に現像においてレジストの剥離を抑制・防止する目的で添加される。具体例としては、トリメチルクロロシラン、ジメチルビニルクロロシラン、メチルジフェニルクロロシラン、クロロメチルジメチルクロロシラン等のクロロシラン類、トリメチルメトキシシラン、ジメチルジエトキシシラン、メチルジメトキシシラン、ジメチルビニルエトキシシラン、ジフェニルジメトキシシラン、フェニルトリエトキシシラン等のアルコキシシラン類、ヘキサメチルジシラザン、N,N’−ビス(トリメチルシリル)ウレア、ジメチルトリメチルシリルアミン、トリメチルシリルイミダゾール等のシラザン類、ビニルトリクロロシラン、γ−クロロプロピルトリメトキシシラン、γ−アミノプロピルトリエトキシシラン、γ−グリシドキシプロピルトリメトキシシラン等のその他のシラン類、ベンゾトリアゾール、ベンズイミダゾール、インダゾール、イミダゾール、2−メルカプトベンズイミダゾール、2ーメルカプトベンゾチアゾール、2−メルカプトベンゾオキサゾール、ウラゾール、チオウラシル、メルカプトイミダゾール、メルカプトピリミジン等の複素環式化合物や、1,1−ジメチルウレア、1,3−ジメチルウレア等の尿素、またはチオ尿素化合物を挙げることができる。
これらの接着補助剤が使用される場合、その添加量は、上記レジスト下層膜形成組成物の全固形分に対して通常5質量%未満、好ましくは2質量%未満の割合である。
<Adhesive aid>
The above-mentioned adhesive auxiliary mainly has the purpose of improving the adhesion between the substrate or the resist and the film formed from the resist underlayer film forming composition (resist underlayer film), and particularly for suppressing / preventing the peeling of the resist during development. Is added at. Specific examples include chlorosilanes such as trimethylchlorosilane, dimethylvinylchlorosilane, methyldiphenylchlorosilane, and chloromethyldimethylchlorosilane, trimethylmethoxysilane, dimethyldiethoxysilane, methyldimethoxysilane, dimethylvinylethoxysilane, diphenyldimethoxysilane, and phenyltriethoxy. Alkoxysilanes such as silane, hexamethyldisilazane, N, N'-bis (trimethylsilyl) urea, dimethyltrimethylsilylamine, silanes such as trimethylsilylimidazole, vinyltrichlorosilane, γ-chloropropyltrimethoxysilane, γ-aminopropyl Other silanes such as triethoxysilane, γ-glycidoxypropyltrimethoxysilane, benzotriazole, benzimidazole, indazole, imidazole, 2-mercaptobenzimidazole, 2-mercaptobenzothiazole, 2-mercaptobenzoxazole, urazole, Examples thereof include heterocyclic compounds such as thiouracil, mercaptoimidazole and mercaptopyrimidine, ureas such as 1,1-dimethylurea and 1,3-dimethylurea, and thiourea compounds.
When these adhesive aids are used, the amount added thereof is usually less than 5% by mass, preferably less than 2% by mass, based on the total solid content of the resist underlayer film forming composition.

<pH調整剤>
また、pH調整剤として、前述の<安定化剤>として挙げた有機酸などのカルボン酸基を1又は2以上有する酸の他、ビスフェノールS、又はビスフェノールS誘導体を添加することができる。ビスフェノールS、又はビスフェノールS誘導体は、上記加水分解縮合物(A)100質量部に対して、0.01〜20質量部、又は0.01〜10質量部、又は0.01〜5質量部である。
<pH adjuster>
Further, as the pH adjuster, a bisphenol S or a bisphenol S derivative can be added in addition to an acid having 1 or 2 or more carboxylic acid groups such as the organic acid mentioned above as the <stabilizer>. The bisphenol S or the bisphenol S derivative is 0.01 to 20 parts by mass, 0.01 to 10 parts by mass, or 0.01 to 5 parts by mass with respect to 100 parts by mass of the hydrolyzed condensate (A). be.

以下、ビスフェノールSやビスフェノールS誘導体の具体例を挙げるが、これらに限定されない。

Figure 2021189314
Hereinafter, specific examples of bisphenol S and bisphenol S derivatives will be given, but the present invention is not limited thereto.
Figure 2021189314

上述したように、本発明は、上述のレジスト下層膜形成組成物を塗布し塗布膜を得、これを紫外線で硬化させる工程を含む、シリコン含有レジスト下層膜の製造方法であって、該シリコン含有レジスト下層膜は、酸素ガスによるドライエッチング処理後に、硫酸過酸化水素水溶液によって除去可能である。
以下、上記レジスト下層膜形成組成物を用いたレジスト下層膜の製造方法の具体例について、例えば半導体装置においてレジスト下層膜が適用される際の前後の工程や、製造後のレジスト下層膜の湿式除去と合わせて説明する。
As described above, the present invention is a method for producing a silicon-containing resist underlayer film, which comprises a step of applying the above-mentioned resist underlayer film forming composition to obtain a coating film and curing the coating film with ultraviolet rays, wherein the silicon-containing resist underlayer film is produced. The resist underlayer film can be removed by a hydrogen sulfate aqueous solution after a dry etching treatment with oxygen gas.
Hereinafter, specific examples of a method for producing a resist underlayer film using the resist underlayer film forming composition will be described in the steps before and after the resist underlayer film is applied in a semiconductor device, and the wet removal of the resist underlayer film after production. I will explain it together with.

精密集積回路素子の製造に使用される基板〔例えば、酸化珪素膜、窒化珪素膜又は酸化窒化珪素膜で被覆されたシリコンウェハー等の半導体基板、窒化珪素基板、石英基板、ガラス基板(無アルカリガラス、低アルカリガラス、結晶化ガラスを含む。)、ITO(イ
ンジウムスズ酸化物)膜やIZO(インジウム亜鉛酸化物)膜が形成されたガラス基板、プラスチック(ポリイミド、PET等)基板、低誘電率材料(low−k材料)被覆基板、フレキシブル基板等〕の上に、スピナー、コーター等の適当な塗布方法により、上記レジスト下層膜形成組成物を塗布し、必要があれば溶媒を除去し、塗布膜を得る。溶媒の除去は、減圧又は加熱すること行うことができる。加熱の条件は、溶媒を除去でき、且つ、より温和な条件が好ましく、このような条件を採用することで、優れた湿式除去性を再現性よく実現できる。加熱条件は、溶媒の沸点、固形分の反応性、固形分の濃度、所望の膜厚等を考慮して、通常、加熱温度30〜140℃、加熱時間5秒〜2分間の範囲から適宜決定される。
上記塗布膜を露光して、レジスト下層膜を形成する。以下、本明細書において、レジスト下層膜とは、上記レジスト下層膜形成組成物より形成される膜をいう。
Substrate used for manufacturing precision integrated circuit elements [For example, semiconductor substrate such as silicon oxide film, silicon nitride film or silicon wafer coated with silicon oxide film, silicon nitride substrate, quartz substrate, glass substrate (alkali-free glass) , Low alkaline glass, including crystallized glass), glass substrate on which ITO (indium tin oxide) film or IZO (indium zinc oxide) film is formed, plastic (polyimide, PET, etc.) substrate, low dielectric constant material (Low-k material) coated substrate, flexible substrate, etc.] is coated with the resist underlayer film forming composition by an appropriate coating method such as a spinner, a coater, etc., and if necessary, the solvent is removed, and the coating film is applied. To get. The solvent can be removed by reducing the pressure or heating. As the heating conditions, it is preferable that the solvent can be removed and the conditions are milder. By adopting such conditions, excellent wet removal properties can be realized with good reproducibility. The heating conditions are appropriately determined from a heating temperature range of 30 to 140 ° C. and a heating time of 5 seconds to 2 minutes in consideration of the boiling point of the solvent, the reactivity of the solid content, the concentration of the solid content, the desired film thickness, and the like. Will be done.
The coating film is exposed to form a resist underlayer film. Hereinafter, in the present specification, the resist underlayer film means a film formed from the resist underlayer film forming composition.

次に、得られた塗布膜を露光する。
露光には紫外線光(波長10nm乃至400nm)を用いることができ、波長が150nm乃至330nm、好ましくは150nm乃至248nmの光を使用し得、例えばKrFエキシマレーザー(波長248nm)、ArFエキシマレーザー(波長193nm)、Xeエキシマレーザー(波長172nm)、及びF2エキシマレーザー(波長157nm)等を使用することができる。中でも、波長172nm光の使用が好ましい。
また露光光量は10mJ/cm乃至3000mJ/cmとすることができる。
上記露光工程は、酸素及び/又は水蒸気(水)が存在する不活性ガス雰囲気下で露光を行ってもよい。不活性ガスとしては特に窒素ガスが好ましく用いることができる。
Next, the obtained coating film is exposed.
Ultraviolet light (wavelength 10 nm to 400 nm) can be used for exposure, and light having a wavelength of 150 nm to 330 nm, preferably 150 nm to 248 nm can be used, for example, KrF excimer laser (wavelength 248 nm), ArF excimer laser (wavelength 193 nm). ), Xe excimer laser (wavelength 172 nm), F2 excimer laser (wavelength 157 nm) and the like can be used. Above all, the use of light having a wavelength of 172 nm is preferable.
The amount of exposure may be 10 mJ / cm 2 to 3000 mJ / cm 2.
The exposure step may be performed in an inert gas atmosphere in which oxygen and / or water vapor (water) is present. As the inert gas, nitrogen gas can be particularly preferably used.

ここで形成されるレジスト下層膜の膜厚としては、例えば、10nm〜1,000nmであり、又は20nm〜500nmであり、又は50nm〜300nmであり、又は100nm〜200nm、または10nm〜100nmである。 The film thickness of the resist underlayer film formed here is, for example, 10 nm to 1,000 nm, 20 nm to 500 nm, 50 nm to 300 nm, or 100 nm to 200 nm, or 10 nm to 100 nm.

なお、後述するように、紫外線照射により光硬化させたレジスト下層膜は、酸素ガスによりドライエッチング処理される。ドライエッチング処理後のレジスト下層膜は、後述するように、硫酸過酸化水素水溶液により除去可能である。 As will be described later, the resist underlayer film photo-cured by ultraviolet irradiation is dry-etched with oxygen gas. The resist underlayer film after the dry etching treatment can be removed by an aqueous solution of hydrogen peroxide sulfate, as will be described later.

なお、上記基板上に有機下層膜を形成した後、この上に上記レジスト下層膜を形成した態様とすることもでき、本発明にあっては有機下層膜を設けた態様であることが好ましい。以下、有機下層膜を設けた態様として、レジスト下層膜形成工程後の工程も含め説明する。
ここで使用する有機下層膜としては、特に制限はなく、これまでリソグラフィープロセスにおいて慣用されているものの中から任意に選択して使用することができる。
基板上に、有機下層膜、その上にレジスト下層膜、さらにその上に後述するレジスト膜を設けた態様とすることにより、フォトレジスト膜のパターン幅が狭くなり、パターン倒れを防ぐ為にフォトレジスト膜を薄く被覆した場合でも、後述する適切なエッチングガスを選択することにより基板の加工が可能になる。
例えば、フォトレジスト膜に対して十分に早いエッチング速度を有するフッ素系ガスをエッチングガスとして用いてレジスト下層膜の加工が可能であり、当該レジスト下層膜に対して十分に早いエッチング速度を有する酸素系ガスをエッチングガスとして用いて、有機下層膜の加工が可能であり、更に有機下層膜に対して十分に早いエッチング速度を有するフッ素系ガスをエッチングガスとして用いて、基板の加工を行うことができる。
It is also possible to form the resist underlayer film after forming the organic underlayer film on the substrate, and in the present invention, it is preferable to provide the organic underlayer film. Hereinafter, as an embodiment in which the organic underlayer film is provided, a step after the resist underlayer film forming step will be described.
The organic underlayer film used here is not particularly limited, and can be arbitrarily selected and used from those conventionally used in the lithography process.
By providing an organic underlayer film, a resist underlayer film on the substrate, and a resist film described later on the substrate, the pattern width of the photoresist film is narrowed, and the photoresist is prevented from collapsing. Even when the film is thinly coated, the substrate can be processed by selecting an appropriate etching gas described later.
For example, a fluorogas having a sufficiently fast etching rate for a photoresist film can be used as an etching gas to process a resist underlayer film, and an oxygen-based gas having a sufficiently fast etching rate for the resist underlayer film can be processed. The organic underlayer can be processed by using the gas as the etching gas, and the substrate can be processed by using the fluorogas having a sufficiently high etching rate with respect to the organic underlayer as the etching gas. ..

次いで、上記レジスト下層膜の上に、例えばフォトレジストの層(レジスト膜)が形成される。レジスト膜の形成は周知の方法にて、すなわち、レジスト下層膜の上に、レジスト組成物(例えばフォトレジスト)を塗布し焼成することによって行なうことができる。
レジスト膜の膜厚は、例えば10nm〜10,000nmであり、又は100nm〜2
,000nmであり、又は200nm〜1,000nmであり、又は30nm〜200nmである。
Next, for example, a photoresist layer (resist film) is formed on the resist underlayer film. The resist film can be formed by a well-known method, that is, by applying a resist composition (for example, a photoresist) on a resist underlayer film and firing it.
The film thickness of the resist film is, for example, 10 nm to 10,000 nm, or 100 nm to 2
It is 000 nm, or 200 nm to 1,000 nm, or 30 nm to 200 nm.

上記レジスト下層膜上に形成されるレジスト膜に使用されるフォトレジスト材料としては、露光に使用される光(例えば、KrFエキシマレーザー、ArFエキシマレーザー等)に感光するものであれば特に限定はされず、ネガ型フォトレジスト材料及びポジ型フォトレジスト材料のいずれも使用できる。例えば、ノボラック樹脂と1,2−ナフトキノンジアジドスルホン酸エステルとからなるポジ型フォトレジスト材料、酸により分解してアルカリ溶解速度を上昇させる基を有するバインダーと光酸発生剤からなる化学増幅型フォトレジスト材料、酸により分解してフォトレジスト材料のアルカリ溶解速度を上昇させる低分子化合物とアルカリ可溶性バインダーと光酸発生剤とからなる化学増幅型フォトレジスト材料、及び酸により分解してアルカリ溶解速度を上昇させる基を有するバインダーと酸により分解してフォトレジスト材料のアルカリ溶解速度を上昇させる低分子化合物と光酸発生剤からなる化学増幅型フォトレジスト材料等がある。
市販品として入手可能な具体例としては、シプレー社製商品名APEX−E、住友化学(株)製商品名PAR710、JSR(株)製;商品名AR2772JN、及び信越化学工業(株)製商品名SEPR430等が挙げられるが、これらに限定されない。また、例えば、Proc.SPIE,Vol.3999,330−334(2000)、Proc.SPIE,Vol.3999,357−364(2000)、やProc.SPIE,Vol.3999,365−374(2000)に記載されているような、含フッ素原子ポリマー系フォトレジスト材料を挙げることができる。
The photoresist material used for the resist film formed on the resist underlayer film is particularly limited as long as it is sensitive to light used for exposure (for example, KrF excimer laser, ArF excimer laser, etc.). However, both negative photoresist materials and positive photoresist materials can be used. For example, a positive photoresist material consisting of novolak resin and 1,2-naphthoquinonediazide sulfonic acid ester, a chemically amplified photoresist consisting of a binder having a group that decomposes with an acid to increase the alkali dissolution rate and a photoacid generator. A chemically amplified photoresist material consisting of a low molecular weight compound, an alkali-soluble binder, and a photoacid generator that decomposes with a material and an acid to increase the alkali dissolution rate of the photoresist material, and decomposes with an acid to increase the alkali dissolution rate. There are chemically amplified photoresist materials composed of a low molecular weight compound that decomposes with an acid and a binder having a group to increase the alkali dissolution rate of the photoresist material, and a photoacid generator.
Specific examples available as commercial products include chypre product name APEX-E, Sumitomo Chemical Co., Ltd. product name PAR710, JSR Corporation product name; product name AR2772JN, and Shin-Etsu Chemical Co., Ltd. product name. SEPR430 and the like can be mentioned, but the present invention is not limited thereto. Also, for example, Proc. SPIE, Vol. 3999,330-334 (2000), Proc. SPIE, Vol. 3999,357-364 (2000), and Proc. SPIE, Vol. Fluorine-containing atomic polymer-based photoresist materials as described in 3999,365-374 (2000) can be mentioned.

また、上記レジスト下層膜上に形成されるレジスト膜には、フォトレジスト膜に替えて電子線リソグラフィー用レジスト膜(電子線レジスト膜とも称する)、又はEUVリソグラフィー用レジスト膜(EUVレジスト膜とも称する)を用いることができる。
上記電子線レジスト材料としては、ネガ型材料、ポジ型材料いずれも使用できる。その具体例としては、酸発生剤と酸により分解してアルカリ溶解速度を変化させる基を有するバインダーからなる化学増幅型レジスト材料、アルカリ可溶性バインダーと酸発生剤と酸により分解してレジスト材料のアルカリ溶解速度を変化させる低分子化合物からなる化学増幅型レジスト材料、酸発生剤と酸により分解してアルカリ溶解速度を変化させる基を有するバインダーと酸により分解してレジスト材料のアルカリ溶解速度を変化させる低分子化合物からなる化学増幅型レジスト材料、電子線によって分解してアルカリ溶解速度を変化させる基を有するバインダーからなる非化学増幅型レジスト材料、電子線によって切断されアルカリ溶解速度を変化させる部位を有するバインダーからなる非化学増幅型レジスト材料などがある。これらの電子線レジスト材料を用いた場合も、照射源を電子線としてフォトレジスト材料を用いた場合と同様にレジスト膜のパターンを形成することができる。
また上記EUVレジスト材料としては、メタクリレート樹脂系レジスト材料を用いることができる。
Further, the resist film formed on the resist underlayer film is a resist film for electron beam lithography (also referred to as an electron beam resist film) or a resist film for EUV lithography (also referred to as an EUV resist film) instead of the photoresist film. Can be used.
As the electron beam resist material, either a negative type material or a positive type material can be used. Specific examples thereof include a chemically amplified resist material consisting of an acid generator and a binder having a group that decomposes with an acid to change the alkali dissolution rate, an alkali-soluble binder, an acid generator, and an alkali of the resist material decomposed with an acid. A chemically amplified resist material composed of a low molecular weight compound that changes the dissolution rate, a binder having a group that decomposes with an acid generator and an acid to change the alkali dissolution rate, and an acid that decomposes with an acid to change the alkali dissolution rate of the resist material. It has a chemically amplified resist material made of a low molecular weight compound, a non-chemically amplified resist material made of a binder having a group that decomposes with an electron beam and changes the alkali dissolution rate, and a site that is cut by an electron beam to change the alkali dissolution rate. There are non-chemically amplified resist materials made of binders. Even when these electron beam resist materials are used, a resist film pattern can be formed in the same manner as when a photoresist material is used with the irradiation source as an electron beam.
Further, as the EUV resist material, a methacrylate resin-based resist material can be used.

次に、レジスト下層膜の上層に形成されたレジスト膜に対して、所定のマスク(レクチル)を通して露光を行う。露光には、KrFエキシマレーザー(波長248nm)、ArFエキシマレーザー(波長193nm)、F2エキシマレーザー(波長157nm)、EUV(波長13.5nm)、電子線等を使用することができる。
露光後、必要に応じて露光後加熱(post exposure bake)を行なうこともできる。露光後加熱は、加熱温度70℃〜150℃、加熱時間0.3分間〜10分間から適宜選択された条件で行われる。
Next, the resist film formed on the upper layer of the resist lower layer film is exposed through a predetermined mask (rectyl). For the exposure, a KrF excimer laser (wavelength 248 nm), an ArF excimer laser (wavelength 193 nm), an F2 excimer laser (wavelength 157 nm), an EUV (wavelength 13.5 nm), an electron beam or the like can be used.
After the exposure, if necessary, post-exposure heating (post exposure break) can be performed. Post-exposure heating is performed under appropriately selected conditions from a heating temperature of 70 ° C. to 150 ° C. and a heating time of 0.3 minutes to 10 minutes.

次いで、現像液(例えばアルカリ現像液)によって現像が行なわれる。これにより、例えばポジ型フォトレジスト膜が使用された場合は、露光された部分のフォトレジスト膜が
除去され、フォトレジスト膜のパターンが形成される。
現像液(アルカリ現像液)としては、水酸化カリウム、水酸化ナトリウムなどのアルカリ金属水酸化物の水溶液、水酸化テトラメチルアンモニウム、水酸化テトラエチルアンモニウム、コリンなどの水酸化四級アンモニウムの水溶液、エタノールアミン、プロピルアミン、エチレンジアミンなどのアミン水溶液等のアルカリ性水溶液(アルカリ現像液)等を例として挙げることができる。さらに、これらの現像液に界面活性剤などを加えることもできる。現像の条件としては、温度5〜50℃、時間10秒〜600秒から適宜選択される。
Then, development is performed with a developer (for example, an alkaline developer). As a result, for example, when a positive photoresist film is used, the photoresist film in the exposed portion is removed, and a pattern of the photoresist film is formed.
The developing solution (alkali developing solution) includes an aqueous solution of an alkali metal hydroxide such as potassium hydroxide and sodium hydroxide, an aqueous solution of quaternary ammonium hydroxide such as tetramethylammonium hydroxide, tetraethylammonium hydroxide and choline, and ethanol. An alkaline aqueous solution (alkaline developing solution) such as an amine aqueous solution such as amine, propylamine, or ethylenediamine can be mentioned as an example. Further, a surfactant or the like can be added to these developers. The development conditions are appropriately selected from a temperature of 5 to 50 ° C. and a time of 10 seconds to 600 seconds.

また本発明では、現像液として有機溶剤を用いることができ、露光後に現像液(溶剤)によって現像が行なわれる。これにより、例えばポジ型フォトレジスト膜が使用された場合は、露光されない部分のフォトレジスト膜が除去され、フォトレジスト膜のパターンが形成される。
現像液(有機溶剤)としては、例えば、酢酸メチル、酢酸ブチル、酢酸エチル、酢酸イソプロピル、酢酸アミル、酢酸イソアミル、メトキシ酢酸エチル、エトキシ酢酸エチル、プロピレングリコールモノメチルエーテルアセテート、エチレングリコールモノエチルエーテルアセテート、エチレングリコールモノプロピルエーテルアセテート、エチレングリコールモノブチルエーテルアセテート、エチレングリコールモノフェニルエーテルアセテート、ジエチレングリコールモノメチルエーテルアセテート、ジエチレングリコールモノプロピルエーテルアセテート、ジエチレングリコールモノエチルエーテルアセテート、ジエチレングリコールモノフェニルエーテルアセテート、ジエチレングリコールモノブチルエーテルアセテート、ジエチレングリコールモノエチルエーテルアセテート、2−メトキシブチルアセテート、3−メトキシブチルアセテート、4−メトキシブチルアセテート、3−メチル−3−メトキシブチルアセテート、3−エチル−3−メトキシブチルアセテート、プロピレングリコールモノメチルエーテルアセテート、プロピレングリコールモノエチルエーテルアセテート、プロピレングリコールモノプロピルエーテルアセテート、2−エトキシブチルアセテート、4−エトキシブチルアセテート、4−プロポキシブチルアセテート、2−メトキシペンチルアセテート、3−メトキシペンチルアセテート、4−メトキシペンチルアセテート、2−メチル−3−メトキシペンチルアセテート、3−メチル−3−メトキシペンチルアセテート、3−メチル−4−メトキシペンチルアセテート、4−メチル−4−メトキシペンチルアセテート、プロピレングリコールジアセテート、ギ酸メチル、ギ酸エチル、ギ酸ブチル、ギ酸プロピル、乳酸エチル、乳酸ブチル、乳酸プロピル、炭酸エチル、炭酸プロピル、炭酸ブチル、ピルビン酸メチル、ピルビン酸エチル、ピルビン酸プロピル、ピルビン酸ブチル、アセト酢酸メチル、アセト酢酸エチル、プロピオン酸メチル、プロピオン酸エチル、プロピオン酸プロピル、プロピオン酸イソプロピル、2−ヒドロキシプロピオン酸メチル、2−ヒドロキシプロピオン酸エチル、メチル−3−メトキシプロピオネート、エチル−3−メトキシプロピオネート、エチル−3−エトキシプロピオネート、プロピル−3−メトキシプロピオネート等を例として挙げることができる。さらに、これらの現像液に界面活性剤などを加えることもできる。現像の条件としては、温度は5℃〜50℃、時間は10秒〜600秒から適宜選択される。
Further, in the present invention, an organic solvent can be used as the developing solution, and development is performed with the developing solution (solvent) after exposure. As a result, for example, when a positive photoresist film is used, the photoresist film in the unexposed portion is removed, and a pattern of the photoresist film is formed.
Examples of the developing solution (organic solvent) include methyl acetate, butyl acetate, ethyl acetate, isopropyl acetate, amyl acetate, isoamyl acetate, ethyl methoxyacetate, ethyl ethoxyacetate, propylene glycol monomethyl ether acetate, and ethylene glycol monoethyl ether acetate. Ethylene glycol monopropyl ether acetate, ethylene glycol monobutyl ether acetate, ethylene glycol monophenyl ether acetate, diethylene glycol monomethyl ether acetate, diethylene glycol monopropyl ether acetate, diethylene glycol monoethyl ether acetate, diethylene glycol monophenyl ether acetate, diethylene glycol monobutyl ether acetate, diethylene glycol mono Ethyl ether acetate, 2-methoxybutyl acetate, 3-methoxybutyl acetate, 4-methoxybutyl acetate, 3-methyl-3-methoxybutyl acetate, 3-ethyl-3-methoxybutyl acetate, propylene glycol monomethyl ether acetate, propylene glycol Monoethyl ether acetate, propylene glycol monopropyl ether acetate, 2-ethoxybutyl acetate, 4-ethoxybutyl acetate, 4-propoxybutyl acetate, 2-methoxypentyl acetate, 3-methoxypentyl acetate, 4-methoxypentyl acetate, 2- Methyl-3-methoxypentyl acetate, 3-methyl-3-methoxypentyl acetate, 3-methyl-4-methoxypentyl acetate, 4-methyl-4-methoxypentyl acetate, propylene glycol diacetate, methyl formate, ethyl formate, formate Butyl, propyl formate, ethyl lactate, butyl lactate, propyl lactate, ethyl carbonate, propyl carbonate, butyl carbonate, methyl pyruvate, ethyl pyruvate, propyl pyruvate, butyl pyruvate, methyl acetoacetate, ethyl acetoacetate, methyl propionate , Ethyl propionate, propyl propionate, isopropyl propionate, methyl 2-hydroxypropionate, ethyl 2-hydroxypropionate, methyl-3-methoxypropionate, ethyl-3-methoxypropionate, ethyl-3-ethoxy Examples thereof include propionate and propyl-3-methoxypropionate. Further, a surfactant or the like can be added to these developers. As the development conditions, the temperature is appropriately selected from 5 ° C. to 50 ° C. and the time is appropriately selected from 10 seconds to 600 seconds.

このようにして形成されたフォトレジスト膜(上層)のパターンを保護膜としてレジスト下層膜(中間層)の除去を行い、次いでパターン化されたフォトレジスト膜とパターン化されたレジスト下層膜(中間層)からなる膜を保護膜として、有機下層膜(下層)の除去を行い、有機下層膜が除去された部分の基板に対して、イオン(不純物)注入等の加工を行い、その後レジスト下層膜の除去が行われる。 Using the pattern of the photoresist film (upper layer) thus formed as a protective film, the resist lower layer film (intermediate layer) is removed, and then the patterned photoresist film and the patterned resist lower layer film (intermediate layer) are removed. ) Is used as a protective film to remove the organic underlayer film (lower layer), and the substrate in the portion where the organic underlayer film has been removed is processed by injecting ions (impurities) or the like, and then the resist underlayer film is formed. Removal is done.

レジスト膜(上層)のパターンを保護膜として行われるレジスト下層膜(中間層)の除去はドライエッチングによって行われ、テトラフルオロメタン(CF)、パーフルオロシクロブタン(C)、パーフルオロプロパン(C)、トリフルオロメタン、
一酸化炭素、アルゴン、酸素、窒素、六フッ化硫黄、ジフルオロメタン、三フッ化窒素、三フッ化塩素、塩素、トリクロロボラン及びジクロロボラン等のガスを使用することができる。
なおレジスト下層膜のドライエッチングには、ハロゲン系ガスを使用することが好ましい。ハロゲン系ガスによるドライエッチングでは、基本的に有機物質からなるレジスト膜(フォトレジスト膜)は除去されにくい。それに対し、ケイ素原子を多く含むシリコン含有レジスト下層膜はハロゲン系ガスによって速やかに除去される。そのため、該レジスト下層膜のドライエッチングに伴うフォトレジスト膜の膜厚の減少を抑えることができる。そして、その結果、フォトレジスト膜を薄膜で使用することが可能となる。従って、レジスト下層膜のドライエッチングはフッ素系ガスによることが好ましく、フッ素系ガスとしては、例えば、テトラフルオロメタン(CF)、パーフルオロシクロブタン(C)、パーフルオロプロパン(C)、トリフルオロメタン、ジフルオロメタン(CH)等が挙げられるが、これらに限定されない。
The removal of the resist lower layer film (intermediate layer) performed using the resist film (upper layer) pattern as a protective film is performed by dry etching, and tetrafluoromethane (CF 4 ), perfluorocyclobutane (C 4 F 8 ), and perfluoropropane. (C 3 F 8 ), trifluoromethane,
Gases such as carbon monoxide, argon, oxygen, nitrogen, sulfur hexafluoride, difluoromethane, nitrogen trifluoride, chlorine trifluoride, chlorine, trichloroborane and dichloroborane can be used.
It is preferable to use a halogen-based gas for dry etching of the resist underlayer film. Dry etching with a halogen-based gas basically makes it difficult to remove a resist film (photoresist film) made of an organic substance. On the other hand, the silicon-containing resist underlayer film containing a large amount of silicon atoms is rapidly removed by the halogen-based gas. Therefore, it is possible to suppress a decrease in the film thickness of the photoresist film due to dry etching of the resist underlayer film. As a result, the photoresist film can be used as a thin film. Therefore, dry etching of the resist underlayer film is preferably performed by a fluorine-based gas, and examples of the fluorine-based gas include tetrafluoromethane (CF 4 ), perfluorocyclobutane (C 4 F 8 ), and perfluoro propane (C 3 F). 8 ), trifluoromethane, difluoromethane (CH 2 F 2 ) and the like, but are not limited thereto.

基板とレジスト下層膜の間に有機下層膜を有している場合、次いでパターン化されたレジスト膜(上層)とパターン化されたレジスト下層膜(中間層)からなる膜を保護膜として行われる有機下層膜(下層)の除去は、酸素系ガス(酸素ガス、酸素/硫化カルボニル(COS)混合ガス等)によるドライエッチングによって行なわれることが好ましい。これは、ケイ素原子を多く含む上記レジスト下層膜は、酸素系ガスによるドライエッチングでは除去されにくいことによる。 When an organic underlayer film is provided between the substrate and the resist underlayer film, an organic film composed of a patterned resist film (upper layer) and a patterned resist underlayer film (intermediate layer) is used as a protective film. The removal of the lower film (lower layer) is preferably performed by dry etching with an oxygen-based gas (oxygen gas, oxygen / carbonyl sulfide (COS) mixed gas, etc.). This is because the resist underlayer film containing a large amount of silicon atoms is difficult to be removed by dry etching with an oxygen-based gas.

続いて、(半導体)基板の加工としてイオン注入が行うことができる。
イオン注入は、例えば、公知のイオン注入装置又はイオンドーピング装置を用い、不純物イオンを上記基板に注入することにより行われる。イオン注入の条件は、例えば加速電圧:500eV乃至10MeV、ドーズ量:1×1010/cm乃至1×1018/cmの範囲から適当な条件が選択される。
Subsequently, ion implantation can be performed as processing of the (semiconductor) substrate.
Ion implantation is performed, for example, by implanting impurity ions into the substrate using a known ion implantation device or ion doping device. As the ion implantation conditions, for example, an appropriate condition is selected from the range of acceleration voltage: 500 eV to 10 MeV, dose amount: 1 × 10 10 / cm 2 to 1 × 10 18 / cm 2.

最後にレジスト下層膜の除去が行われる。一般に、レジスト下層膜の除去は、ドライエッチングやウェットエッチングが行われる。
レジスト下層膜(中間層)のドライエッチングは、例えばフッ素系ガスにより実施され、例えばテトラフルオロメタン(CF)、パーフルオロシクロブタン(C)、パーフルオロプロパン(C)、トリフルオロメタン、及びジフルオロメタン(CH)等が挙げられる。
また、レジスト下層膜(中間層)のウェットエッチングは、例えば希フッ酸、バッファードフッ酸、塩酸と過酸化水素を含む水溶液(SC−2薬液)、硫酸と過酸化水素を含む水溶液(硫酸過酸化水素水溶液)(SPM薬液)、弗酸と過酸化水素を含む水溶液(FPM薬液)や、アンモニアと過酸化水素を含む水溶液(SC−1薬液)等の薬液により実施される。
SPM薬液の硫酸と過酸化水素との比は、例えば、硫酸/過酸化水素=85〜95wt%/1〜10wt%である。
Finally, the resist underlayer film is removed. Generally, dry etching or wet etching is performed to remove the resist underlayer film.
Dry etching of the resist underlayer film (intermediate layer) is carried out, for example, with a fluorine-based gas, for example, tetrafluoromethane (CF 4 ), perfluorocyclobutane (C 4 F 8 ), perfluoro propane (C 3 F 8 ), trifluo. Examples thereof include lomethane and difluoromethane (CH 2 F 2).
Wet etching of the resist underlayer film (intermediate layer) is performed, for example, by dilute hydrofluoric acid, buffered hydrofluoric acid, an aqueous solution containing hydrochloric acid and hydrogen peroxide (SC-2 chemical solution), and an aqueous solution containing sulfuric acid and hydrogen peroxide (sulfuric acid excess). It is carried out with a chemical solution such as an aqueous solution containing hydrogen oxide (SPM chemical solution), an aqueous solution containing fluoride and hydrogen peroxide (FPM chemical solution), and an aqueous solution containing ammonia and hydrogen peroxide (SC-1 chemical solution).
The ratio of sulfuric acid to hydrogen peroxide in the SPM chemical solution is, for example, sulfuric acid / hydrogen peroxide = 85-95 wt% / 1-10 wt%.

本発明では、上記シリコン含有レジスト下層膜を用いた製造方法により得られたレジスト下層膜は、これを酸素ガスによるドライエッチング処理後に湿式除去が可能となる。
上記基板が酸化珪素膜である場合や、基板の加工としてイオン注入を行った場合、レジスト下層膜の除去にあたり、従来使用されてきたフッ素系ガスによるドライエッチングを実施すると、酸化珪素膜やイオン注入された基板がダメージを受ける虞がある。
本発明によれば、上記レジスト下層膜形成組成物の塗布膜を光硬化しレジスト下層膜を製造することで、酸素ガスエッチング処理後の該レジスト下層膜を、硫酸過酸化水素水溶液によって除去することができ、フッ素系ガスによるドライエッチングに比べて、基板に与えるダメージを抑制できる。
In the present invention, the resist underlayer film obtained by the manufacturing method using the silicon-containing resist underlayer film can be wet-removed after the dry etching treatment with oxygen gas.
When the substrate is a silicon oxide film, or when ion implantation is performed as a processing of the substrate, when dry etching with a conventionally used fluorine-based gas is performed to remove the resist underlayer film, the silicon oxide film or ion implantation is performed. There is a risk that the board will be damaged.
According to the present invention, the coating film of the resist underlayer film forming composition is photocured to produce a resist underlayer film, whereby the resist underlayer film after the oxygen gas etching treatment is removed with a hydrogen sulfate aqueous solution. Compared to dry etching with a fluorine-based gas, damage to the substrate can be suppressed.

またレジスト下層膜の上層には、レジスト膜の形成前に有機系の反射防止膜を形成することができる。そこで使用される反射防止膜組成物としては特に制限はなく、例えば、これまでリソグラフィープロセスにおいて慣用されているものの中から任意に選択して使用することができ、また、慣用されている方法、例えば、スピナー、コーターによる塗布及び焼成によって反射防止膜の形成を行なうことができる。 Further, an organic antireflection film can be formed on the upper layer of the resist lower layer film before the resist film is formed. The antireflection film composition used therefor is not particularly limited, and for example, it can be arbitrarily selected and used from those conventionally used in a lithography process, and a commonly used method, for example, is used. The antireflection film can be formed by coating and firing with a spinner and a coater.

また、上記レジスト下層膜形成組成物が塗布される基板は、その表面にCVD法などで形成された有機系又は無機系の反射防止膜を有するものであってもよく、その上にレジスト下層膜を形成することもできる。 Further, the substrate on which the resist underlayer film forming composition is applied may have an organic or inorganic antireflection film formed on the surface thereof by a CVD method or the like, and the resist underlayer film may be formed on the substrate. Can also be formed.

上記レジスト下層膜形成組成物より形成されるレジスト下層膜はまた、リソグラフィープロセスにおいて使用される光の波長によっては、その光に対する吸収を有することがある。そして、そのような場合には、基板からの反射光を防止する効果を有する反射防止膜として機能することができる。
さらに上記レジスト下層膜は、基板とレジスト膜(フォトレジスト膜等)との相互作用の防止するための層、レジスト膜に用いられる材料又はレジスト膜への露光時に生成する物質の基板への悪作用を防ぐ機能を有する層、加熱焼成時に基板から生成する物質の上層レジスト膜への拡散を防ぐ機能を有する層、及び半導体基板誘電体層によるレジスト膜のポイズニング効果を減少させるためのバリア層等として使用することも可能である。
The resist underlayer film formed from the resist underlayer film forming composition may also have absorption to the light depending on the wavelength of the light used in the lithography process. Then, in such a case, it can function as an antireflection film having an effect of preventing the reflected light from the substrate.
Further, the resist underlayer film has an adverse effect on the substrate as a layer for preventing interaction between the substrate and the resist film (photoresist film, etc.), a material used for the resist film, or a substance generated during exposure to the resist film. As a layer having a function of preventing the resist film, a layer having a function of preventing the diffusion of substances generated from the substrate during heating and firing into the upper resist film, and a barrier layer for reducing the poisoning effect of the resist film by the dielectric layer of the semiconductor substrate. It is also possible to use it.

上記レジスト下層膜は、デュアルダマシンプロセスで用いられるビアホールが形成された基板に適用され得、ホールを隙間なく充填することができる穴埋め材(埋め込み材)として使用できる。また、凹凸のある半導体基板の表面を平坦化するための平坦化材として使用することもできる。
また上記レジスト下層膜は、EUVレジスト膜の下層膜として、ハードマスクとしての機能以外にも、例えばEUVレジスト膜とインターミキシングすることなく、EUV露光(波長13.5nm)に際して好ましくない露光光、例えばUV(紫外)光やDUV(深紫外)光(:ArF光、KrF光)の基板又は界面からの反射を防止することができる、EUVレジスト膜の下層反射防止膜として、用いることができる。すなわちEUVレジスト膜の下層で効率的に反射を防止することができる。EUVレジスト下層膜として用いた場合は、そのプロセスはフォトレジスト用下層膜と同様に行うことができる。
The resist underlayer film can be applied to a substrate on which via holes are formed, which is used in the dual damascene process, and can be used as a hole filling material (filling material) capable of filling holes without gaps. It can also be used as a flattening material for flattening the surface of a semiconductor substrate having irregularities.
Further, the resist underlayer film is not only a function as a hard mask as an EUV resist film underlayer film, but also does not intermix with, for example, an EUV resist film, and is not preferable for exposure light such as EUV exposure (wavelength 13.5 nm). It can be used as a lower antireflection film of an EUV resist film that can prevent reflection of UV (ultraviolet) light or DUV (deep ultraviolet) light (: ArF light, KrF light) from the substrate or interface. That is, reflection can be efficiently prevented in the lower layer of the EUV resist film. When used as an EUV resist underlayer, the process can be performed in the same manner as a photoresist underlayer.

以下、合成例および実施例を挙げて、本発明をより具体的に説明するが、本発明は下記に限定されるものではない。
なお、下記調製したポリマー(加水分解縮合物)の重量平均分子量は以下のGPC測定装置及び測定条件にて実施した。
・GPC装置 商品名:HLC−8220GPC(東ソー(株)製)
・GPCカラム 商品名:Shodex(登録商標 KF803L,KF802,KF801(昭和電工(株)製)
・カラム温度:40℃
・溶離液(溶出溶媒):テトラヒドロフラン
・流量(流速):1.0mL/min
・標準物質:ポリスチレン(昭和電工(株)製)
Hereinafter, the present invention will be described in more detail with reference to synthetic examples and examples, but the present invention is not limited to the following.
The weight average molecular weight of the polymer (hydrolyzed condensate) prepared below was measured by the following GPC measuring device and measurement conditions.
・ GPC device Product name: HLC-8220GPC (manufactured by Tosoh Corporation)
-GPC column Product name: Shodex (registered trademarks KF803L, KF802, KF801 (manufactured by Showa Denko KK)
-Column temperature: 40 ° C
-Eluent (eluting solvent): Tetrahydrofuran-Flow rate (flow rate): 1.0 mL / min
・ Standard substance: Polystyrene (manufactured by Showa Denko KK)

[1]ポリマー(加水分解縮合物)の調製
(合成例1)
テトラエチルアンモニウムヒドロキシド水溶液(濃度:35質量%)0.74g、水1.18g、イソプロピルアルコール22.15g及びメチルイソブチルケトン44.30
gを300mLのフラスコに入れて撹拌し、得られた溶液をマグネチックスターラーにて撹拌しながら、そこへメトキシベンジルトリメトキシシラン2.34g、トリエトキシシリルプロピルジアリルイソシアヌレート5.46g、メチルトリエトキシシラン2.20g、2−(3,4−エポキシシクロヘキシル)エチルトリメトキシシラン4.33g及びアセトキシプロピルトリメトキシシラン7.82gの混合物を滴下した。添加後、40℃に調整されたオイルバスにフラスコを移し、240分間、反応させた。
その後、エポキシ基を開環させて、ジヒドロキシ基を有する加水分解縮合物とするために、反応溶液に硝酸水溶液(濃度:1mol/L)43.98gを滴下し、さらに40℃で4時間、反応させた。
その後、反応溶液を室温まで冷却し、その反応溶液とメチルイソブチルケトン132.91g及び水66.45gを用いて分液処理を行い、有機層を回収した。回収した有機層にプロピレングリコールモノメチルエーテル66.45gを加え、減圧下で、メチルイソブチルケトン、メタノール、エタノール及び水を留去することによって、プロピレングリコールモノメチルエーテルを溶媒とする加水分解縮合物(ポリマー)の濃縮液を得た。なお、得られた濃縮液の固形分濃度は、140℃で加熱した場合における固形残物換算で13質量%を超えるものであった。
次いで、得られた濃縮液に、プロピレングリコールモノエチルエーテルを加え、140℃で加熱した場合における固形残物換算で13質量%となるように濃度を調整し、プロピレングリコールモノエチルエーテルを溶媒とする加水分解縮合物(ポリマー)の溶液(固形分濃度13質量%)を得た。得られたポリマーは式(X−1)で表される構造を含み、その重量平均分子量(Mw)は、GPCによるポリスチレン換算でMw2,500であった。また、JIS規格(JISK 7236)に従った方法で測定した結果、エポキシ価は0であった。

Figure 2021189314
[1] Preparation of polymer (hydrolyzed condensate) (Synthesis Example 1)
Tetraethylammonium hydroxide aqueous solution (concentration: 35% by mass) 0.74 g, water 1.18 g, isopropyl alcohol 22.15 g and methyl isobutyl ketone 44.30
G was placed in a 300 mL flask and stirred, and the obtained solution was stirred with a magnetic stirrer to 2.34 g of methoxybenzyltrimethoxysilane, 5.46 g of triethoxysilylpropyldiallyl isocyanurate, and methyltriethoxy. A mixture of 2.20 g of silane, 4.33 g of 2- (3,4-epoxycyclohexyl) ethyltrimethoxysilane and 7.82 g of acetoxypropyltrimethoxysilane was added dropwise. After the addition, the flask was transferred to an oil bath adjusted to 40 ° C. and reacted for 240 minutes.
Then, in order to open the ring of the epoxy group to obtain a hydrolyzed condensate having a dihydroxy group, 43.98 g of an aqueous nitrate solution (concentration: 1 mol / L) was added dropwise to the reaction solution, and the reaction was further carried out at 40 ° C. for 4 hours. I let you.
Then, the reaction solution was cooled to room temperature, and the reaction solution was subjected to liquid separation treatment using 132.91 g of methyl isobutyl ketone and 66.45 g of water to recover the organic layer. A hydrolysis condensate (polymer) using propylene glycol monomethyl ether as a solvent by adding 66.45 g of propylene glycol monomethyl ether to the recovered organic layer and distilling off methyl isobutyl ketone, methanol, ethanol and water under reduced pressure. Concentrate was obtained. The solid content concentration of the obtained concentrated liquid exceeded 13% by mass in terms of solid residue when heated at 140 ° C.
Next, propylene glycol monoethyl ether was added to the obtained concentrated solution, the concentration was adjusted so as to be 13% by mass in terms of solid residue when heated at 140 ° C., and propylene glycol monoethyl ether was used as a solvent. A solution of the hydrolysis condensate (polymer) (solid content concentration 13% by mass) was obtained. The obtained polymer contained a structure represented by the formula (X-1), and its weight average molecular weight (Mw) was Mw2,500 in terms of polystyrene by GPC. Further, as a result of measurement by a method according to JIS standard (JISK 7236), the epoxy value was 0.
Figure 2021189314

(合成例2)
テトラエチルアンモニウムヒドロキシド水溶液(濃度:35質量%)0.85g、水1.35g、イソプロピルアルコール24.80g及びメチルイソブチルケトン49.59gを300mLのフラスコに入れて撹拌し、得られた溶液をマグネチックスターラーにて撹拌しながら、そこへメトキシベンジルトリメトキシシラン3.04g、トリエトキシシリルプロピルジアリルイソシアヌレート6.23g、メチルトリエトキシシラン5.82g、2−(3,4−エポキシシクロヘキシル)エチルトリメトキシシラン4.95g、アセトキシメチルトリメトキシシラン4.75gの混合物を滴下した。添加後、40℃に調整されたオイルバスにフラスコを移し、240分間、反応させた。
その後、エポキシ基を開環させて、ジヒドロキシ基を有する加水分解縮合物とするために、反応溶液に硝酸水溶液(濃度:1mol/L)50.29gを滴下し、さらに40℃で4時間、反応させた。
その後、反応溶液を室温まで冷却し、その反応溶液とメチルイソブチルケトン148.77g及び水74.39gを用いて分液処理を行い、有機層を回収した。回収した有機層にプロピレングリコールモノメチルエーテル74.39gを加え、減圧下で、メチルイソブチルケトン、メタノール、エタノール及び水を留去することによって、プロピレングリ
コールモノメチルエーテルを溶媒とする加水分解縮合物(ポリマー)の濃縮液を得た。なお、得られた濃縮液の固形分濃度は、140℃で加熱した場合における固形残物換算で13質量%を超えるものであった。
次いで、得られた濃縮液に、プロピレングリコールモノエチルエーテルを加え、140℃で加熱した場合における固形残物換算で13質量%となるように濃度を調整し、プロピレングリコールモノエチルエーテルを溶媒とする加水分解縮合物(ポリマー)の溶液(固形分濃度13質量%)を得た。得られたポリマーは式(X−2)で表される構造を含み、その重量平均分子量(Mw)は、GPCによるポリスチレン換算でMw2,700であった。また、JIS規格(JISK 7236)に従った方法で測定した結果、エポキシ価は0であった。

Figure 2021189314
(Synthesis Example 2)
0.85 g of an aqueous solution of tetraethylammonium hydroxide (concentration: 35% by mass), 1.35 g of water, 24.80 g of isopropyl alcohol and 49.59 g of methyl isobutyl ketone were placed in a 300 mL flask and stirred, and the obtained solution was magnetic. While stirring with a stirrer, 3.04 g of methoxybenzyltrimethoxysilane, 6.23 g of triethoxysilylpropyldialyl isocyanurate, 5.82 g of methyltriethoxysilane, 2- (3,4-epoxycyclohexyl) ethyltrimethoxy. A mixture of 4.95 g of silane and 4.75 g of acetoxymethyltrimethoxysilane was added dropwise. After the addition, the flask was transferred to an oil bath adjusted to 40 ° C. and reacted for 240 minutes.
Then, 50.29 g of an aqueous nitrate solution (concentration: 1 mol / L) was added dropwise to the reaction solution in order to open the ring of the epoxy group to obtain a hydrolyzed condensate having a dihydroxy group, and the reaction was further carried out at 40 ° C. for 4 hours. I let you.
Then, the reaction solution was cooled to room temperature, and the reaction solution was subjected to liquid separation treatment using 148.77 g of methyl isobutyl ketone and 74.39 g of water to recover the organic layer. A hydrolysis condensate (polymer) using propylene glycol monomethyl ether as a solvent by adding 74.39 g of propylene glycol monomethyl ether to the recovered organic layer and distilling off methyl isobutyl ketone, methanol, ethanol and water under reduced pressure. Concentrate was obtained. The solid content concentration of the obtained concentrated liquid exceeded 13% by mass in terms of solid residue when heated at 140 ° C.
Next, propylene glycol monoethyl ether was added to the obtained concentrated solution, the concentration was adjusted so as to be 13% by mass in terms of solid residue when heated at 140 ° C., and propylene glycol monoethyl ether was used as a solvent. A solution of the hydrolysis condensate (polymer) (solid content concentration 13% by mass) was obtained. The obtained polymer contained a structure represented by the formula (X-2), and its weight average molecular weight (Mw) was Mw2,700 in terms of polystyrene by GPC. Further, as a result of measurement by a method according to JIS standard (JISK 7236), the epoxy value was 0.
Figure 2021189314

(比較合成例1)
テトラエトキシシラン25.4g、フェニルトリメトキシシラン1.73g、メチルトリエトキシシラン7.36g、トリエトキシシリルプロピルジアリルイソシアヌレート0.72g及びアセトン53.02gを300mLのフラスコに入れて撹拌し、得られた溶液をマグネチックスターラーにて撹拌しながら、そこへ塩酸水溶液(濃度0.01mol/L)11.63gを滴下した。滴下後、85℃に調整されたオイルバスにフラスコを移し、240分間、還流させた。
その後、プロピレングリコールモノメチルエーテルアセテート70gを加え、減圧下で、アセトン、メタノール、エタノール、塩酸及び水を留去することによって、プロピレングリコールモノメチルエーテルアセテートを溶媒とする加水分解縮合物(ポリマー)の濃縮液を得た。なお、得られた濃縮液の固形分濃度は、140℃で加熱した場合における固形残物換算で13質量%を超えるものであった。
次いで、得られた濃縮液に、プロピレングリコールモノエチルエーテルを加え、140℃で加熱した場合における固形残物換算で13質量%となるように濃度を調整し、プロピレングリコールモノメチルエーテルアセテートとプロピレングリコールモノエチルエーテルの混合溶媒(20/80(V/V))を溶媒とする水分解縮合物(ポリマー)の溶液(固形分濃度13質量%)を得た。得られたポリマーは式(X−3)で表される構造を含み、その重量平均分子量(Mw)は、GPCによるポリスチレン換算でMw2,100であった。また、JIS規格(JISK 7236)に従った方法で測定した結果、エポキシ価は0であった。

Figure 2021189314
(Comparative synthesis example 1)
25.4 g of tetraethoxysilane, 1.73 g of phenyltrimethoxysilane, 7.36 g of methyltriethoxysilane, 0.72 g of triethoxysilylpropyldiallyl isocyanurate and 53.02 g of acetone were placed in a 300 mL flask and stirred to obtain the obtained product. While stirring the solution with a magnetic stirrer, 11.63 g of an aqueous hydrochloric acid solution (concentration 0.01 mol / L) was added dropwise thereto. After the dropping, the flask was transferred to an oil bath adjusted to 85 ° C. and refluxed for 240 minutes.
Then, 70 g of propylene glycol monomethyl ether acetate is added, and acetone, methanol, ethanol, hydrochloric acid and water are distilled off under reduced pressure to concentrate a hydrolyzed condensate (polymer) using propylene glycol monomethyl ether acetate as a solvent. Got The solid content concentration of the obtained concentrated liquid exceeded 13% by mass in terms of solid residue when heated at 140 ° C.
Next, propylene glycol monoethyl ether was added to the obtained concentrate, and the concentration was adjusted so as to be 13% by mass in terms of solid residue when heated at 140 ° C., and propylene glycol monomethyl ether acetate and propylene glycol mono A solution (solid content concentration: 13% by mass) of an aqueous decomposition condensate (polymer) using a mixed solvent of ethyl ether (20/80 (V / V)) as a solvent was obtained. The obtained polymer contained a structure represented by the formula (X-3), and its weight average molecular weight (Mw) was Mw2,100 in terms of polystyrene by GPC. Further, as a result of measurement by a method according to JIS standard (JISK 7236), the epoxy value was 0.
Figure 2021189314

[2]実施例1〜2、比較例1:シリコン含有レジスト下層膜形成組成物の調製
上記合成例1〜2、及び比較合成例1で得られた加水分解縮合物(Siポリマー)、酸及び酸発生剤、架橋性化合物、溶媒等を表1及び表2に示す割合(質量部)で混合し、孔径0.1μmのフッ素樹脂製のフィルタで濾過することによって、シリコン含有レジスト下層膜形成組成物をそれぞれ調製した。
なお、表1及び表2中のポリマー(ポリシロキサン)の添加割合は、ポリマー溶液の添加量ではなく、ポリマー自体の添加量を示した。
また下記表1及び表2中、用いた略号は以下の通りである。
PPTS:ピリジニウム−p−トルエンスルホン酸
TAG−2689:トリフルオロメタンスルホン酸の第4級アンモニウム塩(キングインダストリーズ社製 熱酸発生剤)
PL−LI:テトラメトキシメチルグリコールウリル(三井サイテック(株)製、商品名パウダーリンク1174)
MA:マレイン酸
IMID−TEOS:N−(3−トリエトキシプロピル)−4,5−ジヒドロイミダゾール
PGME:プロピレングリコールモノメチルエーテル
PGMEA:プロピレングリコールモノメチルエーテルアセテート
PGEE:プロピレングリコールモノエチルエーテル
[2] Examples 1 and 2, Comparative Example 1: Preparation of Silicon-Containing Resist Underlayer Film Forming Composition The hydrolysis condensate (Si polymer), acid and the acid obtained in the above Synthesis Examples 1 and 2 and Comparative Synthesis Example 1 An acid generator, a crosslinkable compound, a solvent, etc. are mixed at the ratios (parts by mass) shown in Tables 1 and 2, and filtered through a fluororesin filter having a pore size of 0.1 μm to form a silicon-containing resist underlayer film formation composition. Each thing was prepared.
The addition ratio of the polymer (polysiloxane) in Tables 1 and 2 indicates the addition amount of the polymer itself, not the addition amount of the polymer solution.
The abbreviations used in Tables 1 and 2 below are as follows.
PPTS: Pyridinium-p-toluenesulfonic acid TAG-2689: Tertiary ammonium salt of trifluoromethanesulfonic acid (heat acid generator manufactured by King Industries)
PL-LI: Tetramethoxymethylglycoluryl (manufactured by Mitsui Cytec Co., Ltd., trade name: Powder Link 1174)
MA: IMID-TEOS maleate: N- (3-triethoxypropyl) -4,5-dihydroimidazole PGME: Propylene glycol monomethyl ether PGMEA: Propylene glycol monomethyl ether acetate PGE: Propylene glycol monoethyl ether

Figure 2021189314
Figure 2021189314

Figure 2021189314
Figure 2021189314

[3]有機下層膜形成組成物の調製
プロピレングリコールモノメチルエーテル7.57gに、プロピレングリコールモノメチルエーテルアセテート17.67g、製品名:EHPE−3150(2,2−ビス(ヒドロキシメチル)−1−ブタノールの1,2−エポキシ−4−(2−オキシラニル)シクロヘキサン付加物、(株)ダイセル製)5.00g、9−アントラセンカルボン酸3.11g、安息香酸2.09g及びエチルトリフェニルホスホニウムブロミド0.62gを加え、窒素雰囲気下、13時間加熱還流した。
反応混合物を室温まで冷却した後、そこへ陽イオン交換樹脂(製品名:アンバーリスト[登録商標]15JWET、オルガノ(株)製)16g及び陰イオン交換樹脂(製品名:ダウエックス[登録商標] MONOSPHERE[登録商標]550A、室町ケミカル(株)製)16gを加え、25℃〜30℃で4時間撹拌した。そして、ろ過によって樹脂を取り除き、反応生成物であるポリマーを含む溶液を得た。
得られた反応生成物のGPC分析を行ったところ、標準ポリスチレン換算にて重量平均分子量は4,700であった。得られた反応生成物は、下記式(Y)で表される構造単位を有する共重合体(ポリマー)と推定される。

Figure 2021189314
得られたポリマーを含む溶液5g(ポリマーの濃度:16質量%)に、テトラエトキシメチルグリコールウリル0.2g、ピリジニウム−p−トルエンスルホナート0.03g及び製品名 メガファック[商標登録]R−30(DIC(株)製)0.0008g、プロピレングリコールモノメチルエーテル6.4g及びプロピレングリコールモノメチルエーテルアセテート4.5gを加えて混合し、得られた溶液を孔径0.10μmのポリエチレン製ミクロフィルターで濾過した後、孔径0.05μmのポリエチレン製ミクロフィルターで更に濾過し、有機下層膜形成組成物を得た。 [3] Preparation of Organic Underlayer Film Forming Composition To 7.57 g of propylene glycol monomethyl ether, 17.67 g of propylene glycol monomethyl ether acetate, product name: EHPE-3150 (2,2-bis (hydroxymethyl) -1-butanol). 1,2-Epoxy-4- (2-oxylanyl) cyclohexane adduct, manufactured by Daicel Co., Ltd.) 5.00 g, 9-anthracenecarboxylic acid 3.11 g, benzoic acid 2.09 g and ethyltriphenylphosphonium bromide 0.62 g Was added, and the mixture was heated and refluxed for 13 hours under a nitrogen atmosphere.
After cooling the reaction mixture to room temperature, 16 g of cation exchange resin (product name: Amberlist [registered trademark] 15JWET, manufactured by Organo Co., Ltd.) and anion exchange resin (product name: Dawex [registered trademark] MONOSPHERE [Registered trademark] 550A, manufactured by Muromachi Chemical Co., Ltd., 16 g was added, and the mixture was stirred at 25 ° C to 30 ° C for 4 hours. Then, the resin was removed by filtration to obtain a solution containing a polymer as a reaction product.
GPC analysis of the obtained reaction product revealed that the weight average molecular weight was 4,700 in terms of standard polystyrene. The obtained reaction product is presumed to be a copolymer having a structural unit represented by the following formula (Y).
Figure 2021189314
In 5 g of the obtained solution containing the polymer (polymer concentration: 16% by mass), 0.2 g of tetraethoxymethyl glycol uryl, 0.03 g of pyridinium-p-toluenesulfonate, and the product name Megafuck [registered trademark] R-30. 0.0008 g (manufactured by DIC Co., Ltd.), 6.4 g of propylene glycol monomethyl ether and 4.5 g of propylene glycol monomethyl ether acetate were added and mixed, and the obtained solution was filtered through a polyethylene microfilter having a pore size of 0.10 μm. Then, it was further filtered with a polyethylene microfilter having a pore size of 0.05 μm to obtain an organic underlayer film forming composition.

[4]熱硬化性試験(100℃、1分間)
実施例1〜2及び比較例1で調製した各シリコン含有レジスト下層膜形成組成物を、スピナーを用いてシリコンウェハー上にそれぞれ塗布し、ホットプレート上で100℃1分間加熱し、膜をそれぞれ形成し、得られた各膜の膜厚を計測した。
その後、各膜の上に、プロピレングリコールモノメチルエーテルとプロピレングリコールモノメチルエーテルアセテートの混合溶媒(7/3(V/V))をそれぞれ塗布し、スピン乾燥した。そして、乾燥後の膜の膜厚を計測し、混合溶媒塗布前後での膜厚の変化の有無を評価した。混合溶媒塗布前の膜厚を基準として、塗布後の膜厚変化が10%以下のものを「良好」、膜厚変化が10%未満のものを「硬化せず」と評価した。得られた結果
を表3に示す。なお、以降の説明において、使用したレジスト下層膜形成組成物の例番号を、当該組成物を用いて実施した各種評価の例番号としても扱うものとする。
[4] Thermosetting test (100 ° C, 1 minute)
Each of the silicon-containing resist underlayer film forming compositions prepared in Examples 1 and 2 and Comparative Example 1 was applied onto a silicon wafer using a spinner, and heated on a hot plate at 100 ° C. for 1 minute to form a film, respectively. Then, the film thickness of each obtained film was measured.
Then, a mixed solvent (7/3 (V / V)) of propylene glycol monomethyl ether and propylene glycol monomethyl ether acetate was applied onto each membrane, and spin-dried. Then, the film thickness after drying was measured, and the presence or absence of a change in the film thickness before and after the application of the mixed solvent was evaluated. Based on the film thickness before coating with the mixed solvent, those having a film thickness change of 10% or less after coating were evaluated as "good", and those having a film thickness change of less than 10% were evaluated as "not cured". The results obtained are shown in Table 3. In the following description, the example number of the resist underlayer film forming composition used will also be treated as the example number of various evaluations carried out using the composition.

Figure 2021189314
Figure 2021189314

表3に示すように、上記加熱条件下で、実施例1〜2及び比較例1の各シリコン含有レジスト下層膜形成組成物を用いて形成した膜は、十分な溶媒耐性を示さないことを確認した。 As shown in Table 3, it was confirmed that the films formed by using the silicon-containing resist underlayer film forming compositions of Examples 1 and 2 and Comparative Example 1 under the above heating conditions did not show sufficient solvent resistance. did.

[5]光硬化性試験
実施例1〜2及び比較例1で調製した各シリコン含有レジスト下層膜形成組成物を、スピナーを用いてシリコンウェハー上にそれぞれ塗布し、ホットプレート上で100℃1分間加熱し、膜をそれぞれ形成し、次いで、窒素雰囲気下、光照射装置(ウシオ電機(株)製 SUS867)を用いて、波長172nmの光を約300mJ/cmの条件又は約500mJ/cmの条件にて、各膜の全面に照射した。そして、照射後の各膜の膜厚を計測した。
その後、プロピレングリコールモノメチルエーテルとプロピレングリコールモノメチルエーテルアセテートの混合溶媒(=7/3(V/V))を各膜上にそれぞれ塗布しスピン、乾燥した。そして、乾燥後の各膜の膜厚を計測し、混合溶媒塗布前後での膜厚の変化の有無を評価した。混合溶媒塗布前の膜厚を基準として、塗布後の膜厚変化が10%以下のものを「良好」、膜厚変化が10%未満のものを「硬化せず」と評価した。得られた結果を表4に示す。
[5] Photocurability Test Each silicon-containing resist underlayer film forming composition prepared in Examples 1 and 2 and Comparative Example 1 was applied onto a silicon wafer using a spinner, and placed on a hot plate at 100 ° C. for 1 minute. Each of them is heated to form a film, and then, under a nitrogen atmosphere, a light irradiation device (SUS867 manufactured by Ushio, Inc.) is used to emit light having a wavelength of 172 nm under the condition of about 300 mJ / cm 2 or about 500 mJ / cm 2 . Under the conditions, the entire surface of each film was irradiated. Then, the film thickness of each film after irradiation was measured.
Then, a mixed solvent of propylene glycol monomethyl ether and propylene glycol monomethyl ether acetate (= 7/3 (V / V)) was applied onto each membrane, spun and dried. Then, the film thickness of each film after drying was measured, and the presence or absence of change in the film thickness before and after the application of the mixed solvent was evaluated. Based on the film thickness before coating with the mixed solvent, those having a film thickness change of 10% or less after coating were evaluated as "good", and those having a film thickness change of less than 10% were evaluated as "not cured". The results obtained are shown in Table 4.

Figure 2021189314
Figure 2021189314

表4に示すように、実施例1〜2の各シリコン含有レジスト下層膜形成組成物を用いて形成した膜は、光硬化性を示すことを確認した。 As shown in Table 4, it was confirmed that the film formed by using each of the silicon-containing resist underlayer film forming compositions of Examples 1 and 2 exhibited photocurability.

[6]ArF液浸露光によるレジストパターン評価
(レジストパターニング評価:アルカリ現像を行うPTD工程を経由した評価)
上記有機下層膜形成組成物を、スピナーを用いてシリコンウェハー上にそれぞれ塗布し、ホットプレート上で240℃1分間加熱し、有機下層膜(A層)をそれぞれ形成した(
膜厚200nm)。
上記A層の上に、実施例1〜2及び比較例1で調製した各シリコン含有レジスト下層膜形成組成物をスピナーを用いてそれぞれ塗布し、ホットプレート上で100℃1分間加熱し、塗布膜をそれぞれ形成し、次いで、窒素雰囲気下、光照射装置(ウシオ電機(株)製
SUS867)を用いて、波長172nmの光を約100mJ/cmの条件にて、各膜の全面に照射し、シリコン含有レジスト下層膜(B層)(膜厚40nm)を形成した。また、同様の操作を、約300mJ/cm、又は約500mJ/cmとの条件で行い、シリコン含有レジスト下層膜(B層)(膜厚40nm)を形成した。
上記B層の上に、更に市販のArF液浸用レジスト溶液を、スピナーを用いてそれぞれ塗布し、ホットプレート上で110℃1分間加熱し、フォトレジスト膜(C層)(膜厚90nm)を形成した後、スキャナー(波長193nm、NA:1.35、0.98/0.75)を用い、現像後にフォトレジストのライン幅及びそのライン間の幅が0.040μm、すなわち0.040μmのラインアンドスペース(L/S)=1/1のデンスラインが形成されるように設定されたマスクを通して、露光を行った。
露光後、露光後加熱(110℃1分間)を行い、クーリングプレート上で室温まで冷却し、38質量%濃度のアルカリ水溶液を用いて60秒現像し、リンス処理をし、レジストパターンを形成した。
得られたレジストパターンについて、大きなパターン剥がれやアンダーカット、ライン底部の太り(フッティング)が発生しないものを「良好」と、倒れがあるものを「パターン倒れ」として評価した。得られた結果を表5に示す。
[6] Resist pattern evaluation by ArF immersion exposure (resist patterning evaluation: evaluation via PTD process for alkaline development)
The organic underlayer film forming composition was applied onto a silicon wafer using a spinner, and heated on a hot plate at 240 ° C. for 1 minute to form an organic underlayer film (layer A).
Film thickness 200 nm).
Each of the silicon-containing resist underlayer film forming compositions prepared in Examples 1 and 2 and Comparative Example 1 is applied onto the A layer using a spinner, and heated on a hot plate at 100 ° C. for 1 minute to form a coating film. Then, under a nitrogen atmosphere, a light irradiation device (SUS867 manufactured by Ushio Denki Co., Ltd.) was used to irradiate the entire surface of each film with light having a wavelength of 172 nm under the condition of about 100 mJ / cm 2. A silicon-containing resist underlayer film (B layer) (thickness: 40 nm) was formed. Further, the same operation was performed under the conditions of about 300 mJ / cm 2 or about 500 mJ / cm 2 to form a silicon-containing resist underlayer film (B layer) (film thickness 40 nm).
A commercially available resist solution for immersion in ArF is further applied onto the B layer using a spinner, and heated on a hot plate at 110 ° C. for 1 minute to form a photoresist film (C layer) (thickness 90 nm). After forming, using a scanner (wavelength 193 nm, NA: 1.35, 0.98 / 0.75), after development, the line width of the photoresist and the width between the lines are 0.040 μm, that is, a line of 0.040 μm. Exposure was performed through a mask set so that a dense line of and space (L / S) = 1/1 was formed.
After the exposure, the mixture was heated after the exposure (110 ° C. for 1 minute), cooled to room temperature on a cooling plate, developed with an alkaline aqueous solution having a concentration of 38% by mass for 60 seconds, rinsed, and a resist pattern was formed.
The obtained resist patterns were evaluated as "good" if they did not cause large pattern peeling, undercut, or thickening (footing) at the bottom of the line, and as "pattern collapse" if they had collapse. The results obtained are shown in Table 5.

Figure 2021189314
Figure 2021189314

表5に示すように、実施例1〜2の各シリコン含有レジスト下層膜形成組成物を用いて形成した膜は、良好なリソグラフィー特性を示すことを確認した。 As shown in Table 5, it was confirmed that the film formed by using each of the silicon-containing resist underlayer film forming compositions of Examples 1 and 2 exhibited good lithography characteristics.

[7]光硬化レジスト下層膜のSPM除去性評価
実施例1〜2及び比較例1で調製した各シリコン含有レジスト下層膜形成組成物を、スピナーを用いてシリコンウェハー上にそれぞれ塗布し、ホットプレート上で100℃1分間加熱し、膜をそれぞれ形成し、次いで、窒素雰囲気下、光照射装置(ウシオ電機(株)製 SUS867)を用いて、波長172nmの光を約100mJ/cmの条件にて、各膜の全面に照射し、光硬化膜を形成した。また、同様の操作を、約300mJ/cm、又は約500mJ/cmとの条件で行い、光硬化膜を形成した。そして、得られた各光硬化膜の膜厚を計測した。
一方、実施例1〜2及び比較例1で調製したシリコン含有レジスト下層膜形成組成物を、スピナーを用いてシリコンウェハー上にそれぞれ塗布し、ホットプレート上で180℃60秒間加熱し、熱硬化膜を形成し、得られた各熱硬化膜の膜厚を計測した。
得られた各光硬化膜又は各熱硬化膜の上に、ラサ工業(株)製レジスト剥離剤 RS−30(硫酸・過酸化水素混合水(硫酸/過酸化水素=85〜95wt%/1〜10wt%):SPM薬液)を塗布し、水でリンスし、基板に空気を吹き付けることで乾燥した。そ
して、乾燥後の各膜の膜厚を計測し、混合溶媒塗布前後での膜厚の変化の有無を評価した。SPM薬液塗布前の膜厚を基準として、塗布後の膜厚変化が70%以上のものを「良好」、膜厚変化が70%未満のものを「溶解せず」と評価した。なお、SPM薬液による除去性能を確認する観点から、この場合における「溶解せず」との評価は、本発明において好ましくないものである。得られた結果を表6に示す。
[7] Evaluation of SPM Removability of Photocurable Resist Underlayer Film The silicon-containing resist underlayer film forming composition prepared in Examples 1 and 2 and Comparative Example 1 was applied onto a silicon wafer using a spinner, respectively, and a hot plate was applied. The film was formed by heating at 100 ° C. for 1 minute, respectively, and then, using a light irradiation device (SUS867 manufactured by Ushio Denki Co., Ltd.) under a nitrogen atmosphere, light having a wavelength of 172 nm was set to a condition of about 100 mJ / cm 2. Then, the entire surface of each film was irradiated to form a photocurable film. Further, the same operation was performed under the conditions of about 300 mJ / cm 2 or about 500 mJ / cm 2 to form a photocurable film. Then, the film thickness of each of the obtained photocurable films was measured.
On the other hand, the silicon-containing resist underlayer film forming compositions prepared in Examples 1 and 2 and Comparative Example 1 were applied onto a silicon wafer using a spinner, respectively, and heated on a hot plate at 180 ° C. for 60 seconds to obtain a thermosetting film. Was formed, and the film thickness of each obtained thermosetting film was measured.
On each of the obtained photo-cured films or each thermo-cured film, a resist stripping agent RS-30 (sulfuric acid / hydrogen peroxide mixed water (sulfuric acid / hydrogen peroxide = 85-95 wt% / 1-) manufactured by Lhasa Industry Co., Ltd. 10 wt%): SPM chemical solution) was applied, rinsed with water, and dried by blowing air on the substrate. Then, the film thickness of each film after drying was measured, and the presence or absence of change in the film thickness before and after the application of the mixed solvent was evaluated. Based on the film thickness before applying the SPM chemical solution, those having a film thickness change of 70% or more after application were evaluated as "good", and those having a film thickness change of less than 70% were evaluated as "not dissolved". From the viewpoint of confirming the removal performance by the SPM chemical solution, the evaluation of "not dissolved" in this case is not preferable in the present invention. The results obtained are shown in Table 6.

Figure 2021189314
Figure 2021189314

表6に示すように、実施例1〜2の各シリコン含有レジスト下層膜形成組成物を用い、光硬化及び熱硬化させた膜はいずれも、SPM薬液による除去性が良好であることを確認した。 As shown in Table 6, it was confirmed that both the photo-cured and thermosetting films using the silicon-containing resist underlayer film forming compositions of Examples 1 and 2 had good removability with the SPM chemical solution. ..

[8]ドライエッチング後のレジスト下層膜のSPM除去性評価
実施例1〜2及び比較例1で調製した各シリコン含有レジスト下層膜形成組成物を用いて、上述の方法と同様の方法で、光硬化膜又は熱硬化膜を得て、得られた各光硬化膜及び熱硬化膜の膜厚を計測した。
得られた各光硬化膜又は各熱硬化膜について、平行平板型反応性イオンエッチング装置
RIE−10NR(サムコ(株)製)を使用し、Oドライエッチング処理(エッチング条件:N/O=150/50(sccm/sccm)、時間:30秒、圧力:8Pa、100W)を実施した。
その後、エッチング処理した各光硬化膜又は各熱硬化膜の上に、ラサ工業(株)製レジスト剥離剤 RS−30(硫酸・過酸化水素混合水:SPM薬液)を塗布し、水でリンスし、基板に空気を吹き付けることで乾燥した。そして、乾燥後の各膜の膜厚を計測し、混合溶媒塗布前後での膜厚の変化の有無を評価した。SPM薬液塗布前(エッチング処理前)の膜厚を基準として、塗布後の膜厚変化が70%以上のものを「良好」、膜厚変化が70%未満のものを「溶解せず」と評価した。なお、SPM薬液による除去性能を確認する観点から、この場合における「溶解せず」との評価は、本発明において好ましくないものである。得られた結果を表7に示す。
[8] Evaluation of SPM Removability of Resist Underlayer Film After Dry Etching Using the silicon-containing resist underlayer film forming compositions prepared in Examples 1 and 2 and Comparative Example 1, light was used in the same manner as described above. A cured film or a thermosetting film was obtained, and the thickness of each of the obtained photo-cured film and the thermosetting film was measured.
For each of the obtained photo-cured films or each thermo-cured film, an O 2 dry etching process (etching conditions: N 2 / O 2 ) was performed using a parallel plate type reactive ion etching apparatus RIE-10NR (manufactured by SAMCO Corporation). = 150/50 (sccm / sccm), time: 30 seconds, pressure: 8Pa, 100W).
After that, a resist stripping agent RS-30 (sulfuric acid / hydrogen peroxide mixed water: SPM chemical solution) manufactured by Lhasa Kogyo Co., Ltd. is applied on each photo-cured film or each thermosetting film that has been etched, and rinsed with water. , It was dried by blowing air on the substrate. Then, the film thickness of each film after drying was measured, and the presence or absence of change in the film thickness before and after the application of the mixed solvent was evaluated. Based on the film thickness before SPM chemical solution application (before etching treatment), those with a film thickness change of 70% or more after application are evaluated as "good", and those with a film thickness change of less than 70% are evaluated as "not dissolved". did. From the viewpoint of confirming the removal performance by the SPM chemical solution, the evaluation of "not dissolved" in this case is not preferable in the present invention. The results obtained are shown in Table 7.

Figure 2021189314
Figure 2021189314

表7に示すように、実施例1〜2の各シリコン含有レジスト下層膜形成組成物を光硬化させて得られた膜は、Oエッチング後においてもSPM薬液による除去性が良好であることを確認した。
As shown in Table 7, the film obtained by photo-curing each of the silicon-containing resist underlayer film forming compositions of Examples 1 and 2 has good removability with an SPM chemical solution even after O 2 etching. confirmed.

Claims (3)

酸素ガスによるドライエッチング処理後に、硫酸過酸化水素水溶液によって除去可能であるシリコン含有レジスト下層膜の製造方法であって、
(A)2つ以上のヒドロキシ基を有する有機基を含むシロキサン単位と、アセトキシ基を有する有機基を含むシロキサン単位とを含む、加水分解性シランの加水分解縮合物
を含有するレジスト下層膜形成組成物の塗布膜を紫外線で硬化させる工程
を含む、シリコン含有レジスト下層膜の製造方法。
A method for producing a silicon-containing resist underlayer film that can be removed by an aqueous solution of hydrogen peroxide after dry etching with oxygen gas.
(A) A resist underlayer film forming composition containing a hydrolysis condensate of hydrolyzable silane, which comprises a siloxane unit containing an organic group having two or more hydroxy groups and a siloxane unit containing an organic group having an acetoxy group. A method for producing a silicon-containing resist underlayer film, which comprises a step of curing a coating film of an object with ultraviolet rays.
上記レジスト下層膜形成組成物が、
(B)架橋性化合物、及び
(C)酸及び酸発生剤の少なくともいずれか一方
を含有する、請求項1に記載のシリコン含有レジスト下層膜の製造方法。
The resist underlayer film forming composition is
The method for producing a silicon-containing resist underlayer film according to claim 1, which contains (B) a crosslinkable compound and (C) at least one of an acid and an acid generator.
上記硫酸過酸化水素水溶液が、硫酸及び過酸化水素を、硫酸/過酸化水素=85〜95wt%/1〜10wt%の割合で含む、請求項1又は請求項2に記載のシリコン含有レジスト下層膜の製造方法。

The silicon-containing resist underlayer film according to claim 1 or 2, wherein the aqueous hydrogen peroxide solution contains sulfuric acid and hydrogen peroxide in a ratio of sulfuric acid / hydrogen peroxide = 85 to 95 wt% / 1 to 10 wt%. Manufacturing method.

JP2020094900A 2020-05-29 2020-05-29 Manufacturing method of silicone-containing resist underlay film Pending JP2021189314A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2020094900A JP2021189314A (en) 2020-05-29 2020-05-29 Manufacturing method of silicone-containing resist underlay film

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2020094900A JP2021189314A (en) 2020-05-29 2020-05-29 Manufacturing method of silicone-containing resist underlay film

Publications (1)

Publication Number Publication Date
JP2021189314A true JP2021189314A (en) 2021-12-13

Family

ID=78849611

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020094900A Pending JP2021189314A (en) 2020-05-29 2020-05-29 Manufacturing method of silicone-containing resist underlay film

Country Status (1)

Country Link
JP (1) JP2021189314A (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023157943A1 (en) * 2022-02-18 2023-08-24 日産化学株式会社 Silicon-containing resist underlayer film forming composition having unsaturated bond and cyclic structure
WO2024024490A1 (en) * 2022-07-29 2024-02-01 日産化学株式会社 Composition for forming resist underlayer film

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023157943A1 (en) * 2022-02-18 2023-08-24 日産化学株式会社 Silicon-containing resist underlayer film forming composition having unsaturated bond and cyclic structure
WO2024024490A1 (en) * 2022-07-29 2024-02-01 日産化学株式会社 Composition for forming resist underlayer film

Similar Documents

Publication Publication Date Title
JP5768991B2 (en) New silyl isocyanurate compounds
JP5152532B2 (en) Resist underlayer film forming composition containing a polymer containing a nitrogen-containing silyl group
WO2016080226A1 (en) Film-forming composition containing crosslinkable reactive silicone
JP6882724B2 (en) Silane compound with phenyl group-containing chromopher
WO2011033965A1 (en) Silicon-containing composition having sulfonamide group for forming resist underlayer film
WO2014069329A1 (en) Ester-group-containing composition for forming silicon-containing resist underlayer film
WO2016009939A1 (en) Silicon-containing resist underlayer film forming composition having halogenated sulfonylalkyl group
JP2021189314A (en) Manufacturing method of silicone-containing resist underlay film
WO2020196563A1 (en) Film-forming composition
WO2023037979A1 (en) Composition for forming silicon-containing resist underlayer film, multilayer body using said composition, and method for producing semiconductor element
JPWO2019181873A1 (en) Silicon-containing resist underlayer film forming composition containing a protected phenol group and nitric acid
WO2022230940A1 (en) Composition for forming silicon-containing resist underlayer film
WO2022114132A1 (en) Silicon-containing resist underlyaer film forming composition
JP7495015B2 (en) Additive-containing silicon-containing resist underlayer film forming composition
WO2021221171A1 (en) Composition for forming resist underlying film
WO2022210954A1 (en) Silicon-containing resist underlayer film-forming composition
WO2022260154A1 (en) Composition for forming silicon-containing resist underlayer film
WO2023136250A1 (en) Composition for forming silicon-containing resist underlayer film, and silicon-containing resist underlayer film
US20240231230A1 (en) Composition for forming silicon-containing resist underlayer film
WO2022210901A1 (en) Composition for forming silicon-containing resist underlayer film
WO2023008507A1 (en) Composition for forming silicon-containing resist underlayer film, and silicon-containing resist underlayer film
WO2022114134A1 (en) Composition for resist underlayer film formation
WO2022210944A1 (en) Silicon-containing resist underlayer film-forming composition
WO2024063044A1 (en) Composition for forming silicon-containing resist underlayer film
WO2024019064A1 (en) Silicon-containing resist underlayer film-forming composition containing polyfunctional sulfonic acid

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230123

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20231201

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20240131

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20240209

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20240529

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20240618