CN116976269A - Filling method and device, computing device and storage medium - Google Patents

Filling method and device, computing device and storage medium Download PDF

Info

Publication number
CN116976269A
CN116976269A CN202310960288.6A CN202310960288A CN116976269A CN 116976269 A CN116976269 A CN 116976269A CN 202310960288 A CN202310960288 A CN 202310960288A CN 116976269 A CN116976269 A CN 116976269A
Authority
CN
China
Prior art keywords
filling
filled
region
unit
scheme
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202310960288.6A
Other languages
Chinese (zh)
Inventor
张鑫
杨祖声
韩丽洁
周路
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Beijing Empyrean Technology Co Ltd
Original Assignee
Beijing Empyrean Technology Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Beijing Empyrean Technology Co Ltd filed Critical Beijing Empyrean Technology Co Ltd
Priority to CN202310960288.6A priority Critical patent/CN116976269A/en
Publication of CN116976269A publication Critical patent/CN116976269A/en
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Architecture (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

The application discloses a filling method and device, a computing device and a storage medium. The filling method according to the embodiment of the application is applied to flat panel display design, integrated circuit design and the like. The filling method comprises the steps of obtaining a region to be filled, wherein the region to be filled is special-shaped; pre-filling with different filling schemes, wherein the filling schemes comprise a first filling scheme and a second filling scheme; comparing the number of the filling units in different filling schemes, and selecting the filling scheme with the largest number of the filling units as a final filling scheme; filling the filling unit into the area to be filled according to a final filling scheme, wherein the filling unit is filled in the area to be filled along a first direction, so as to obtain a first filling scheme; and filling the filling unit in the region to be filled along the second direction to obtain a second filling scheme. According to the filling method and device, the computing device and the storage medium, the density of filling units in the area to be filled can be ensured.

Description

Filling method and device, computing device and storage medium
Technical Field
The present application relates to the field of flat panel display and integrated circuit technologies, and in particular, to a filling method and apparatus, a computing device, and a storage medium applied to flat panel display layout design and integrated circuit layout design.
Background
Electronic design automation (Electronic Design Automation, EDA) refers to a design method that utilizes computer aided design software to complete the processes of functional design, synthesis, verification, physical design (including layout, wiring, layout, design rule checking, etc.) of an integrated circuit chip.
In the layout (layout) of an integrated circuit, a filling unit such as a standard cell (standard cell), a hard core (hard macro), or the like is required to be filled in a region to be filled to complete the layout.
In the prior art, the filling mode is often set according to the rectangular shape of the area to be filled, and cannot be well applied to the situation that the area to be filled is in an irregular pattern.
It is therefore desirable to have a new filling method and device, computing device and storage medium that better enables filling under irregular areas to be filled.
Disclosure of Invention
In view of the foregoing, it is an object of the present application to provide a filling method and apparatus, a computing apparatus and a storage medium, whereby the density of filling cells in a region to be filled is ensured.
According to an aspect of the present application, there is provided a filling method including:
acquiring a region to be filled, wherein the region to be filled is special-shaped;
pre-filling with different filling schemes, wherein the filling schemes comprise a first filling scheme and a second filling scheme;
comparing the number of the filling units in different filling schemes, and selecting the filling scheme with the largest number of the filling units as a final filling scheme;
filling the filling unit into the region to be filled according to the final filling scheme,
filling the filling unit in the region to be filled along a first direction to obtain a first filling scheme;
and filling the filling unit in the region to be filled along a second direction to obtain the second filling scheme.
Optionally, the filling units comprise standard units, and clearance areas are arranged around each filling unit;
the filling unit is filled in the area to be filled, and the clearance area is positioned in the area to be filled and/or outside the area to be filled.
Optionally, the first direction is a horizontal right direction; the second direction is a vertically downward direction.
Optionally, the filling method further comprises:
determining an initial arrangement point;
and when the pre-filling is performed, starting to fill the filling units from the initial distribution point.
Optionally, the region to be filled comprises a plurality of boundaries, and adjacent boundaries intersect to form boundary points;
the initial arrangement point comprises at least one selected from the boundary point of the upper left corner, the boundary point of the lower left corner, the boundary point of the upper right corner and the boundary point of the lower right corner;
the filling scheme includes at least one of:
filling the filling unit in the region to be filled in a first direction from a boundary point of the upper left corner;
filling the filling unit in the region to be filled in a first direction from a boundary point of the lower left corner;
filling the filling unit in the region to be filled in a direction opposite to the first direction from the boundary point of the upper right corner;
filling the filling unit in the region to be filled in a direction opposite to the first direction from the boundary point of the lower right corner;
filling the filling unit in the region to be filled in a second direction from a boundary point of the upper left corner;
filling the filling unit in the region to be filled in a direction opposite to the second direction from the boundary point of the lower left corner;
filling the filling unit in the region to be filled in a second direction from a boundary point of the upper right corner;
starting from the boundary point of the lower right corner, filling the filling unit in the region to be filled in the opposite direction of the second direction.
Optionally, the region to be filled comprises a plurality of boundaries, and adjacent boundaries intersect to form boundary points;
the determining the initial arrangement point comprises:
finding a position closest to the boundary in a selected direction perpendicular to the first direction as a reference position;
and moving the reference position to the boundary position in the opposite direction of the first direction along the opposite direction of the first direction to obtain the initial arrangement point.
Optionally, the filling method includes:
filling a first row of filling units in the area to be filled along a first direction from the initial arrangement point, wherein the last filling unit of the first row of filling units is positioned at the boundary in the first direction;
the filling units are arranged row by row in a direction perpendicular to the first direction and filled to the last row of filling units, and the last row of filling units are positioned at boundaries in the direction perpendicular to the first direction.
According to another aspect of the present application, there is provided a filling device comprising:
the filling area determining unit is used for obtaining an area to be filled, and the area to be filled is special-shaped;
the device comprises a pre-filling unit, a pre-filling unit and a filling unit, wherein the pre-filling unit is used for pre-filling by adopting different filling schemes, and the filling schemes comprise a first filling scheme and a second filling scheme;
the selecting unit is used for comparing the number of the filling units in different filling schemes and selecting the filling scheme with the largest number of the filling units as a final filling scheme;
a filling unit for filling the filling unit into the area to be filled according to the final filling scheme,
filling the filling unit in the region to be filled along a first direction to obtain a first filling scheme;
and filling the filling unit in the region to be filled along a second direction to obtain the second filling scheme.
According to yet another aspect of the present application, there is provided a computing device comprising a processor; and a memory for storing one or more programs, wherein the one or more programs, when executed by the processor, cause the processor to implement the population method as described above.
According to a further aspect of the present application, there is provided a computer readable storage medium having stored thereon a computer program, wherein the program when executed by a processor implements the population method as described above.
According to the filling method, the filling device, the computing device and the storage medium, filling schemes with different filling directions are adopted for pre-filling, and the filling scheme with the largest filling quantity is selected as the final filling scheme, so that the filling density of filling units in the special-shaped region to be filled can be ensured.
Further, the filling mode according to the filling direction corresponding to the scheme with the maximum filling density is not only suitable for the special-shaped area to be filled, but also suitable for the regular filling area, and the filling method is simple and convenient and has a good filling effect.
Further, the filling density of the special-shaped area to be filled is ensured, and the pattern with almost standard filling density at the edge of the device of the array can be filled, so that the uniformity of edge etching is maintained, and the characteristics of the edge device are more consistent with those of the middle device; the filling method has good etching compensation effect on the display panel, can improve the display effect, and obviously improves the bright and dark edge of the display panel.
Further, according to the shape of the area to be filled, the initial arrangement points are determined, and further, the pre-filling of the filling scheme is realized according to the initial arrangement points, so that the filling method can be applied to various specific conditions, and the filling scheme with the maximum filling density can be obtained under different conditions.
Drawings
The above and other objects, features and advantages of the present application will become more apparent from the following description of embodiments of the present application with reference to the accompanying drawings, in which:
fig. 1 shows a method flow diagram of a filling method according to an embodiment of the application.
Fig. 2 shows a schematic view of a region to be filled according to an embodiment of the application.
Fig. 3 shows a filling schematic diagram of a filling method according to a first embodiment of the present application.
Fig. 4 shows a filling schematic diagram of a filling method according to a second embodiment of the present application.
Fig. 5 shows a schematic structural view of a filling device according to an embodiment of the present application.
Fig. 6 shows a schematic structural diagram of a server according to an embodiment of the present application.
Detailed Description
Various embodiments of the present application will be described in more detail below with reference to the accompanying drawings. The same reference numbers will be used throughout the drawings to refer to the same or like parts. For clarity, the various features of the drawings are not drawn to scale. Furthermore, some well-known portions may not be shown in the drawings.
The following describes in further detail the embodiments of the present application with reference to the drawings and examples. Numerous specific details of the application, such as construction, materials, dimensions, processing techniques and technologies, may be set forth in the following description in order to provide a thorough understanding of the application. However, as will be understood by those skilled in the art, the present application may be practiced without these specific details.
It will be understood that when a layer, an area, or a structure is described as being "on" or "over" another layer, another area, it can be referred to as being directly on the other layer, another area, or another layer or area can be included between the layer and the other layer, another area. And if the component is turned over, that layer, one region, will be "under" or "beneath" the other layer, another region.
The inventor found that in the prior art, when filling one rectangular pattern (filling unit) into another, multiple polygons (areas to be filled), filling units are filled in the areas to be filled in an array, and then filling units outside the areas to be filled are deleted. When the area to be filled is polygonal, the filling density cannot reach the maximum, and many blank positions exist. In existing layout designs, the pattern of the array can suffer from non-uniformity of etching and over-etching of the pattern at the edges due to the sharp decrease in pattern density at the edge locations. Such that the device characteristics of the edges may not be consistent with the middle. When the integrated circuit is designed as an LCD panel, an OLED panel, or the like, the above situation may cause darkening or lighting of the edges from the perspective of LCD and OLED display.
In order to solve the above-described problems, the inventors propose a new method of graphically filling an area.
According to an aspect of the present application, a filling method is provided. The filling method is applied to the fields of flat panel display layout design, integrated circuit layout simulation design and the like. Fig. 1 shows a method flow diagram of a filling method according to an embodiment of the application. As shown in fig. 1, the filling method according to the embodiment of the application includes the following steps:
in step S101, a region to be filled is obtained, wherein the region to be filled is shaped;
and obtaining the area to be filled, which is shaped like a special shape. The area to be filled is used for laying out filling standard cells, hard cores and other filling cells.
In step S102, pre-filling is performed using different filling schemes, including a first filling scheme and a second filling scheme;
and pre-filling the region to be filled by adopting different filling schemes. The filling scheme may include a first filling scheme, a second filling scheme, a third filling scheme, and so on. Filling units in the area to be filled along a first direction to obtain a first filling scheme; and filling the filling unit in the region to be filled along the second direction to obtain a second filling scheme. Alternatively, the first direction is a horizontal rightward direction and the second direction is a vertical downward direction. The horizontal and vertical are, for example, relative to the integrated circuit layout to be designed.
In step S103, comparing the number of filling units in different filling schemes, and selecting the filling scheme with the largest number of filling units as the final filling scheme;
the number of filling units in the different filling schemes is compared, and the filling scheme with the largest number of filling units is selected as the final filling scheme. Optionally, in the case that the filling schemes have only a first filling scheme and a second filling scheme, the number of filling units of the first filling scheme is a first number, and the number of filling units of the second filling scheme is a second number. In case the first number is greater than the second number, selecting the first filling scheme as a final filling scheme; in case the first number is smaller than the second number, selecting the second filling scheme as a final filling scheme; in case the first number and the second number are equal, one scheme may be arbitrarily selected as the final filling scheme, or the first filling scheme or the second filling scheme may be selected as the final filling scheme according to another rule. Alternatively, the filling schemes are not necessarily displayed on the display interface, and virtual filling may be performed in a computer or the like to obtain and compare the number of filling units in each filling scheme, thereby obtaining a final filling scheme.
In step S104, the filling unit is filled into the area to be filled according to the final filling scheme.
And filling the filling unit into the area to be filled according to the obtained final filling scheme. I.e. the filling of the filling unit takes place along the filling direction in the final filling scheme.
According to the filling method provided by the embodiment of the application, the filling can be closely arranged in any polygon, so that the filling density in the area to be filled is ensured, and the performance of a designed product is ensured.
Fig. 2 shows a schematic view of a region to be filled according to an embodiment of the application. As shown in connection with fig. 2, the region 100 to be filled according to the embodiment of the present application is, for example, a polygon including a plurality of boundaries 101, and adjacent boundaries 101 intersect to form boundary points 102.
Fig. 3 shows a filling schematic diagram of a filling method according to a first embodiment of the present application. As shown in connection with fig. 3, the filling units 103 comprise, for example, standard units, and a clearance area 104 is provided around each filling unit 103. The filling unit 103 is filled in the region to be filled 100, and the headroom region 104 is located in the region to be filled 100 and/or outside the region to be filled 100. Alternatively, the filling unit 103 has a rectangular shape. Alternatively, the clearance area 104 is the same shape as the filler unit 103, but the size of the clearance area 104 is larger than the size of the filler unit 103. The center of the headroom region 104 coincides with the center of the filling unit 103.
In an alternative embodiment of the present application, the filling method further comprises: determining an initial placement point 110 (as shown in the dashed box in the figure); at the time of the pre-filling, filling of the filling unit 103 is performed from the initial arrangement point 110. Optionally, at least two filling schemes share the same initial arrangement point 110 in different filling schemes. Alternatively, in different filling schemes, different initial arrangement points 110 are used.
Optionally, determining the initial arrangement point 110 includes:
finding the position closest to the boundary 101 in the selected direction perpendicular to the first direction as a reference position; the first direction is, for example, a horizontal right direction.
The reference position is moved in the opposite direction of the first direction to the boundary 101 in the opposite direction of the first direction, resulting in an initial arrangement point 110.
Optionally, the filling method further comprises:
starting from the initial arrangement point 110, a first row of filling cells is filled in the area to be filled 100 in a first direction, the last filling cell of the first row of filling cells being located at a boundary in the first direction.
The filling cells 103 are arranged row by row in a direction perpendicular to the first direction, filling up to the last row of filling cells, which are located at the boundary in the direction perpendicular to the first direction. Wherein the first direction is, for example, a horizontal right direction.
In an alternative embodiment of the present application, the initial arrangement point 110 includes at least one selected from the group consisting of an upper left corner boundary point, a lower left corner boundary point, an upper right corner boundary point, and a lower right corner boundary point. The filling scheme includes at least one of: filling the filling unit 103 in the region to be filled 100 in the first direction from the boundary point of the upper left corner; filling the filling unit 103 in the region to be filled 100 in the first direction from the boundary point of the lower left corner; starting from the boundary point in the upper right corner, filling the filling unit 103 in the region to be filled 100 in the opposite direction to the first direction; starting from the boundary point in the lower right corner, filling the filling unit 103 in the region to be filled 100 in the opposite direction to the first direction; filling the filling unit 103 in the region to be filled 100 in the second direction from the boundary point of the upper left corner; filling the filling unit 103 in the region to be filled 100 in the opposite direction to the second direction from the boundary point at the lower left corner; filling the filling unit 103 in the region to be filled 100 in the second direction from the boundary point of the upper right corner; starting from the boundary point in the lower right corner, the filling unit 103 is filled in the region to be filled 100 in the opposite direction to the second direction.
Fig. 4 shows a filling schematic diagram of a filling method according to a second embodiment of the present application. Fig. 4 shows the filling of the filling unit 103 to the area to be filled 100 according to a final filling scheme.
Specifically, in the second embodiment, the filling unit (rectangular pattern) 103 is filled into the polygonal region to be filled 100 row by row or column by column in a horizontal or vertical manner. And (3) multiple attempts are made, and the filling scheme corresponding to the result with the largest filling quantity is taken as the final filling scheme. The final filling scheme has the greatest filling density for the same area to be filled. Alternatively, the filling manner may be horizontal line-by-line filling, vertical column-by-column filling, or the like. The starting positions for filling may be upper left, lower left, upper right, lower right, etc.
The initial placement point refers to the placement position of one of the filler cells closest to the boundary. For example, from the top left, vertically, the position where the filling unit can be placed furthest to the left is found, and then the position is moved upwards to the boundary, which is the initial placement point. For another example, the top left starts and is placed horizontally, then the most upper place is found, and then the left moves to the boundary, which is the initial placement point.
According to another aspect of the present application, a filling device is provided. The filling device is used for realizing the filling method. Fig. 5 shows a schematic structural view of a filling device according to an embodiment of the present application. As shown in fig. 5, the filling device according to the embodiment of the present application is applied to the fields of flat panel display layout design, integrated circuit layout simulation design, and the like, and includes a region to be filled determining unit 100, a pre-filling unit 200, a selecting unit 300, and a filling unit 400.
Specifically, the to-be-filled region determining unit 100 is configured to obtain a to-be-filled region, where the to-be-filled region is shaped.
The pre-filling unit 200 is used for pre-filling with different filling schemes, including a first filling scheme and a second filling scheme. Filling the filling unit in the region to be filled along a first direction to obtain a first filling scheme; and filling the filling unit in the region to be filled along a second direction to obtain the second filling scheme.
The selection unit 300 is configured to compare the number of filling units in different filling schemes, and select a filling scheme with the largest number of filling units as a final filling scheme.
The filling unit 400 is used for filling the filling unit into the area to be filled according to the final filling scheme.
Fig. 6 shows a schematic structural diagram of a server according to an embodiment of the application.
Referring to fig. 6, the present disclosure also presents a block diagram of an exemplary server (computing device) suitable for use in implementing embodiments of the present disclosure. It should be appreciated that the server illustrated in fig. 6 is merely an example and should not be construed as limiting the functionality and scope of use of the disclosed embodiments.
As shown in fig. 6, the server 900 is embodied in the form of a general purpose computing device. Components of server 900 may include, but are not limited to: one or more processors or processing units 910, a memory 920, a bus 901 that connects the various system components (including the memory 920 and the processing units 910).
Bus 901 represents one or more of several types of bus structures, including a memory bus or memory controller, a peripheral bus, an accelerated graphics port, a processor, and a local bus using any of a variety of bus architectures. By way of example, and not limitation, such architectures include Industry Standard Architecture (ISA) bus, micro channel architecture (MAC) bus, enhanced ISA bus, video Electronics Standards Association (VESA) local bus, and Peripheral Component Interconnect (PCI) bus.
Server 900 typically includes a variety of computer system readable media. Such media can be any available media that is accessible by server 900 and includes both volatile and nonvolatile media, removable and non-removable media.
The system memory 920 may include computer system readable media in the form of volatile memory, such as Random Access Memory (RAM) 921 and/or cache memory 922. The server 900 may further include other removable/non-removable, volatile/nonvolatile computer system storage media. By way of example only, storage system 923 may be used to read from or write to non-removable, nonvolatile magnetic media (not shown in FIG. 6, commonly referred to as a "hard disk drive"). Although not shown in fig. 6, a magnetic disk drive for reading from and writing to a removable non-volatile magnetic disk (e.g., a "floppy disk"), and an optical disk drive for reading from or writing to a removable non-volatile optical disk (e.g., a CD-ROM, DVD-ROM, or other optical media) may be provided. In such cases, each drive may be coupled to bus 901 via one or more data medium interfaces. Memory 920 may include at least one program product having a set (e.g., at least one) of program modules configured to carry out the functions of embodiments of the present disclosure.
A program/utility 924 having a set (at least one) of program modules 9241 may be stored in, for example, memory 920, such program modules 9241 include, but are not limited to, an operating system, one or more application programs, other program modules, and program data, each or some combination of which may include an implementation of a network environment. Program modules 9241 generally perform the functions and/or methods in the embodiments described in the embodiments of the disclosure.
Further, the server 900 may also be communicatively coupled to a display 990 for displaying the results of the screening ranking, which display 990 may include, but is not limited to, a Liquid Crystal Display (LCD), a Light Emitting Diode (LED) display, and a plasma display. In some implementations, the display 990 may also be a touch screen.
Further, the server 900 can also communicate with one or more devices that enable a user to interact with the server 900, and/or with any device (e.g., network card, modem, etc.) that enables the server 900 to communicate with one or more other computing devices. Such communication may occur through an input/output (I/O) interface 930. Also, the server 900 may communicate with one or more networks such as a Local Area Network (LAN), a Wide Area Network (WAN), and/or a public network, such as the internet, via a network adapter 940. As shown, network adapter 940 communicates with other modules of server 900 via bus 901. It should be appreciated that although not shown, other hardware and/or software modules may be used in connection with server 900, including, but not limited to: microcode, device drivers, redundant processing units, external disk drive arrays, RAID systems, tape drives, data backup storage systems, and the like.
The processing unit 910 executes various functional applications and data processing by running a program stored in the system memory 920, for example, implementing the method described in the above embodiments of the present disclosure.
The present disclosure also provides a computer-readable storage medium having stored thereon a computer program (or referred to as computer-executable instructions) which, when executed by a processor, is configured to perform the method according to the above-described embodiments of the present disclosure, the specific method being set forth in the claims.
The computer storage media of the embodiments of the present disclosure may take the form of any combination of one or more computer-readable media. The computer readable medium may be a computer readable signal medium or a computer readable storage medium. The computer readable storage medium can be, for example, but not limited to, an electronic, magnetic, optical, electromagnetic, infrared, or semiconductor system, apparatus, or device, or a combination of any of the foregoing. More specific examples (a non-exhaustive list) of the computer-readable storage medium would include the following: an electrical connection having one or more wires, a portable computer diskette, a hard disk, a Random Access Memory (RAM), a read-only memory (ROM), an erasable programmable read-only memory (EPROM or flash memory), an optical fiber, a portable compact disc read-only memory (CD-ROM), an optical storage device, a magnetic storage device, or any suitable combination of the foregoing. In the context of this disclosure, a computer-readable storage medium may be any tangible medium that can contain, or store a program for use by or in connection with an instruction execution system, apparatus, or device.
The computer readable signal medium may include a propagated data signal with computer readable program code embodied therein, either in baseband or as part of a carrier wave. Such a propagated data signal may take any of a variety of forms, including, but not limited to, electro-magnetic, optical, or any suitable combination of the foregoing. A computer readable signal medium may also be any computer readable medium that is not a computer readable storage medium and that can communicate, propagate, or transport a program for use by or in connection with an instruction execution system, apparatus, or device.
Program code embodied on a computer readable medium may be transmitted using any appropriate medium, including but not limited to wireless, wireline, optical fiber cable, RF, etc., or any suitable combination of the foregoing.
Computer program code for carrying out operations for embodiments of the present disclosure may be written in one or more programming languages, including an object oriented programming language such as Java, smalltalk, C ++ and conventional procedural programming languages, such as the "C" programming language or similar programming languages. The program code may execute entirely on the user's computer, partly on the user's computer, as a stand-alone software package, partly on the user's computer and partly on a remote computer or entirely on the remote computer or server. In the case of a remote computer, the remote computer may be connected to the user's computer through any kind of network, including a Local Area Network (LAN) or a Wide Area Network (WAN), or may be connected to an external computer (for example, through the Internet using an Internet service provider).
It should be noted that in this document relational terms such as first and second, and the like are used solely to distinguish one entity or action from another entity or action without necessarily requiring or implying any actual such relationship or order between such entities or actions. Moreover, the terms "comprises," "comprising," or any other variation thereof, are intended to cover a non-exclusive inclusion, such that a process, method, article, or apparatus that comprises a list of elements does not include only those elements but may include other elements not expressly listed or inherent to such process, method, article, or apparatus. Without further limitation, an element defined by the phrase "comprising one … …" does not exclude the presence of other like elements in a process, method, article, or apparatus that comprises the element.
Embodiments in accordance with the present application, as described above, are not intended to be exhaustive or to limit the application to the precise embodiments disclosed. Obviously, many modifications and variations are possible in light of the above teaching. The embodiments were chosen and described in order to best explain the principles of the application and the practical application, to thereby enable others skilled in the art to best utilize the application and various modifications as are suited to the particular use contemplated. The application is limited only by the claims and the full scope and equivalents thereof.

Claims (10)

1. A filling method, comprising:
acquiring a region to be filled, wherein the region to be filled is special-shaped;
pre-filling with different filling schemes, wherein the filling schemes comprise a first filling scheme and a second filling scheme;
comparing the number of the filling units in different filling schemes, and selecting the filling scheme with the largest number of the filling units as a final filling scheme;
filling the filling unit into the region to be filled according to the final filling scheme,
filling the filling unit in the region to be filled along a first direction to obtain a first filling scheme;
and filling the filling unit in the region to be filled along a second direction to obtain the second filling scheme.
2. The filling method according to claim 1, wherein the filling units comprise standard units, and a clearance area is arranged around each filling unit;
the filling unit is filled in the area to be filled, and the clearance area is positioned in the area to be filled and/or outside the area to be filled.
3. The filling method according to claim 1, wherein the first direction is a horizontal right direction; the second direction is a vertically downward direction.
4. The filling method according to claim 1, wherein the filling method further comprises:
determining an initial arrangement point;
and when the pre-filling is performed, starting to fill the filling units from the initial distribution point.
5. The filling method according to claim 4, wherein the region to be filled comprises a plurality of boundaries, adjacent ones of the boundaries intersecting to form boundary points;
the initial arrangement point comprises at least one selected from the boundary point of the upper left corner, the boundary point of the lower left corner, the boundary point of the upper right corner and the boundary point of the lower right corner;
the filling scheme includes at least one of:
filling the filling unit in the region to be filled in a first direction from a boundary point of the upper left corner;
filling the filling unit in the region to be filled in a first direction from a boundary point of the lower left corner;
filling the filling unit in the region to be filled in a direction opposite to the first direction from the boundary point of the upper right corner;
filling the filling unit in the region to be filled in a direction opposite to the first direction from the boundary point of the lower right corner;
filling the filling unit in the region to be filled in a second direction from a boundary point of the upper left corner;
filling the filling unit in the region to be filled in a direction opposite to the second direction from the boundary point of the lower left corner;
filling the filling unit in the region to be filled in a second direction from a boundary point of the upper right corner;
starting from the boundary point of the lower right corner, filling the filling unit in the region to be filled in the opposite direction of the second direction.
6. The filling method according to claim 4, wherein the region to be filled comprises a plurality of boundaries, adjacent ones of the boundaries intersecting to form boundary points;
the determining the initial arrangement point comprises:
finding a position closest to the boundary in a selected direction perpendicular to the first direction as a reference position;
and moving the reference position to the boundary position in the opposite direction of the first direction along the opposite direction of the first direction to obtain the initial arrangement point.
7. The filling method according to claim 4, wherein the filling method comprises:
filling a first row of filling units in the area to be filled along a first direction from the initial arrangement point, wherein the last filling unit of the first row of filling units is positioned at the boundary in the first direction;
the filling units are arranged row by row in a direction perpendicular to the first direction and filled to the last row of filling units, and the last row of filling units are positioned at boundaries in the direction perpendicular to the first direction.
8. A filling device, comprising:
the filling area determining unit is used for obtaining an area to be filled, and the area to be filled is special-shaped;
the device comprises a pre-filling unit, a pre-filling unit and a filling unit, wherein the pre-filling unit is used for pre-filling by adopting different filling schemes, and the filling schemes comprise a first filling scheme and a second filling scheme;
the selecting unit is used for comparing the number of the filling units in different filling schemes and selecting the filling scheme with the largest number of the filling units as a final filling scheme;
a filling unit for filling the filling unit into the area to be filled according to the final filling scheme,
filling the filling unit in the region to be filled along a first direction to obtain a first filling scheme;
and filling the filling unit in the region to be filled along a second direction to obtain the second filling scheme.
9. A computing device, comprising:
a processor;
a memory for storing one or more programs,
wherein the one or more programs, when executed by the processor, cause the processor to implement the population method of any of claims 1 to 7.
10. A computer readable storage medium having stored thereon a computer program, wherein the program when executed by a processor implements the population method of any of claims 1 to 7.
CN202310960288.6A 2023-08-01 2023-08-01 Filling method and device, computing device and storage medium Pending CN116976269A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202310960288.6A CN116976269A (en) 2023-08-01 2023-08-01 Filling method and device, computing device and storage medium

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202310960288.6A CN116976269A (en) 2023-08-01 2023-08-01 Filling method and device, computing device and storage medium

Publications (1)

Publication Number Publication Date
CN116976269A true CN116976269A (en) 2023-10-31

Family

ID=88474586

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202310960288.6A Pending CN116976269A (en) 2023-08-01 2023-08-01 Filling method and device, computing device and storage medium

Country Status (1)

Country Link
CN (1) CN116976269A (en)

Similar Documents

Publication Publication Date Title
US6449757B1 (en) Hierarchical semiconductor design
RU2376639C2 (en) Canvas with grid
US6922659B2 (en) Parameter population of cells of a hierarchical semiconductor structure via file relation
CN102982787B (en) Curve map display device and curve map display methods
CN103700126A (en) Device and corresponding method for controlling electronic map zooming
CN113673191B (en) Timing correction method and apparatus, calculation apparatus, and storage medium
CN102866883A (en) Determining the display of equal spacing guides between diagram shapes
US8028265B2 (en) System and method for improved placement in custom VLSI circuit design with schematic-driven placement
US8762919B2 (en) Circuit macro placement using macro aspect ratio based on ports
CN107391148B (en) View element saving method and device, electronic equipment and computer storage medium
CN111698491B (en) Multi-projection image display method and device, electronic equipment and storage medium
CN116976269A (en) Filling method and device, computing device and storage medium
US8959444B2 (en) Presenting a navigation order of shapes
CN115562665A (en) Page access method and device, computer equipment and readable storage medium
US10037308B2 (en) Method for adding two rows of tiles to an existing two rows of tiles based on the number of tiles in each of the existing two rows
CN112800702B (en) R-corner automatic layout and wiring method and device and storage medium
CN109885364A (en) For showing the method, apparatus, computer equipment and storage medium of information
JP5309560B2 (en) Drawing data management device
CN114741064B (en) Page generation method, device and system and storage medium
CN117555635A (en) Instrument panel generation method and device, electronic equipment and storage medium
JP5563385B2 (en) Layout pattern generation apparatus and layout pattern generation method
WO2022238410A1 (en) Alignment of element blocks
CN112966458A (en) Graphic processing method, electronic device, server, and storage medium
JPH0423080A (en) Character string inserting system
CN115080799A (en) Visualization method and device for matrix data, electronic equipment and readable storage medium

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination