CN116933697B - 一种将自然语言转换为硬件描述语言的方法及装置 - Google Patents

一种将自然语言转换为硬件描述语言的方法及装置 Download PDF

Info

Publication number
CN116933697B
CN116933697B CN202311197008.7A CN202311197008A CN116933697B CN 116933697 B CN116933697 B CN 116933697B CN 202311197008 A CN202311197008 A CN 202311197008A CN 116933697 B CN116933697 B CN 116933697B
Authority
CN
China
Prior art keywords
signal
word
signals
vector
sentence
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN202311197008.7A
Other languages
English (en)
Other versions
CN116933697A (zh
Inventor
杨展悌
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Shanghai Xinlianxin Intelligent Technology Co ltd
Original Assignee
Shanghai Xinlianxin Intelligent Technology Co ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Shanghai Xinlianxin Intelligent Technology Co ltd filed Critical Shanghai Xinlianxin Intelligent Technology Co ltd
Priority to CN202311197008.7A priority Critical patent/CN116933697B/zh
Publication of CN116933697A publication Critical patent/CN116933697A/zh
Application granted granted Critical
Publication of CN116933697B publication Critical patent/CN116933697B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/323Translation or migration, e.g. logic to logic, hardware description language [HDL] translation or netlist translation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F16/00Information retrieval; Database structures therefor; File system structures therefor
    • G06F16/30Information retrieval; Database structures therefor; File system structures therefor of unstructured textual data
    • G06F16/35Clustering; Classification
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F40/00Handling natural language data
    • G06F40/30Semantic analysis
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/04Architecture, e.g. interconnection topology
    • G06N3/045Combinations of networks
    • G06N3/0455Auto-encoder networks; Encoder-decoder networks
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/04Architecture, e.g. interconnection topology
    • G06N3/0464Convolutional networks [CNN, ConvNet]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/04Architecture, e.g. interconnection topology
    • G06N3/048Activation functions
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/08Learning methods
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D10/00Energy efficient computing, e.g. low power processors, power management or thermal management

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Computational Linguistics (AREA)
  • Evolutionary Computation (AREA)
  • Data Mining & Analysis (AREA)
  • Health & Medical Sciences (AREA)
  • Artificial Intelligence (AREA)
  • General Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Biomedical Technology (AREA)
  • Biophysics (AREA)
  • Molecular Biology (AREA)
  • Computing Systems (AREA)
  • Mathematical Physics (AREA)
  • Software Systems (AREA)
  • Computer Hardware Design (AREA)
  • Audiology, Speech & Language Pathology (AREA)
  • Geometry (AREA)
  • Databases & Information Systems (AREA)
  • Machine Translation (AREA)

Abstract

一种将自然语言转换为硬件描述语言的方法及装置,用以将描述逻辑电路的自然语言转换为硬件描述语言,以解决编写硬件描述语言时,需要耗费大量的时间与精力的问题。该方法包括:针对描述逻辑电路的自然语言中的每个句子,根据句子中每个词的词向量与每个词的位置向量,得到每个词的第一表示向量;将每个词的第一表示向量通过多头自注意力机制,确定出表征每个词在句中语义的词编码向量;将每个句子的词编码向量进行特征提取和分类,得到表征所述逻辑电路中各信号及各信号的信号特征;将所述各信号及所述各信号的信号特征,通过多头自注意力机制,确定出每个信号对应的硬件描述语言。

Description

一种将自然语言转换为硬件描述语言的方法及装置
技术领域
本申请涉及机人工智能技术领域,尤其涉及一种将自然语言转换为硬件描述语言的方法及装置。
背景技术
逻辑电路也称为数字电路,在1995年之前,大部分的逻辑电路设计是以设计电路图(schematic design)为主,一个电路设计图上布满了各种逻辑门与连接线。随着半导体制造的快速发展,相对应的各种电子设计自动化(electronic design automation,EDA)工具的功能也大幅提升,电路设计工程师在进行逻辑电路设计时不用再画电路图,而是将电路设计以硬件描述语言(hardware description language,HDL)写出,EDA工具可以将硬件描述语言综合为逻辑门组合成的电路图。并且电路图也不再是一张密密麻麻的逻辑门与连线的图画,而是通过网表中的文字来描述各逻辑门之间的连接关系。
目前主要的逻辑门都是由CMOS的晶体管构成,随着晶体管制造工艺越来越精细晶体管的体积也而越来越小,在芯片上一个单位面积内能够摆放的晶体管越来越多,也就是逻辑门越来越多,导致逻辑电路越来越庞大,逻辑电路的硬件描述语言也越来越复杂。并且由硬件描述语言比较抽象,因此电路设计工程师在编写硬件描述语言时,需要耗费大量的时间与精力。
发明内容
本申请提供一种将自然语言转换为硬件描述语言的方法及装置,用以将描述逻辑电路的自然语言转换为硬件描述语言,以解决编写硬件描述语言时,需要耗费大量的时间与精力的问题。
第一方面,本申请提供一种将自然语言转换为硬件描述语言的方法,该方法包括:针对描述逻辑电路的自然语言中的每个句子,根据句子中每个词的词向量与每个词的位置向量,得到每个词的第一表示向量;将每个词的第一表示向量通过多头自注意力机制,确定出表征每个词在句中语义的词编码向量;将每个句子的词编码向量进行特征提取和分类,得到表征所述逻辑电路中各信号及各信号的信号特征;将所述各信号及所述各信号的信号特征,通过多头自注意力机制,确定出每个信号对应的硬件描述语言。
上述技术方案中,电路设计工程师将逻辑电路用自然语言描述出来,首先,对于自然语言中每个句子,分析模型首先通过多头注意力机制对句子中每个词的语义以及每个词在句子中的位置进行分析,得到每个词的词编码向量。然后,将每个句子的词编码向量进行特征提取和分类,得到表征逻辑电路中各信号及各信号的信号特征。最后,将各信号及各信号的信号特征,通过多头自注意力机制进行分析,得到逻辑电路中每个信号对应的硬件描述语言。无需大量人力来进行硬件描述语言的编写,大幅减少设计逻辑电路时编写硬件描述语言的时间。并且也可以减少硬件描述语言的语法错误。
在一种可能的设计中,将每个句子的词编码向量进行特征提取和分类,得到表征所述逻辑电路中各信号及各信号的信号特征,包括:按照预设的信号分类记录,将每个句子的词编码向量进行特征提取和分类,得到表征所述逻辑电路中各信号及各信号的信号特征;所述信号分类记录中至少包括信号类型、时序要求以及条件约束。
在一种可能的设计中,将所述各信号及所述各信号的信号特征,通过多头自注意力机制,确定出每个信号对应的硬件描述语言,包括:将所述各信号及所述各信号的信号特征转换为每个信号对应的文本信息;针对所述每个信号对应的文本信息,根据所述文本信息中每个词的词向量与每个词的位置向量,得到每个词的第二表示向量;将每个词的第二表示向量通过多头自注意力机制,得到每个信号对应的文本信息的输出向量矩阵;对所述每个信号对应的文本信息的输出向量矩阵进行预测得到对应的硬件描述语言。
在一种可能的设计中,所述根据句子中每个词的词向量与每个词的位置向量,得到每个词的第一表示向量;将每个词的第一表示向量通过多头自注意力机制,确定出表征每个词在句中语义的词编码向量,包括:将描述逻辑电路的自然语言输入至TNN 的编码模块,根确定出表征每个词在句中语义的词编码向量;将每个句子的词编码向量进行特征提取和分类,得到表征所述逻辑电路中各信号及各信号的信号特征,包括:将每个句子的词编码向量输入至卷积神经网络CNN模块,得到表征所述逻辑电路中各信号及各信号的信号特征;将所述各信号及所述各信号的信号特征,通过多头自注意力机制,确定出每个信号对应的硬件描述语言,包括:将所述各信号及所述各信号的信号特征输入至TNN的解码模块,确定出每个信号对应的硬件描述语言。
上述技术方案中,TNN擅长翻译与交谈,CNN模块擅长特征提取与分类,本申请结合TNN与CNN的优点,通过将CNN模块插入到TNN的编码模块和TNN的解码模块之间,可以将TNN的编码模块的输出提取出重要的信号特征,再将各信号及各信号的信号特征输入到TNN的解码模块,使得TNN的解码模块输出的硬件描述语言准确性更高。并且插入CNN模块后,TNN的编码模块可以和TNN的解码模块分开进行训练,进而降低模型的训练难度,提高模型的训练速度。
在一种可能的设计中,所述TNN的编码模块和所述CNN模块为共同训练得到的;所述TNN的解码模块为单独训练得到的。
上述技术方案中,分析模型包括TNN的编码模块、CNN模块和TNN的解码模块,由于整个分析模型太深,对模型整体进行训练难度较大且耗时较久。对TNN的编码模块和CNN模块一起训练,对TNN的解码模块单独训练,可以降低模型的训练难度,提高模型的训练速度,并且可以得到可靠的输出结果。
在一种可能的设计中,所述方法还包括:构造训练样本集,所述训练样本集中每个训练样本包括描述逻辑电路的自然语言、自然语言对应的信号分类记录信息、自然语言对应的硬件描述语言;将每个训练样本中描述逻辑电路的自然语言作为所述TNN的编码模块的输入,将所述自然语言对应的信号分类记录信息作为所述CNN模块的输出,对所述TNN的编码模块和所述CNN模块一起进行训练;将所述自然语言对应的信号分类记录信息作为所述TNN的解码模块的输入,将所述自然语言对应的硬件描述语言作为所述TNN的解码模块的输出,对所述TNN的解码模块进行训练。
第二方面,本申请实施例提供一种将自然语言转换为硬件描述语言的装置,该装置包括:
编码单元,用于针对描述逻辑电路的自然语言中的每个句子,根据句子中每个词的词向量与每个词的位置向量,得到每个词的第一表示向量;将每个词的第一表示向量通过多头自注意力机制,确定出表征每个词在句中语义的词编码向量;
特征提取和分类单元,用于将每个句子的词编码向量进行特征提取和分类,得到表征所述逻辑电路中各信号及各信号的信号特征;
解码单元,用于将所述各信号及所述各信号的信号特征,通过多头自注意力机制,确定出每个信号对应的硬件描述语言。
在一种可能的设计中,所述特征提取和分类单元在将每个句子的词编码向量进行特征提取和分类,得到表征所述逻辑电路中各信号及各信号的信号特征时,还具体用于按照预设的信号分类记录,将每个句子的词编码向量进行特征提取和分类,得到表征所述逻辑电路中各信号及各信号的信号特征;所述信号分类记录中至少包括信号类型、时序要求以及条件约束。
在一种可能的设计中,所述解码单元在将所述各信号及所述各信号的信号特征,通过多头自注意力机制,确定出每个信号对应的硬件描述语言时,还具体用于将所述各信号及所述各信号的信号特征转换为每个信号对应的文本信息;针对所述每个信号对应的文本信息,根据所述文本信息中每个词的词向量与每个词的位置向量,得到每个词的第二表示向量;将每个词的第二表示向量通过多头自注意力机制,得到每个信号对应的文本信息的输出向量矩阵;对所述每个信号对应的文本信息的输出向量矩阵进行预测得到对应的硬件描述语言。
在一种可能的设计中,所述编码单元在根据句子中每个词的词向量与每个词的位置向量,得到每个词的第一表示向量;将每个词的第一表示向量通过多头自注意力机制,确定出表征每个词在句中语义的词编码向量时,还具体用于将描述逻辑电路的自然语言输入至TNN 的编码模块,根确定出表征每个词在句中语义的词编码向量;所述特征提取和分类单元在将每个句子的词编码向量进行特征提取和分类,得到表征所述逻辑电路中各信号及各信号的信号特征时,还具体用于将每个句子的词编码向量输入至卷积神经网络CNN模块,得到表征所述逻辑电路中各信号及各信号的信号特征;所述解码单元在将所述各信号及所述各信号的信号特征,通过多头自注意力机制,确定出每个信号对应的硬件描述语言时,还具体用于将所述各信号及所述各信号的信号特征输入至TNN的解码模块,确定出每个信号对应的硬件描述语言。
在一种可能的设计中,所述TNN的编码模块和所述CNN模块为共同训练得到的;所述TNN的解码模块为单独训练得到的。
在一种可能的设计中,所述装置还包括训练单元,用于构造训练样本集,所述训练样本集中每个训练样本包括描述逻辑电路的自然语言、自然语言对应的信号分类记录信息、自然语言对应的硬件描述语言;将每个训练样本中描述逻辑电路的自然语言作为所述TNN的编码模块的输入,将所述自然语言对应的信号分类记录信息作为所述CNN模块的输出,对所述TNN的编码模块和所述CNN模块一起进行训练;将所述自然语言对应的信号分类记录信息作为所述TNN的解码模块的输入,将所述自然语言对应的硬件描述语言作为所述TNN的解码模块的输出,对所述TNN的解码模块进行训练。
第三方面,本申请实施例还提供一种计算设备,包括:
存储器,用于存储程序指令;
处理器,用于调用所述存储器中存储的程序指令,按照获得的程序指令执行如第一方面的任一种可能的设计中所述的方法。
第四方面,本申请实施例还提供一种计算机可读存储介质,其中存储有计算机可读指令,当计算机读取并执行所述计算机可读指令时,使得上述第一方面的任一种可能的设计中所述的方法实现。
附图说明
为了更清楚地说明本申请实施例中的技术方案,下面将对实施例描述中所需要使用的附图作简要介绍,显而易见地,下面描述中的附图仅仅是本申请的一些实施例,对于本领域的普通技术人员来讲,在不付出创造性劳动的前提下,还可以根据这些附图获得其他的附图。
图1为本申请实施例提供的一种将自然语言转换为硬件描述语言的方法的流程示意图;
图2为本申请实施例提供的一种将各信号及各信号的信号特征,通过多头自注意力机制,确定出每个信号对应的硬件描述语言的方法的流程示意图;
图3为本申请实施例提供的分析模型的结构示意图;
图4为本申请实施例提供的一种将自然语言转换为硬件描述语言的装置的结构示意图;
图5为本申请实施例提供的一种计算设备的结构示意图。
具体实施方式
为了使本申请的目的、技术方案和优点更加清楚,下面将结合附图对本申请作进一步地详细描述,显然,所描述的实施例仅仅是本申请一部分实施例,而不是全部的实施例。基于本申请中的实施例,本领域普通技术人员在没有做出创造性劳动前提下所获得的所有其它实施例,都属于本申请保护的范围。
在本申请的实施例中,多个是指两个或两个以上。“第一”、“第二”等词汇,仅用于区分描述的目的,而不能理解为指示或暗示相对重要性,也不能理解为指示或暗示顺序。
图1示例性地示出了本申请实施例提供的一种将自然语言转换为硬件描述语言的方法的流程示意图,如图1所示,该方法包括以下步骤:
步骤101、针对描述逻辑电路的自然语言中的每个句子,先根据句子中每个词的词向量与每个词的位置向量,得到每个词的第一表示向量,然后将每个词的第一表示向量通过多头自注意力机制,确定出表征每个词在句中语义的词编码向量。
本申请实施例中,电路设计工程师将逻辑电路用自然语言描述出来,然后将自然语言输入至分析模型中,对于自然语言中每个句子,分析模型首先通过多头注意力机制对句子中每个词的语义以及每个词在句子中的位置进行分析,将每个词的语义以及词之间的关系以词向量的形式量化地表示出来。
步骤102、将每个句子的词编码向量进行特征提取和分类,得到表征逻辑电路中各信号及各信号的信号特征。
具体地,在将每个句子的词编码向量进行特征提取和分类,得到表征所述逻辑电路中各信号及各信号的信号特征时,可以按照预设的信号分类记录,将每个句子的词编码向量进行特征提取和分类,得到表征逻辑电路中各信号及各信号的信号特征。其中,信号分类记录中至少包括信号类型、时序要求以及条件约束。
其中,信号分类记录为预先设定的对编码向量中各信号进行分类和归纳的逻辑。逻辑电路中信号的信号类型包括时钟信号clock、重置信号reset、输入信号input和输出信号output。每种信号类型具有各自的特点,根据预设的信号分类记录,对提取到的各信号及各信号的信号类型、时序要求以及条件约束进行归纳,可以得到逻辑电路中各信号及各信号的信号特征。逻辑电路中各信号及各信号的信号特征可以以信号分类表的形式展现。
示例性地,表1为信号分类记录以及根据分类记录归纳出的各信号的信号特征的示例。
如表1所示信号分类记录中预先设定的对编码向量中各信号进行分类和归纳的逻辑包括:对于时钟信号,归纳出信号名称、信号频率(或周期)、触发条件、初始情况、起始条件、结束条件等;对于重置信号,归纳出信号名称、初始情况、起始条件、结束条件等;对于输入信号,归纳出信号名称、信号的比特Bit数、重置情况、同步于哪个时钟信号、信号出现时间、信号结束时间、信号出现时是高电平还是低电平等;对于输出信号,归纳出信号名称、信号的比特Bit数、重置情况、同步于哪个时钟信号、信号出现时间、信号结束时间、信号出现时是高电平还是低电平等。
步骤103、将各信号及各信号的信号特征,通过多头自注意力机制,确定出每个信号对应的硬件描述语言。
本申请实施例中,得到的每个信号对应的硬件描述语言可以作为电路设计中的test bench,test bench 用于以电脑仿真来验证设计的逻辑电路是否正确。或者在分析模型精度较高的情况下,也可以直接作为电路设计的硬件描述语言,然后使用EDA工具将其综合为相应的网表。
具体地,可以通过图2所示的方式,将各信号及各信号的信号特征,通过多头自注意力机制,确定出每个信号对应的硬件描述语言,如图2所示,具体包括以下步骤:
步骤201、将各信号及各信号的信号特征转换为每个信号对应的文本信息。
步骤202、针对每个信号对应的文本信息,根据文本信息中每个词的词向量与每个词的位置向量,得到每个词的第二表示向量。
步骤203、将每个词的第二表示向量通过多头自注意力机制,得到每个信号对应的文本信息的输出向量矩阵。
步骤204、对每个信号对应的文本信息的输出向量矩阵进行预测得到对应的硬件描述语言。
图3示例性的示出了上述分析模型的结构示意图,如图3所示,该分析模型包括TNN的编码模块310、CNN模块320以及TNN的解码模块330。
在一种可能的实施方式中,步骤101中先根据句子中每个词的词向量与每个词的位置向量,得到每个词的第一表示向量,然后将每个词的第一表示向量通过多头自注意力机制,确定出表征每个词在句中语义的词编码向量时,可以将描述逻辑电路的自然语言输入至TNN 的编码模块310,确定出表征每个词在句中语义的词编码向量。
上述技术方案中,通过TNN(transformer neural networks)的编码模块encoder的多头注意力机制层(multi-head attention)以及残差连接(add&norm)和层归一化层、全连接和激活函数层(feed forward),对描述逻辑电路的自然语言进行分析,产生与输入的词相对应的词编码向量,每个词编码向量的权重表征该词在整句话中的含义以及该词与其他词之间的关系。
步骤102中将每个句子的词编码向量进行特征提取和分类,得到表征逻辑电路中各信号及各信号的信号特征时,可以将每个句子的词编码向量输入至卷积神经网络CNN模块320,得到表征逻辑电路中各信号及各信号的信号特征。
上述技术方案中,通过CNN(convolutional neural networks)模块多层(multi-layer)的特征提取(feature extraction)的过滤器矩阵(matrix),将输入到CNN模块的每个句子的编码向量做出对应(feature mapping)而进行归类(classification),得到表征逻辑电路中各信号及各信号的信号特征。表征逻辑电路中各信号及各信号的信号特征可以以信号分类表的形式展现。
由于分析模型包括TNN的编码模块、CNN模块和TNN的解码模块,整个分析模型太深,难以训练,为了优化训练分析模型的过程与结果,本申请在训练模型时将TNN的编码模块和CNN模块一起训练,TNN的解码模块单独训练。因此CNN模块的输出,也就是TNN的解码模块的输入需要是人为可预期、可读、可编写的。对此,可以将CNN的输出的各信号及各信号的信号特征设计为一个可读的信号分类表,那么就可以事先编写信号分类表来对CNN模块以及TNN的解码模块进行训练。该信号分类表是分析模型从自然语言到硬件描述语言的中间级结果。其中,信号分类表的设定格式,也就是信号分类记录可以根据设计逻辑电路的实际需求进行设定。
例如,描述逻辑电路的自然语言包括如下四句话:
第一句 clka,clkb and datainen are all inputs,clka and clkb areclocks.
第二句 clka is a clock with frequency of 250MHz.It is not alwaysvalid, clka is valid at least 10 clocks before datainen goes high,and keepshigh or low at least 10 clocks after datainen goes low.
第三句 datainen is synchronous to clka,the first assertion is from440ns,and last for 256clka.
第四句 clka a clock with frequency of 333.3Mhz.It is always valid itsinitial state is low as time starts.
第一句话描述逻辑电路有三个输入信号,分别是clka、clkb 和datainen,并且clka与clkb是时钟信号。
第二句话描述clka是一个250MHz的时钟信号。clka出现的时间与信号datainen有关, datainen变成1之前, clka提前出现至少10个时钟;datainen变成0之后, clka延续出现至少10个时钟;在clka不出现的时候,保持0。
第三句话描述datainen是一个同步于clka的信号,datainen在起始时间后的440ns变成1, 然后一直保持1, 维持256个clka之后,再变成0。
第四句话描述clkb是一个333.33MHz的时钟信号,clkb一直出现,clkb在刚开始是0。
那么,将这四句话输入至分析模型,经过TNN的编码模块和CNN模块的分析处理后,得到的逻辑电路中各信号及各信号的信号特征的信号分类表如表2-1、表2-2和表2-3所示。
从上述信号分类表可以看出,通过CNN模块,可以提取出逻辑电路中的各信号,并且可以分析出各信号的类型,以及信号何时出现、何时不出现、出现时是高电平还是低电平等特征。CNN模块还可以对信号特征进行总结,例如,CNN模块可以将大于10个cycles这样的特征分类到等于11个cycles这样的明确表示方法。又例如,CNN模块根据第三句话中datainen是一个同步于clka的信号,datainen在起始时间后的440ns变成1, 然后一直保持1, 维持到256个clka之后,再变成0。可以得到datainen在起始时间 t = 0ns后的110个clka(440ns)变成1,不需要看其他条件(event就是1), 然后一直保持1, 经过256个clka之后,在第366个clka(110+256=366)再变成0,不需要看其他条件(event就是1)。
需要说明的是上述信号分类表的格式仅是一个示例,信号分类表的格式以及信号分类表中记录的各类型信号的特征,可以根据实际需求进行设定。
步骤103中将各信号及各信号的信号特征,通过多头自注意力机制,确定出每个信号对应的硬件描述语言时,可以将各信号及各信号的信号特征输入至TNN的解码模块330,确定出每个信号对应的硬件描述语言。
上述技术方案中,在得到CNN输出的信号分类表后,先将信号分类表中的内容转换为TNN的解码模块可读的格式,比如text格式,然后通过TNN的解码模块decoder的掩码多头注意力机制层(masked multi-head attention)、多头注意力机制层(multi-headattention)、残差连接(add&norm)和层归一化层以及全连接和激活函数层(feed forward)对其进行分析,输出每个信号对应的硬件描述语言。
例如,将上述信号分类表转换为对应的硬件描述语言如下:
分析模型在训练时,TNN的编码模块和CNN模块为共同训练得到的,TNN的解码模块为单独训练得到的。
具体地,在对分析模型进行训练时,首先构造训练样本集,训练样本集中每个训练样本包括描述逻辑电路的自然语言、自然语言对应的信号分类记录信息、自然语言对应的硬件描述语言。
对于TNN的编码模块和CNN模块,将每个训练样本中描述逻辑电路的自然语言作为TNN的编码模块的输入,将自然语言对应的信号分类记录信息作为CNN模块的输出,对TNN的编码模块和CNN模块一起进行训练。
分类记录信息即与描述逻辑电路的自然语言对应的信号分类记录表,可以在训练模型前由人工编写或者由计算机生成。假设上述示例作为训练样本,那么将上述示例中的四句描述逻辑电路的自然语言作为TNN的编码模块的输入,将上述表2-1、表2-2和表2-3作为CNN模块的输出,对TNN的编码模块和CNN模块一起进行训练。
对于TNN的解码模块,将自然语言对应的信号分类记录信息作为TNN的解码模块的输入,将自然语言对应的硬件描述语言作为TNN的解码模块的输出,对TNN的解码模块进行训练。
例如,将上述表2-1、表2-2和表2-3转换为TNN的解码模块可读的格式后,作为TNN的解码模块的输入,将上述硬件描述语言作为TNN的解码模块的输出,对TNN的解码模块进行训练。
在对TNN的解码模块进行训练时,需要训练TNN的解码模块具有以下能力:
(1)对硬件描述语言的理解能力:例如可以理解每个关键词与语法。
(2)换算及推导能力:例如换算时钟周期cycle与纳秒ns的关系。
(3)逻辑分析能力:例如果要产生a信号,但是无法直接从现有输入产生,必须先产生暂时信号(如n01),然后从这些暂时信号间接产生a信号。
(4)归纳能力:例如能够归纳出状态机state machine需要多少状态state,以及哪个状该做什么?如果有需要用到类似循环的状态,TNN的解码模块需要归纳出哪些状态可以循环?状态机的counter就要跳回。否则平铺直叙的一直写下去,代码会无限长。
因此,在进行训练时,需要构造大量的训练样本,并考虑逻辑电路的各种可能。
本申请实施例提供一种将自然语言转换为硬件描述语言的方法,电路设计工程师将逻辑电路用自然语言描述出来,首先,对于自然语言中每个句子,分析模型首先通过多头注意力机制对句子中每个词的语义以及每个词在句子中的位置进行分析,得到每个词的词编码向量。然后,将每个句子的词编码向量进行特征提取和分类,得到表征逻辑电路中各信号及各信号的信号特征。最后,将各信号及各信号的信号特征,通过多头自注意力机制进行分析,得到逻辑电路中每个信号对应的硬件描述语言。无需大量人力来进行硬件描述语言的编写,大幅减少设计逻辑电路时编写硬件描述语言的时间。并且也可以减少硬件描述语言的语法错误。
基于相同的技术构思,图4例性地示出了本申请实施例提供的一种将自然语言转换为硬件描述语言的装置的结构示意图,如图4所示,该装置400包括:
编码单元401,用于针对描述逻辑电路的自然语言中的每个句子,根据句子中每个词的词向量与每个词的位置向量,得到每个词的第一表示向量;将每个词的第一表示向量通过多头自注意力机制,确定出表征每个词在句中语义的词编码向量;
特征提取和分类单元402,用于将每个句子的词编码向量进行特征提取和分类,得到表征所述逻辑电路中各信号及各信号的信号特征;
解码单元403,用于将所述各信号及所述各信号的信号特征,通过多头自注意力机制,确定出每个信号对应的硬件描述语言。
在一种可能的设计中,所述特征提取和分类单元402在将每个句子的词编码向量进行特征提取和分类,得到表征所述逻辑电路中各信号及各信号的信号特征时,还具体用于按照预设的信号分类记录,将每个句子的词编码向量进行特征提取和分类,得到表征所述逻辑电路中各信号及各信号的信号特征;所述信号分类记录中至少包括信号类型、时序要求以及条件约束。
在一种可能的设计中,所述解码单元403在将所述各信号及所述各信号的信号特征,通过多头自注意力机制,确定出每个信号对应的硬件描述语言时,还具体用于将所述各信号及所述各信号的信号特征转换为每个信号对应的文本信息;针对所述每个信号对应的文本信息,根据所述文本信息中每个词的词向量与每个词的位置向量,得到每个词的第二表示向量;将每个词的第二表示向量通过多头自注意力机制,得到每个信号对应的文本信息的输出向量矩阵;对所述每个信号对应的文本信息的输出向量矩阵进行预测得到对应的硬件描述语言。
在一种可能的设计中,所述编码单元401在根据句子中每个词的词向量与每个词的位置向量,得到每个词的第一表示向量;将每个词的第一表示向量通过多头自注意力机制,确定出表征每个词在句中语义的词编码向量时,还具体用于将描述逻辑电路的自然语言输入至TNN 的编码模块,根确定出表征每个词在句中语义的词编码向量;所述特征提取和分类单元402在将每个句子的词编码向量进行特征提取和分类,得到表征所述逻辑电路中各信号及各信号的信号特征时,还具体用于将每个句子的词编码向量输入至卷积神经网络CNN模块,得到表征所述逻辑电路中各信号及各信号的信号特征;所述解码单元403在将所述各信号及所述各信号的信号特征,通过多头自注意力机制,确定出每个信号对应的硬件描述语言时,还具体用于将所述各信号及所述各信号的信号特征输入至TNN的解码模块,确定出每个信号对应的硬件描述语言。
在一种可能的设计中,所述TNN的编码模块和所述CNN模块为共同训练得到的;所述TNN的解码模块为单独训练得到的。
在一种可能的设计中,所述装置还包括训练单元404,用于构造训练样本集,所述训练样本集中每个训练样本包括描述逻辑电路的自然语言、自然语言对应的信号分类记录信息、自然语言对应的硬件描述语言;将每个训练样本中描述逻辑电路的自然语言作为所述TNN的编码模块的输入,将所述自然语言对应的信号分类记录信息作为所述CNN模块的输出,对所述TNN的编码模块和所述CNN模块一起进行训练;将所述自然语言对应的信号分类记录信息作为所述TNN的解码模块的输入,将所述自然语言对应的硬件描述语言作为所述TNN的解码模块的输出,对所述TNN的解码模块进行训练。
基于相同的技术构思,本申请实施例提供了一种计算设备,如图5所示,包括至少一个处理器501,以及与至少一个处理器连接的存储器502,本申请实施例中不限定处理器501与存储器502之间的具体连接介质,图5中处理器501和存储器502之间通过总线连接为例。总线可以分为地址总线、数据总线、控制总线等。
在本申请实施例中,存储器502存储有可被至少一个处理器501执行的指令,至少一个处理器501通过执行存储器502存储的指令,可以执行上述将自然语言转换为硬件描述语言的方法。
其中,处理器501是计算设备的控制中心,可以利用各种接口和线路连接计算机设备的各个部分,通过运行或执行存储在存储器502内的指令以及调用存储在存储器502内的数据,从而进行资源设置。
可选地,处理器501可包括一个或多个处理单元,处理器501可集成应用处理器和调制解调处理器,其中,应用处理器主要处理操作系统、用户界面和应用程序等,调制解调处理器主要处理无线通信。可以理解的是,上述调制解调处理器也可以不集成到处理器501中。在一些实施例中,处理器501和存储器502可以在同一芯片上实现,在一些实施例中,它们也可以在独立的芯片上分别实现。
处理器501可以是通用处理器,例如中央处理器(CPU)、数字信号处理器、专用集成电路(Application Specific Integrated Circuit,ASIC)、现场可编程门阵列或者其他可编程逻辑器件、分立门或者晶体管逻辑器件、分立硬件组件,可以实现或者执行本申请实施例中公开的各方法、步骤及逻辑框图。通用处理器可以是微处理器或者任何常规的处理器等。结合本申请实施例所公开的方法的步骤可以直接体现为硬件处理器执行完成,或者用处理器中的硬件及软件模块组合执行完成。
存储器502作为一种非易失性计算机可读存储介质,可用于存储非易失性软件程序、非易失性计算机可执行程序以及模块。存储器502可以包括至少一种类型的存储介质,例如可以包括闪存、硬盘、多媒体卡、卡型存储器、随机访问存储器(Random AccessMemory,RAM)、静态随机访问存储器(Static Random Access Memory,SRAM)、可编程只读存储器(Programmable Read Only Memory,PROM)、只读存储器(Read Only Memory,ROM)、带电可擦除可编程只读存储器(Electrically Erasable Programmable Read-Only Memory,EEPROM)、磁性存储器、磁盘、光盘等等。存储器502是能够用于携带或存储具有指令或数据结构形式的期望的程序代码并能够由计算机存取的任何其他介质,但不限于此。本申请实施例中的存储器502还可以是电路或者其它任意能够实现存储功能的装置,用于存储程序指令和/或数据。
基于相同的技术构思,本申请实施例还提供一种计算机可读存储介质,计算机可读存储介质存储有计算机可执行程序,计算机可执行程序用于使计算机执行上述任一方式所列的将自然语言转换为硬件描述语言的方法。
本领域内的技术人员应明白,本申请的实施例可提供为方法、系统、或计算机程序产品。因此,本申请可采用完全硬件实施例、完全软件实施例、或结合软件和硬件方面的实施例的形式。而且,本申请可采用在一个或多个其中包含有计算机可用程序代码的计算机可用存储介质(包括但不限于磁盘存储器、CD-ROM、光学存储器等)上实施的计算机程序产品的形式。
本申请是参照根据本申请实施例的方法、设备(系统)、和计算机程序产品的流程图和/或方框图来描述的。应理解可由计算机程序指令实现流程图和/或方框图中的每一流程和/或方框、以及流程图和/或方框图中的流程和/或方框的结合。可提供这些计算机程序指令到通用计算机、专用计算机、嵌入式处理机或其他可编程数据处理设备的处理器以产生一个机器,使得通过计算机或其他可编程数据处理设备的处理器执行的指令产生用于实现在流程图一个流程或多个流程和/或方框图一个方框或多个方框中指定的功能的装置。
这些计算机程序指令也可存储在能引导计算机或其他可编程数据处理设备以特定方式工作的计算机可读存储器中,使得存储在该计算机可读存储器中的指令产生包括指令装置的制造品,该指令装置实现在流程图一个流程或多个流程和/或方框图一个方框或多个方框中指定的功能。
这些计算机程序指令也可装载到计算机或其他可编程数据处理设备上,使得在计算机或其他可编程设备上执行一系列操作步骤以产生计算机实现的处理,从而在计算机或其他可编程设备上执行的指令提供用于实现在流程图一个流程或多个流程和/或方框图一个方框或多个方框中指定的功能的步骤。
尽管已描述了本申请的优选实施例,但本领域内的技术人员一旦得知了基本创造性概念,则可对这些实施例做出另外的变更和修改。所以,所附权利要求意欲解释为包括优选实施例以及落入本申请范围的所有变更和修改。
显然,本领域的技术人员可以对本申请进行各种改动和变型而不脱离本申请的精神和范围。这样,倘若本申请的这些修改和变型属于本申请权利要求及其等同技术的范围之内,则本申请也意图包含这些改动和变型在内。

Claims (7)

1.一种将自然语言转换为硬件描述语言的方法,其特征在于,所述方法包括:
针对描述逻辑电路的自然语言中的每个句子,根据句子中每个词的词向量与每个词的位置向量,得到每个词的第一表示向量;将每个词的第一表示向量通过多头自注意力机制,确定出表征每个词在句中语义的词编码向量;
按照预设的信号分类记录,将每个句子的词编码向量进行特征提取和分类,对提取到的各信号及各信号的信号类型、时序要求以及条件约束进行归纳,得到表征所述逻辑电路中各信号及各信号的信号特征;所述信号分类记录中至少包括信号类型、时序要求以及条件约束;所述信号分类记录为预先设定的对编码向量中各信号进行分类和归纳的逻辑;
将所述各信号及所述各信号的信号特征,通过多头自注意力机制,确定出每个信号对应的硬件描述语言;
所述将所述各信号及所述各信号的信号特征,通过多头自注意力机制,确定出每个信号对应的硬件描述语言,包括:
将所述各信号及所述各信号的信号特征转换为每个信号对应的文本信息;
针对所述每个信号对应的文本信息,根据所述文本信息中每个词的词向量与每个词的位置向量,得到每个词的第二表示向量;
将每个词的第二表示向量通过多头自注意力机制,得到每个信号对应的文本信息的输出向量矩阵;
对所述每个信号对应的文本信息的输出向量矩阵进行预测得到对应的硬件描述语言。
2.根据权利要求1所述的方法,其特征在于,所述根据句子中每个词的词向量与每个词的位置向量,得到每个词的第一表示向量;将每个词的第一表示向量通过多头自注意力机制,确定出表征每个词在句中语义的词编码向量,包括:
将描述逻辑电路的自然语言输入至TNN 的编码模块,确定出表征每个词在句中语义的词编码向量;
将每个句子的词编码向量进行特征提取和分类,得到表征所述逻辑电路中各信号及各信号的信号特征,包括:
将每个句子的词编码向量输入至卷积神经网络CNN模块,得到表征所述逻辑电路中各信号及各信号的信号特征;
将所述各信号及所述各信号的信号特征,通过多头自注意力机制,确定出每个信号对应的硬件描述语言,包括:
将所述各信号及所述各信号的信号特征输入至TNN的解码模块,确定出每个信号对应的硬件描述语言。
3.根据权利要求2所述的方法,其特征在于,
所述TNN的编码模块和所述CNN模块为共同训练得到的;
所述TNN的解码模块为单独训练得到的。
4.根据权利要求3所述的方法,其特征在于,所述方法还包括:
构造训练样本集,所述训练样本集中每个训练样本包括描述逻辑电路的自然语言、自然语言对应的信号分类记录信息、自然语言对应的硬件描述语言;
将每个训练样本中描述逻辑电路的自然语言作为所述TNN的编码模块的输入,将所述自然语言对应的信号分类记录信息作为所述CNN模块的输出,对所述TNN的编码模块和所述CNN模块一起进行训练;
将所述自然语言对应的信号分类记录信息作为所述TNN的解码模块的输入,将所述自然语言对应的硬件描述语言作为所述TNN的解码模块的输出,对所述TNN的解码模块进行训练。
5.一种将自然语言转换为硬件描述语言的装置,其特征在于,包括:
编码单元,用于针对描述逻辑电路的自然语言中的每个句子,根据句子中每个词的词向量与每个词的位置向量,得到每个词的第一表示向量;将每个词的第一表示向量通过多头自注意力机制,确定出表征每个词在句中语义的词编码向量;
特征提取和分类单元,用于按照预设的信号分类记录,将每个句子的词编码向量进行特征提取和分类,对提取到的各信号及各信号的信号类型、时序要求以及条件约束进行归纳,得到表征所述逻辑电路中各信号及各信号的信号特征;所述信号分类记录中至少包括信号类型、时序要求以及条件约束;所述信号分类记录为预先设定的对编码向量中各信号进行分类和归纳的逻辑;
解码单元,用于将所述各信号及所述各信号的信号特征,通过多头自注意力机制,确定出每个信号对应的硬件描述语言;
所述解码单元,在将所述各信号及所述各信号的信号特征,通过多头自注意力机制,确定出每个信号对应的硬件描述语言时,具体用于将所述各信号及所述各信号的信号特征转换为每个信号对应的文本信息;针对所述每个信号对应的文本信息,根据所述文本信息中每个词的词向量与每个词的位置向量,得到每个词的第二表示向量;将每个词的第二表示向量通过多头自注意力机制,得到每个信号对应的文本信息的输出向量矩阵;对所述每个信号对应的文本信息的输出向量矩阵进行预测得到对应的硬件描述语言。
6.一种计算设备,其特征在于,包括:
存储器,用于存储程序指令;
处理器,用于调用所述存储器中存储的程序指令,按照获得的程序指令执行如权利要求1至4中任一项所述的方法。
7.一种计算机可读存储介质,其特征在于,包括计算机可读指令,当计算机读取并执行所述计算机可读指令时,使得如权利要求1至4中任一项所述的方法实现。
CN202311197008.7A 2023-09-18 2023-09-18 一种将自然语言转换为硬件描述语言的方法及装置 Active CN116933697B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202311197008.7A CN116933697B (zh) 2023-09-18 2023-09-18 一种将自然语言转换为硬件描述语言的方法及装置

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202311197008.7A CN116933697B (zh) 2023-09-18 2023-09-18 一种将自然语言转换为硬件描述语言的方法及装置

Publications (2)

Publication Number Publication Date
CN116933697A CN116933697A (zh) 2023-10-24
CN116933697B true CN116933697B (zh) 2023-12-08

Family

ID=88381125

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202311197008.7A Active CN116933697B (zh) 2023-09-18 2023-09-18 一种将自然语言转换为硬件描述语言的方法及装置

Country Status (1)

Country Link
CN (1) CN116933697B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN117787160B (zh) * 2024-02-26 2024-05-14 上海芯联芯智能科技有限公司 一种生成数字电路的硬件描述语言的方法及装置

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112000778A (zh) * 2020-09-11 2020-11-27 汪秀英 一种基于语义识别的自然语言处理方法、装置和系统
CN112817561A (zh) * 2021-02-02 2021-05-18 山东省计算中心(国家超级计算济南中心) 软件需求文档的事务类功能点结构化抽取方法及系统
CN113591084A (zh) * 2021-07-26 2021-11-02 福州大学 基于电路路径语句的Transformer恶意芯片识别方法及系统
CN113761829A (zh) * 2021-04-26 2021-12-07 清华大学 自然语言处理方法、装置、设备及计算机可读存储介质
CN113791791A (zh) * 2021-09-01 2021-12-14 中国船舶重工集团公司第七一六研究所 基于自然语言理解与转化的业务逻辑无代码开发方法
WO2022052505A1 (zh) * 2020-09-14 2022-03-17 深圳前海微众银行股份有限公司 基于依存句法的句子主干抽取方法、设备和可读存储介质
CN115438160A (zh) * 2022-08-18 2022-12-06 广州搏创信息科技有限公司 一种基于深度学习的问答方法、装置及电子设备
CN115455873A (zh) * 2022-09-14 2022-12-09 深存科技(无锡)有限公司 支持内嵌脚本语言的hdl代码生成方法
CN115544928A (zh) * 2022-09-30 2022-12-30 中国人民解放军国防科技大学 一种基于电路全局信息的电路优化方法
CN116635822A (zh) * 2020-11-30 2023-08-22 美商新思科技有限公司 电子电路的设计规范的自动翻译
CN116719684A (zh) * 2023-08-11 2023-09-08 中诚华隆计算机技术有限公司 一种3d封装的芯片测试系统

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11853665B2 (en) * 2020-10-06 2023-12-26 Synopsys, Inc. Performing hardware description language transformations
US11663381B2 (en) * 2021-09-07 2023-05-30 International Business Machines Corporation Clock mapping in an integrated circuit design
US20230252212A1 (en) * 2022-02-04 2023-08-10 Xilinx, Inc. Testbench for sub-design verification

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112000778A (zh) * 2020-09-11 2020-11-27 汪秀英 一种基于语义识别的自然语言处理方法、装置和系统
WO2022052505A1 (zh) * 2020-09-14 2022-03-17 深圳前海微众银行股份有限公司 基于依存句法的句子主干抽取方法、设备和可读存储介质
CN116635822A (zh) * 2020-11-30 2023-08-22 美商新思科技有限公司 电子电路的设计规范的自动翻译
CN112817561A (zh) * 2021-02-02 2021-05-18 山东省计算中心(国家超级计算济南中心) 软件需求文档的事务类功能点结构化抽取方法及系统
CN113761829A (zh) * 2021-04-26 2021-12-07 清华大学 自然语言处理方法、装置、设备及计算机可读存储介质
CN113591084A (zh) * 2021-07-26 2021-11-02 福州大学 基于电路路径语句的Transformer恶意芯片识别方法及系统
CN113791791A (zh) * 2021-09-01 2021-12-14 中国船舶重工集团公司第七一六研究所 基于自然语言理解与转化的业务逻辑无代码开发方法
CN115438160A (zh) * 2022-08-18 2022-12-06 广州搏创信息科技有限公司 一种基于深度学习的问答方法、装置及电子设备
CN115455873A (zh) * 2022-09-14 2022-12-09 深存科技(无锡)有限公司 支持内嵌脚本语言的hdl代码生成方法
CN115544928A (zh) * 2022-09-30 2022-12-30 中国人民解放军国防科技大学 一种基于电路全局信息的电路优化方法
CN116719684A (zh) * 2023-08-11 2023-09-08 中诚华隆计算机技术有限公司 一种3d封装的芯片测试系统

Also Published As

Publication number Publication date
CN116933697A (zh) 2023-10-24

Similar Documents

Publication Publication Date Title
US9866218B2 (en) Boolean logic in a state machine lattice
JP6109186B2 (ja) 状態機械格子におけるカウンタ動作
CN106294606B (zh) 一种基于通用读写框架的cae文件转换方法及装置
US11829311B2 (en) Custom compute cores in integrated circuit devices
CN116933697B (zh) 一种将自然语言转换为硬件描述语言的方法及装置
CN100373388C (zh) 一种快速生成逻辑电路的方法
US20080059928A1 (en) Assertion description conversion device, method and computer program product
US7546560B2 (en) Optimization of flip flop initialization structures with respect to design size and design closure effort from RTL to netlist
CN111400169A (zh) 一种自动化生成用于测试软硬件的网表文件的方法及系统
US8321825B2 (en) Method and system for synthesizing relative timing constraints on an integrated circuit design to facilitate timing verification
CN108228957A (zh) 加速门层级模拟的方法与设备
CN107784185B (zh) 一种门级网表中伪路径的提取方法、装置及终端设备
US7949509B2 (en) Method and tool for generating simulation case for IC device
US6952817B1 (en) Generating hardware interfaces for designs specified in a high level language
WO2021031110A1 (zh) 配置文件生成方法及装置、存储介质
CN116301775A (zh) 基于复位树原型图的代码生成方法、装置、设备及介质
CN115688643A (zh) 仿真逻辑系统设计的方法、设备及存储介质
CN112965909B (zh) 测试数据、测试用例生成方法及系统、存储介质
US20060271515A1 (en) Systems, methods, and media for reconstructing data from simulation models
CN117077603B (zh) 一种验证方法、芯片、系统、电子设备及可读存储介质
CN116842902B (zh) 针对黑盒模型的系统级仿真建模方法
CN117787160B (zh) 一种生成数字电路的硬件描述语言的方法及装置
US20030018460A1 (en) Method to preserve comments of circuit simulation text file
Chun et al. Verifying real-time systems using untimed model checking tools
Harrath et al. Timed SystemC Waiting–State Automata

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant
PE01 Entry into force of the registration of the contract for pledge of patent right
PE01 Entry into force of the registration of the contract for pledge of patent right

Denomination of invention: A method and device for converting natural language into hardware description language

Granted publication date: 20231208

Pledgee: China Construction Bank Corporation Shanghai Zhangjiang Branch

Pledgor: Shanghai xinlianxin Intelligent Technology Co.,Ltd.

Registration number: Y2024980005049