CN116930729A - 一种多芯片老化测试系统及方法 - Google Patents

一种多芯片老化测试系统及方法 Download PDF

Info

Publication number
CN116930729A
CN116930729A CN202311196558.7A CN202311196558A CN116930729A CN 116930729 A CN116930729 A CN 116930729A CN 202311196558 A CN202311196558 A CN 202311196558A CN 116930729 A CN116930729 A CN 116930729A
Authority
CN
China
Prior art keywords
aging
test
chip
module
burn
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202311196558.7A
Other languages
English (en)
Inventor
金永斌
王强
贺涛
丁宁
朱伟
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
FTdevice Technology Suzhou Co Ltd
Original Assignee
FTdevice Technology Suzhou Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by FTdevice Technology Suzhou Co Ltd filed Critical FTdevice Technology Suzhou Co Ltd
Priority to CN202311196558.7A priority Critical patent/CN116930729A/zh
Publication of CN116930729A publication Critical patent/CN116930729A/zh
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/2851Testing of integrated circuits [IC]
    • G01R31/2855Environmental, reliability or burn-in testing
    • G01R31/2872Environmental, reliability or burn-in testing related to electrical or environmental aspects, e.g. temperature, humidity, vibration, nuclear radiation
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/2851Testing of integrated circuits [IC]
    • G01R31/2855Environmental, reliability or burn-in testing
    • G01R31/2872Environmental, reliability or burn-in testing related to electrical or environmental aspects, e.g. temperature, humidity, vibration, nuclear radiation
    • G01R31/2874Environmental, reliability or burn-in testing related to electrical or environmental aspects, e.g. temperature, humidity, vibration, nuclear radiation related to temperature
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/2851Testing of integrated circuits [IC]
    • G01R31/2855Environmental, reliability or burn-in testing
    • G01R31/2872Environmental, reliability or burn-in testing related to electrical or environmental aspects, e.g. temperature, humidity, vibration, nuclear radiation
    • G01R31/2881Environmental, reliability or burn-in testing related to electrical or environmental aspects, e.g. temperature, humidity, vibration, nuclear radiation related to environmental aspects other than temperature, e.g. humidity or vibrations
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/2851Testing of integrated circuits [IC]
    • G01R31/2886Features relating to contacting the IC under test, e.g. probe heads; chucks
    • G01R31/2891Features relating to contacting the IC under test, e.g. probe heads; chucks related to sensing or controlling of force, position, temperature
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/2851Testing of integrated circuits [IC]
    • G01R31/2896Testing of IC packages; Test features related to IC packages

Landscapes

  • Engineering & Computer Science (AREA)
  • Environmental & Geological Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Testing Of Individual Semiconductor Devices (AREA)

Abstract

本发明公开了一种多芯片老化测试系统及方法,属于老化测试技术领域。本发明包括老化控制模块、环境监测模块和测试模块,在老化控制模块上选择芯片老化测试项目并确定环境约束条件,老化控制模块向环境监测模块发送环境监测指令;环境监测模块接收到环境监测指令后对芯片测试现场进行环境检测分析直至符合环境约束条件向老化控制模块进行反馈;老化控制模块接收到环境监测模块的反馈后向测试模块发送老化测试指令,测试模块接收到指令后进行老化测试,并将测试数据实时传输至老化控制模块进行老化分析进而生成多芯片老化报告。本发明通过对芯片的不同位置进行温度监测从而反映出芯片的老化过程,使多芯片老化测试更加精准。

Description

一种多芯片老化测试系统及方法
技术领域
本发明涉及半导体技术领域,具体为一种多芯片老化测试系统及方法。
背景技术
随着多芯片封装技术的发展,集成电路倾向整合包含由不同的供货商所提供的已知良好芯片(KGD)闪存、SRAM存储器及控制器等的多个存储芯片于同一个多芯片封装体(MCP)内, 以便降低IC产品的成本。但多芯片在封装完毕后可能存在一定的缺陷,若是将存在潜在缺陷的芯片被用在关键设备上,有可能发生故障,造成用户财产损失或者生命危险。因此需要对封装后的芯片进行老化测试,从而对封装芯片进行筛选。老化试验的目的就是在一定时间内,把芯片置于一定的温度下,再施于特定的电压,加速芯片老化,使芯片可靠性提前度过早期失效期,直接到达故障偶发期,从而保证芯片的可靠性。
现有的芯片老化测试是通过电阻进行测试,在多芯片进行老化测试的时候则需要多个电阻进行测试,利用电阻进行老化测试会容易受到电磁干扰,使得多芯片老化只能在失效末期观测得到,由于多芯片的封装工艺、布局设计等会使得多芯片发生非均匀性老化,使得多芯片内部非均匀老化过程难以监测,同时也需要对早期的老化机理进行研究,才能得到更为精准的多芯片老化评估方案。
发明内容
本发明的目的在于提供一种多芯片老化测试系统及方法,以解决上述背景技术中提出的对多芯片非均匀老化测试的监测不够精准的问题。
为了解决上述技术问题,本发明提供如下技术方案:一种多芯片老化测试系统,包括:
老化控制模块,属于总控制中心,包括老化模式单元、环境约束单元、老化进程控制单元、老化分析单元,用于在老化模式单元选择老化测试项目,向环境约束单元发送老化测试项目指令,环境约束单元接收老化测试项目指令后确定环境约束条件、向环境监测模块发送环境监测指令并接收环境监测数据,根据环境监测数据向对应的测试模块发送老化测试指令,所述老化进程控制单元控制多芯片的测试进程,所述老化分析单元用于对多芯片老化测试中的监测数据进行分析,生成多芯片老化报告;
环境监测模块,用于在多芯片测试前对测试现场进行环境监测以满足多芯片测试要求;
测试模块,包括测温点分类单元和老化测试单元;测温点分类单元接收到老化测试指令后根据多芯片的测温点确定温度传感器数量及放置位置并对测温点进行分类,测温点分类结束后向老化测试单元发送开始测试指令,老化测试单元接收开始测试指令后对多芯片进行老化测试并实时监测老化过程。所述温度传感器可以为光纤布拉格光栅传感器。
所述环境约束条件是指多芯片测试时所需的环境包括温度条件、干燥程度、压力条件等。
根据上述技术方案,在所述老化模式单元选择的老化测试项目至少为1个,每个所述测试模块对应一种老化测试项目,在同一时间有多个相同和/或不同的所述测试模块在工作,使得可以同时多个多芯片进行多种老化项目测试,节省老化测试时间。
根据上述技术方案,所述老化进程控制单元用于记录测试时间并将测试模块监测到的老化状态与老化预期状态进行比较并判断测试时间是否在预测时间范围内;
若监测到的老化状态未达到老化预期状态,则老化测试继续执行;所述老化预期状态是指在多芯片在理论上处于偶然失效期范围内的老化状态;
若监测到的老化状态达到老化预期状态,且测试时间在预测时间范围内,则停止老化测试;
若监测到的老化状态达到老化预期状态,且测试时间不在预测时间范围内,则继续执行老化测试经过时间t后监测到的老化状态仍符合老化预期状态则停止老化测试;若经过时间t后监测到的老化状态不符合老化预期状态,则继续执行老化测试直至监测到的老化状态符合老化预期状态。
通过控制老化测试的进程能够在一定程度上避免因测试时间过短导致老化程度不明显不能,另一方面能够有效的避免因测试时间过长导致的资源浪费。
根据上述技术方案,所述多芯片组成模块包括焊接模块、压接模块、外壳等。所述测温点分类单元根据多芯片组成模块对所述多芯片的测温点进行分类,每种组成模块对应着一类测温点,在同一类测温点中将同一元器件的发射极与集电极对应的测温点看作一组。所述测温点为温度传感器测量的位置点,测温点布设在多芯片外部,且每个测温点都与多芯片内部的老化位置一一对应,由于不同的老化机理导致集电极和发射极的温度响应不同,致使发射极与集电极的变化趋势不同,因此可以通过对每组测温点温度的变化趋势判断老化机理。如在压接模块的发射极或集电极对应的壳外位置处布设温度传感器。
根据上述技术方案,所述老化测试单元包括对每组测温点进行温度监测并实时生成对应的温度文件。
根据上述技术方案,所述老化分析单元利用统计分析方法分析将获取到的每组测温点的温度文件进行集电极变化趋势和发射极变化趋势分析从而判断老化机理,基于每组的老化机理和电热特性,构建多芯片老化分布数字孪生模型并进行多芯片老化分析。
所述多芯片老化分析包括但不限于有限元仿真分析、数字孪生老化分析、寿命分析;
所述数字孪生老化分析步骤包括:首先根据芯片的物理数据(组成模块、芯片数量、连接方式等)构建多芯片的三维立体模型;将测温点对应的多芯片内部位置在多芯片的三维立体模型中进行标记;根据温度文件中数据的变化趋势确定该温度点的老化机理,并利用二阶神经网络对每个温度点对应的温度文件进行学习,分析每个温度点对应的温度数据中的规律,结合多芯片的三维立体模型的可视化特点,根据每个温度点的温度文件和其规律对多芯片的老化过程进行复现。
所述有限元仿真分析是通过建立多芯片三维模型,将测得的温度数据、CT扫描结果在多芯片三维模型中进行映射模拟,分析老化过程;
所述寿命分析是首先根据测温点对芯片内部的某一个位置进行单独的老化分析,然后计算该位置对应的寿命,即对多芯片内部的所有老化位置进行寿命计算,然后对是所有老化位置的寿命进行两两差值计算,根据所有的差值结果计算整体的多芯片的寿命。
一种多芯片老化测试方法,采取上述多芯片老化测试系统进行测试,步骤包括:
在老化控制模块上选择芯片老化测试项目并确定环境约束条件,老化控制模块向环境监测模块发送环境监测指令;
环境监测模块接收到环境监测指令后对芯片测试现场进行环境检测分析直至符合环境约束条件向老化控制模块进行反馈;
老化控制模块接收到环境监测模块的反馈后向测试模块发送老化测试指令,测试模块接收到指令后进行老化测试,并将测试数据实时传输至老化控制模块进行老化分析进而生成多芯片老化报告。
与现有技术相比,本发明所达到的有益效果是:本发明在芯片测试前对芯片的测试环境进行严格的约束,使芯片老化测试的环境更贴合芯片老化的实际环境,在芯片测试过程通过考虑不同的老化机理导致集电极和发射极的温度响应不同,致使发射极与集电极的变化趋势不同,通过对芯片的不同位置进行温度监测从而反映出芯片的老化过程,并通过数字孪生对整体的芯片老化过程进行分析,从而实现对多芯片非均匀老化的测试及分析。
附图说明
附图用来提供对本发明的进一步理解,并且构成说明书的一部分,与本发明的实施例一起用于解释本发明,并不构成对本发明的限制。在附图中:
图1是本发明一种多芯片老化测试系统模块组成示意图;
图2是本发明一种多芯片老化测试方法步骤流程图。
具体实施方式
下面将结合本发明实施例中的附图,对本发明实施例中的技术方案进行清楚、完整地描述,显然,所描述的实施例仅仅是本发明一部分实施例,而不是全部的实施例。基于本发明中的实施例,本领域普通技术人员在没有做出创造性劳动前提下所获得的所有其他实施例,都属于本发明保护的范围。
本发明提供技术方案,一种多芯片老化测试系统,包括:
老化控制模块,属于总控制中心,包括老化模式单元、环境约束单元、老化进程控制单元、老化分析单元,用于在老化模式单元选择老化测试项目,向环境约束单元发送老化测试项目指令,环境约束单元接收老化测试项目指令后确定环境约束条件、向环境监测模块发送环境监测指令并接收环境监测数据,根据环境监测数据向对应的测试模块发送老化测试指令,所述老化进程控制单元控制多芯片的测试进程,所述老化分析单元用于对多芯片老化测试中的监测数据进行分析,生成多芯片老化报告;
其中,在老化模式单元选择的老化测试项目至少为1个,每个测试模块对应一种老化测试项目,在同一时间有多个相同和/或不同的所述测试模块在工作。
老化进程控制单元用于记录测试时间并将测试模块监测到的老化状态与老化预期状态进行比较并判断测试时间是否在预测时间范围内;
老化分析单元利用统计分析方法分析将获取到的每组测温点的温度文件进行集电极变化趋势和发射极变化趋势分析从而判断老化机理,基于每组的老化机理和电热特性,构建多芯片老化分布数字孪生模型并进行多芯片老化分析。
环境监测模块,用于在多芯片测试前对测试现场进行环境监测以满足多芯片测试要求;
测试模块,包括测温点分类单元和老化测试单元;测温点分类单元接收到老化测试指令后根据多芯片的测温点确定温度传感器数量及放置位置并对测温点进行分类,测温点分类结束后向老化测试单元发送开始测试指令,具体为根据多芯片组成模块对所述多芯片的测温点进行分类,每种组成模块对应着一类测温点,在同一类测温点中将同一元器件的发射极与集电极对应的测温点看作一组。
老化测试单元接收开始测试指令后对多芯片进行老化测试并实时监测老化过程,老化测试单元包括对每组测温点进行温度监测并实时生成对应的温度文件。
另一实施例,一种多芯片老化测试方法,步骤包括:
S1、在老化控制模块上选择芯片老化测试项目并确定环境约束条件,老化控制模块向环境监测模块发送环境监测指令;
S2、环境监测模块接收到环境监测指令后对芯片测试现场进行环境检测分析直至符合环境约束条件向老化控制模块进行反馈;
S3、老化控制模块接收到环境监测模块的反馈后向测试模块发送老化测试指令,测试模块接收到指令后进行老化测试,并将测试数据实时传输至老化控制模块进行老化分析进而生成多芯片老化报告。
需要说明的是,在本文中,诸如第一和第二等之类的关系术语仅仅用来将一个实体或者操作与另一个实体或操作区分开来,而不一定要求或者暗示这些实体或操作之间存在任何这种实际的关系或者顺序。而且,术语“包括”、“包含”或者其任何其他变体意在涵盖非排他性的包含,从而使得包括一系列要素的过程、方法、物品或者设备不仅包括那些要素,而且还包括没有明确列出的其他要素,或者是还包括为这种过程、方法、物品或者设备所固有的要素。
最后应说明的是:以上所述仅为本发明的优选实施例而已,并不用于限制本发明,尽管参照前述实施例对本发明进行了详细的说明,对于本领域的技术人员来说,其依然可以对前述各实施例所记载的技术方案进行修改,或者对其中部分技术特征进行等同替换。凡在本发明的精神和原则之内,所作的任何修改、等同替换、改进等,均应包含在本发明的保护范围之内。

Claims (7)

1.一种多芯片老化测试系统,其特征在于,包括:
老化控制模块,属于总控制中心,包括老化模式单元、环境约束单元、老化进程控制单元、老化分析单元,用于在老化模式单元选择老化测试项目,向环境约束单元发送老化测试项目指令,环境约束单元接收老化测试项目指令后确定环境约束条件、向环境监测模块发送环境监测指令并接收环境监测数据,根据环境监测数据向对应的测试模块发送老化测试指令,所述老化进程控制单元控制多芯片的测试进程,所述老化分析单元用于对多芯片老化测试中的监测数据进行分析,生成多芯片老化报告;
环境监测模块,用于在多芯片测试前对测试现场进行环境监测以满足多芯片测试要求;
测试模块,包括测温点分类单元和老化测试单元;测温点分类单元接收到老化测试指令后根据多芯片的测温点确定温度传感器数量及放置位置并对测温点进行分类,测温点分类结束后向老化测试单元发送开始测试指令,老化测试单元接收开始测试指令后对多芯片进行老化测试并实时监测老化过程。
2.根据权利要求1所述的一种多芯片老化测试系统,其特征在于,在所述老化模式单元选择的老化测试项目至少为1个,每个所述测试模块对应一种老化测试项目,在同一时间有多个相同和/或不同的所述测试模块在工作。
3.根据权利要求1所述的一种多芯片老化测试系统,其特征在于,所述老化进程控制单元用于记录测试时间并将测试模块监测到的老化状态与老化预期状态进行比较并判断测试时间是否在预测时间范围内;
若监测到的老化状态未达到老化预期状态,则老化测试继续执行;
若监测到的老化状态达到老化预期状态,且测试时间在预测时间范围内,则停止老化测试;
若监测到的老化状态达到老化预期状态,且测试时间不在预测时间范围内,则继续执行老化测试经过时间t后监测到的老化状态仍符合老化预期状态则停止老化测试;若经过时间t后监测到的老化状态不符合老化预期状态,则继续执行老化测试直至监测到的老化状态符合老化预期状态。
4.根据权利要求1所述的一种多芯片老化测试系统,其特征在于,所述测温点分类单元根据多芯片组成模块对所述多芯片的测温点进行分类,每种组成模块对应着一类测温点,在同一类测温点中将同一元器件的发射极与集电极对应的测温点看作一组。
5.根据权利要求1所述的一种多芯片老化测试系统,其特征在于,所述老化测试单元包括对每组测温点进行温度监测并实时生成对应的温度文件。
6.根据权利要求1所述的一种多芯片老化测试系统,其特征在于,所述老化分析单元利用统计分析方法分析将获取到的每组测温点的温度文件进行集电极变化趋势和发射极变化趋势分析从而判断老化机理,基于每组的老化机理和电热特性,构建多芯片老化分布数字孪生模型并进行多芯片老化分析。
7.一种多芯片老化测试方法,其特征在于,采取权利要求1-6任意一项所述的多芯片老化测试系统进行测试,步骤包括:
在老化控制模块上选择芯片老化测试项目并确定环境约束条件,老化控制模块向环境监测模块发送环境监测指令;
环境监测模块接收到环境监测指令后对芯片测试现场进行环境检测分析直至符合环境约束条件向老化控制模块进行反馈;
老化控制模块接收到环境监测模块的反馈后向测试模块发送老化测试指令,测试模块接收到指令后进行老化测试,并将测试数据实时传输至老化控制模块进行老化分析进而生成多芯片老化报告。
CN202311196558.7A 2023-09-18 2023-09-18 一种多芯片老化测试系统及方法 Pending CN116930729A (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202311196558.7A CN116930729A (zh) 2023-09-18 2023-09-18 一种多芯片老化测试系统及方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202311196558.7A CN116930729A (zh) 2023-09-18 2023-09-18 一种多芯片老化测试系统及方法

Publications (1)

Publication Number Publication Date
CN116930729A true CN116930729A (zh) 2023-10-24

Family

ID=88390032

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202311196558.7A Pending CN116930729A (zh) 2023-09-18 2023-09-18 一种多芯片老化测试系统及方法

Country Status (1)

Country Link
CN (1) CN116930729A (zh)

Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104535857A (zh) * 2014-12-18 2015-04-22 青岛歌尔声学科技有限公司 一种在线老化测试系统和方法
CN105910730A (zh) * 2016-05-10 2016-08-31 浙江大学 一种大功率igbt模块运行结温的在线检测系统及其检测方法
CN106443405A (zh) * 2016-05-13 2017-02-22 重庆大学 多igbt模块综合老化特征量测量装置
CN107315138A (zh) * 2016-04-21 2017-11-03 深圳市智鼎自动化技术有限公司 功率mosfet的故障预测和健康处理方法及测试系统
CN108152697A (zh) * 2017-12-27 2018-06-12 江苏中科君芯科技有限公司 Igbt模块功率循环老化试验装置及方法
CN108919085A (zh) * 2018-10-17 2018-11-30 北京交通大学 Igbt老化测试电路及方法
CN110716126A (zh) * 2019-10-14 2020-01-21 珠海亿智电子科技有限公司 芯片老化测试系统、方法及装置
CN111125947A (zh) * 2019-12-02 2020-05-08 广东电网有限责任公司 一种压接型igbt热网络模型建模方法和相关装置
CN111751699A (zh) * 2020-08-31 2020-10-09 武汉精鸿电子技术有限公司 半导体存储器老化测试系统、测试方法及开发方法
CN212159989U (zh) * 2020-02-26 2020-12-15 云南电网有限责任公司昭通供电局 一种现场igbt检测装置
CN112327124A (zh) * 2020-09-30 2021-02-05 河海大学 一种监测igbt模块热疲劳老化的方法及不均匀老化的方法
CN112505519A (zh) * 2020-11-23 2021-03-16 赖俊生 一种监测及确认半导体器件老化状态的方法、装置及计算机可读存储介质
CN114137385A (zh) * 2021-10-19 2022-03-04 深圳市紫光同创电子有限公司 芯片老化测试设备及方法
CN114487614A (zh) * 2022-02-16 2022-05-13 天津理工大学 一种基于电流能量考虑逆变器功率器件老化状态的在线监测方法
CN115358104A (zh) * 2022-05-22 2022-11-18 北京化工大学 一种使用数字孪生方法的igbt模块健康监测方法
CN116702675A (zh) * 2023-05-31 2023-09-05 深蓝汽车科技有限公司 功率模块结温估算方法、装置、电子设备及存储介质

Patent Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104535857A (zh) * 2014-12-18 2015-04-22 青岛歌尔声学科技有限公司 一种在线老化测试系统和方法
CN107315138A (zh) * 2016-04-21 2017-11-03 深圳市智鼎自动化技术有限公司 功率mosfet的故障预测和健康处理方法及测试系统
CN105910730A (zh) * 2016-05-10 2016-08-31 浙江大学 一种大功率igbt模块运行结温的在线检测系统及其检测方法
CN106443405A (zh) * 2016-05-13 2017-02-22 重庆大学 多igbt模块综合老化特征量测量装置
CN108152697A (zh) * 2017-12-27 2018-06-12 江苏中科君芯科技有限公司 Igbt模块功率循环老化试验装置及方法
CN108919085A (zh) * 2018-10-17 2018-11-30 北京交通大学 Igbt老化测试电路及方法
CN110716126A (zh) * 2019-10-14 2020-01-21 珠海亿智电子科技有限公司 芯片老化测试系统、方法及装置
CN111125947A (zh) * 2019-12-02 2020-05-08 广东电网有限责任公司 一种压接型igbt热网络模型建模方法和相关装置
CN212159989U (zh) * 2020-02-26 2020-12-15 云南电网有限责任公司昭通供电局 一种现场igbt检测装置
CN111751699A (zh) * 2020-08-31 2020-10-09 武汉精鸿电子技术有限公司 半导体存储器老化测试系统、测试方法及开发方法
CN112327124A (zh) * 2020-09-30 2021-02-05 河海大学 一种监测igbt模块热疲劳老化的方法及不均匀老化的方法
CN112505519A (zh) * 2020-11-23 2021-03-16 赖俊生 一种监测及确认半导体器件老化状态的方法、装置及计算机可读存储介质
CN114137385A (zh) * 2021-10-19 2022-03-04 深圳市紫光同创电子有限公司 芯片老化测试设备及方法
CN114487614A (zh) * 2022-02-16 2022-05-13 天津理工大学 一种基于电流能量考虑逆变器功率器件老化状态的在线监测方法
CN115358104A (zh) * 2022-05-22 2022-11-18 北京化工大学 一种使用数字孪生方法的igbt模块健康监测方法
CN116702675A (zh) * 2023-05-31 2023-09-05 深蓝汽车科技有限公司 功率模块结温估算方法、装置、电子设备及存储介质

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
张健 等: "压接型高压IGBT门极驱动信号演化规律及失效形式研究", 《高压电器》, vol. 59, no. 1, pages 43 - 48 *
耿学锋 等: "IGBT多物理场建模技术与应用研究概述", 《中国电机工程学报》, vol. 42, no. 1, pages 271 - 289 *

Similar Documents

Publication Publication Date Title
KR100216066B1 (ko) 반도체 집적회로 소자 검사공정 제어 시스템 및 제어방법
US8594826B2 (en) Method and system for evaluating a machine tool operating characteristics
US5381417A (en) Circuit testing system
EP0407029B1 (en) Method for a below a minute burn-in
US8949767B2 (en) Reliability evaluation and system fail warning methods using on chip parametric monitors
TWI757437B (zh) 檢查系統、以及檢查系統之故障解析及預測方法
KR101685780B1 (ko) 테스트 셀 컨트롤러의 결정 및 실행 트리의 생성 및 스케줄링
CN104006895A (zh) 传感器诊断改进的过程温度变送器
KR101829956B1 (ko) Spc 룰 결정 트리의 생성, 정의 및 실행을 위한 알고리즘 및 구조
CN111400850A (zh) 设备故障分析方法、装置、设备和存储介质
CN209000871U (zh) 一种晶圆测试系统
US10725098B2 (en) System and method for efficient electrostatic discharge testing and analysis
CN107907764B (zh) 一种适用于智能仪表智能特性验证的检测方法和系统
CN116930729A (zh) 一种多芯片老化测试系统及方法
CN111190092A (zh) 一种fpga测试质量控制优化系统
CN116186976A (zh) 装备平台传感器采集数据准确度验证方法及验证系统
KR101736230B1 (ko) 결함검출률 정량화 시스템 및 방법
CN103293405B (zh) 用于测量压接质量的方法和系统
US20240053398A1 (en) Composite testing machine and method for using composite testing machine
CN115684869A (zh) 一种基于电源管理的芯片测试系统及方法
US8103476B2 (en) Abnormal simulation signal analysis methods and abnormal signal simulation analysis module for 4˜20mA instrumental system
KR102269641B1 (ko) 입출력모듈 점검 시스템
CN111831862A (zh) 高质量绝缘评估系统
CN108427086A (zh) 一种电子类设备的自动计量测试系统及方法
US20230384365A1 (en) Wafer test system and operating method thereof

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination