CN116888536A - Halogen-and aliphatic-containing organotin photoresist and method thereof - Google Patents

Halogen-and aliphatic-containing organotin photoresist and method thereof Download PDF

Info

Publication number
CN116888536A
CN116888536A CN202280016742.6A CN202280016742A CN116888536A CN 116888536 A CN116888536 A CN 116888536A CN 202280016742 A CN202280016742 A CN 202280016742A CN 116888536 A CN116888536 A CN 116888536A
Authority
CN
China
Prior art keywords
metal
film
optionally substituted
containing precursor
ligand
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202280016742.6A
Other languages
Chinese (zh)
Inventor
蒂莫西·威廉·威德曼
埃里克·卡尔文·汉森
吴呈昊
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN116888536A publication Critical patent/CN116888536A/en
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • G03F7/0043Chalcogenides; Silicon, germanium, arsenic or derivatives thereof; Metals, oxides or alloys thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/095Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having more than one photosensitive layer
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/167Coating processes; Apparatus therefor from the gas phase, by plasma deposition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • G03F7/2006Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light using coherent light; using polarised light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/36Imagewise removal not covered by groups G03F7/30 - G03F7/34, e.g. using gas streams, using plasma

Abstract

The present disclosure relates to a method for manufacturing a semiconductor device comprising C 1‑4 Halogenated aliphatic or C 1‑4 Aliphatic or vinyl groups (-ch=ch) 2 ) And other unsaturated substituents, and methods of forming and using such compositions. In particular embodiments, the halogenated aliphatic group is C 1‑2 Haloalkyl, which in turn provides a resist film with enhanced radiation absorptivity and/or minimal film shrinkage (e.g., upon radiation exposure and/or post exposure bake). In other embodiments, the aliphatic group is C 1‑2 Alkyl or vinyl and other unsaturated substituents, which can be dry deposited. In non-limiting embodiments, the radiation may include Extreme Ultraviolet (EUV) or Deep Ultraviolet (DUV) radiation.

Description

Halogen-and aliphatic-containing organotin photoresist and method thereof
Incorporated by reference
PCT application forms are filed concurrently with the present specification as part of the present application. Each application for which the application as identified in the concurrently filed PCT application forms claims the benefit or priority thereof is hereby incorporated by reference in its entirety and for all purposes.
Technical Field
The application relates to a method for preparing a C-type alloy 1-4 Halogenated aliphatic or C 1-4 Aliphatic or vinyl groups (-ch=ch) 2 ) And other unsaturated substituents, and methods of forming and using such compositions. In particular embodiments, the halogenated aliphatic group is C 1-2 Haloalkyl, which in turn provides a resist film with enhanced radiation absorptivity and/or minimal film shrinkage (e.g., upon radiation exposure and/or post exposure bake). In other embodiments, the aliphatic group is C 1-2 Alkyl or vinyl and other unsaturated substituents, which can be dry deposited. In non-limiting embodiments, the radiation may include Extreme Ultraviolet (EUV) or Deep Ultraviolet (DUV) radiation.
Background
The background description provided herein is for the purpose of generally presenting the context of the disclosure. Work of the presently named inventors, to the extent it is described in this background section, as well as aspects of the description that may not otherwise qualify as prior art at the time of filing, are neither expressly nor impliedly admitted as prior art against the present disclosure.
Thin film patterning in semiconductor processing is often an important step in semiconductor fabrication. Patterning involves photolithography. In conventional lithographic techniques (e.g., 193nm lithography), a pattern is printed by: photons are emitted from the photon source onto the mask and the pattern is printed onto the photosensitive photoresist, thereby causing a chemical reaction in the photoresist that, after development, removes portions of the photoresist to form the pattern.
Advanced technology nodes (as defined by the international semiconductor technology development blueprint (International Technology Roadmap for Semiconductors)) include 22nm, 16nm, and other nodes. In a 16nm node, for example, the width of a typical via or line in a damascene structure is typically no greater than about 30nm. Scaling of features on advanced semiconductor Integrated Circuits (ICs) and other devices is driving photolithography techniques to improve resolution.
Extreme Ultraviolet (EUV) lithography can extend the lithography technology by moving to smaller imaging source wavelengths than can be achieved with conventional lithography methods. EUV light sources of about 10-20nm, or 11-14nm wavelength (e.g., 13.5nm wavelength) may be used in a tip lithography tool (also referred to as a scanner). EUV radiation is strongly absorbed in many solid and fluid materials (including quartz, air and water vapor), and therefore operates in vacuum.
Disclosure of Invention
The invention relates to the use of a catalyst having C 1-4 Halogenated aliphatic or C 1-4 Aliphatic or C 2 Precursors of (vinyl) and other unsaturated substituents. In particular embodiments, the halogenated aliphatic groups provide a haloalkyl group within the deposited film, wherein the halogen atoms may provide enhanced radiation absorbance and reactivity (as compared to hydrogen atoms present in non-halogenated alkyl groups).
Such halogenated aliphatic and aliphatic groups may also be characterized as relatively small, e.g., as C 1-2 Haloalkyl or C 1-2 An alkyl group. The use of such groups can lead to higher film packing, which can be characterized by increased film density (compared to the use of precursors with bulky alkyl groups, e.g., branched C 4 T-butyl). In some implementations, such small groups may facilitate full dry processes (e.g., dry deposition, dry development, and dry etching) due to the high volatility of the precursors and etch byproducts. In particular, the use of a dry process may eliminate the need for the resist film to be soluble (e.g., in a solvent-based development process).
Furthermore, the use of such halogenated aliphatic and aliphatic groups may provide reduced film shrinkage upon radiation exposure or post exposure bake. For example, upon exposure to radiation and/or heat, such halogenated aliphatic or aliphatic groups are typically cleaved or reacted, thus providing an increased contrast in material properties between exposed and unexposed regions. Cleavage of such groups can create voids within the film which in turn can lead to radiation and bake induced shrinkage effects. By using relatively small halogenated aliphatic and aliphatic groups, such shrinkage effects can be minimized. Accordingly, the present invention includes the use of precursors having relatively small halo-aliphatic and aliphatic groups to provide films having the following advantages: enhanced radiation sensitivity, improved patterning quality (e.g., with improved line width-roughness (LWR) and/or line edge roughness LER)), increased film density, reduced dose-to-top dimension (DtS), and/or minimized film shrinkage upon exposure to radiation, heat, or other post-patterning processes (e.g., etching).
In a first aspect, the invention includes a patterned radiation-sensitive film comprising an organometallic-oxygen (oxo) material. In some embodiments, the material comprises a metal, oxygen, and C 1-4 Halogenated aliphatic or C 1-4 Aliphatic series. In other embodiments, the C 1-4 Aliphatic is selected from C 1-2 Alkyl, C 2-4 Alkenyl and C 2-4 Alkynyl groups. In still other embodiments, the C 1-4 The halogenated aliphatic group being selected from C 1-4 Haloalkyl, C 2-4 Haloalkenyl and C 2-4 Haloalkynyl. In some embodiments, the material is a haloalkyl metal-oxygen (haloalkyl-oxy) material or C 1-2 Metal alkyl-oxygen (C) 1- 2 alkymetal-oxo) material. In a particular embodiment, the metal is tin (Sn).
In some embodiments, C 1-4 Haloalkyl includes halogen substituted methyl groups including one, two or three halogen substitutions (e.g., fluoromethyl, difluoromethyl, trifluoromethyl, chloromethyl, dichloromethyl, trichloromethyl, bromomethyl, dibromomethyl, tribromomethyl, iodomethyl, diiodomethyl, triiodomethyl, bromofluoromethyl, chlorofluoromethyl, fluoroiodomethyl and the like). In which it is arrangedIn other embodiments, C 1-2 Haloalkyl includes-CX y H 3-y Wherein y is 1, 2 or 3, and wherein each X is independently halogen (F, cl, br or I).
In some embodiments, C 1-4 Haloalkyl includes β -halogen substituted ethyl including one, two or three halogen substitutions (e.g., 2-fluoroethyl, 2-chloroethyl, 2-bromoethyl, 2-iodoethyl, 2-difluoroethyl, 2-dichloroethyl, 2-dibromoethyl, 2-diiodoethyl, 2-fluoroiodoethyl, and the like). In other embodiments, C 1-2 Haloalkyl includes-CH 2 CX y H 3-y Wherein y is 1, 2 or 3; and wherein each X is independently halogen (F, cl, br or I). In still other embodiments, C 1-2 Haloalkyl includes-CX z H 2-z CX y H 3-y Wherein z is 0, 1, or 2; wherein y is 0, 1, 2, or 3; and wherein each X is independently halogen (F, cl, br or I), wherein at least one of z or y is other than 0.
In some embodiments, C 1-4 Halogenated aliphatic groups include one or more fluoro or iodo substitutions. Without wishing to be limited by the mechanism, films with such fluorine and iodine substitution may be processed by dry processes, including dry deposition, dry development, and/or dry etching. In particular embodiments, the films are deposited by using volatile precursors with such fluorine and iodine substitution, thus allowing dry deposition of the precursors in the vapor phase. Optionally, such films may be dry developed, for example, to provide a negative resist, wherein upon exposure to a dry development chemistry (e.g., a vapor-based etchant chemistry, as any of the herein described), the radiation-exposed regions provide non-volatile material, while the radiation-unexposed regions provide volatile byproducts. In this way, the etchant in the vapor phase can be used to react with the unexposed film to provide volatile byproducts that can also be removed in its vapor form. Such halogenated aliphatic groups may be present in any useful form within the radiation exposed region. In one example, the halogenated aliphatic group may form a bridge between metal atoms (e.g., M-Ak-M, where Ak is a halogenated alkylene group, M is a metal, such as tin). In another example, halogen atoms from the halogenated aliphatic group may be removed (e.g., upon exposure to radiation), wherein the halogen atoms may be incorporated into the film.
In other embodiments, C 1-4 The aliphatic is selected from methyl, ethyl, vinyl, ethynyl, propenyl, and propargyl. Without wishing to be bound by a mechanism, unsaturated aliphatic groups (e.g., C 2-4 Alkenyl or C 2-4 Alkynyl) may be present in the membrane in any useful manner. In particular embodiments, the unsaturated groups can undergo photo-induced polymerization (e.g., upon exposure to radiation), thereby forming inter-ligand (inter-ligand) bonds between the unsaturated groups. In other embodiments, the unsaturated groups can form aliphatic bridges between metal atoms (e.g., M-Ak-M, where Ak is alkylene and M is a metal, such as tin).
In other embodiments, C 1-2 Alkyl includes methyl or ethyl. In still other embodiments, C 2-4 Alkenyl includes ethenyl, propenyl (e.g., 1-propenyl or 2-propenyl) or butenyl (e.g., 1-butenyl, 2-butenyl or 3-butenyl). In some embodiments, C 2-4 Alkynyl includes ethynyl, propynyl (e.g., 1-propynyl or 2-propynyl), or butynyl (e.g., 1-butynyl, 2-butynyl, or 3-butynyl).
In some embodiments, the membrane further comprises a vertical gradient extending from a top surface of the membrane to a lower portion of the membrane. In certain embodiments, the upper portion of the membrane (e.g., proximate the top surface of the membrane) comprises C 3-4 An alkyl group. In other embodiments, the lower portion (e.g., proximate to the bottom surface of the substrate or film) includes C 1-4 Halogenated aliphatic, C 1-2 Alkyl, C 2-4 Alkenyl, or C 2-4 Alkynyl groups.
In a second aspect, the invention includes a method of using a resist, the method comprising: depositing a metal-containing precursor and optionally a ligand-containing precursor on a surface of a substrate to provide a resist film, wherein the resist film comprises a metal, oxygen, and C 1-4 Halogenated aliphatic or C 1-4 Aliphatic series.
In some embodiments, C 1-4 The halogenated aliphatic group being selected from C 1-4 Haloalkyl, C 2-4 Haloalkenyl and C 2-4 Haloalkynyl. In other embodiments, C 1-4 Aliphatic is selected from C 1-2 Alkyl, C 2-4 Alkenyl and C 2-4 Alkynyl groups.
In some embodiments, the depositing includes providing the metal-containing precursor. In a particular embodiment, the metal-containing precursor is an organometallic precursor.
In some embodiments, the metal-containing precursor includes a structure having formula (I):
M a R b L c (I),
wherein: each M is independently a metal (e.g., tin (Sn)); each R is independently optionally substituted C 1-4 Halogenated aliphatic or optionally substituted C 1-4 Aliphatic series; each L is independently halogen, optionally substituted amino, optionally substituted alkoxy, optionally substituted bis (trialkylsilyl) amino, optionally substituted trialkylsilyl, a ligand reactive with the opposing reactants and/or with a ligand-containing precursor, ion or moiety; a is more than or equal to 1; b is more than or equal to 1; and c is more than or equal to 1. In certain embodiments, the opposing reactant is water vapor.
In other embodiments, the metal-containing precursor includes a structure having formula (Ia):
SnR b L 3-b (Ia),
wherein: each R is independently optionally substituted C 1-4 Halogenated aliphatic or optionally substituted C 1-4 Aliphatic series; each L is independently halogen, optionally substituted amino, optionally substituted alkoxy, or a ligand reactive with the opposing reactants and/or with a ligand-containing precursor; and b is 1 or 2. In certain embodiments, the opposing reactant is water vapor.
In some embodiments, the depositing includes providing a ligand-containing precursor, which may be provided simultaneously or sequentially with the metal-containing precursor. In one embodiment, the ligand-containing precursor establishes additional L groups on the metal center M present within the metal-containing precursor or within the resist film.
In some embodiments, the metal-containing precursor includes a structure having formula (III):
M a L c (III),
wherein: each M is independently a metal; each L is independently halogen, optionally substituted amino, optionally substituted alkoxy, optionally substituted bis (trialkylsilyl) amino, optionally substituted trialkylsilyl, a ligand reactive with the opposing reactants and/or with a ligand-containing precursor, ion or moiety; a is more than or equal to 1; and c is more than or equal to 1.
In other embodiments, the metal-containing precursor includes a structure having formula (IIIa):
SnL 2 (IIIa),
wherein: each L is independently halogen, optionally substituted amino, optionally substituted alkoxy, or a ligand reactive with the opposing reactants and/or with a ligand-containing precursor.
In some embodiments, the depositing includes providing a ligand-containing precursor, wherein the ligand-containing precursor establishes additional L groups and R groups on the metal center M present in the metal-containing precursor or the resist film. In certain embodiments, the metal-containing precursor comprises a structure having formula (III), and the ligand-containing precursor comprises a structure having formula (IV):
R f L g (IV),
wherein: each R is independently optionally substituted C 1-4 Halogenated aliphatic or C 1-4 Aliphatic series; each L is independently halogen, optionally substituted amino, optionally substituted alkoxy, optionally substituted bis (trialkylsilyl) amino, optionally substituted trialkylsilyl, a ligand reactive with the opposing reactants and/or with the metal-containing precursor, ion or moiety; f is more than or equal to 1; and g is more than or equal to 1.
In other embodiments, the ligand-containing precursor includes a structure having formula (IVa):
RL(IVa),
wherein: each R is independently optionally substituted C 1-4 Halogenated aliphatic orC 1-4 Aliphatic series; and each L is independently halogen, optionally substituted amino, optionally substituted alkoxy, optionally substituted bis (trialkylsilyl) amino, optionally substituted trialkylsilyl, a ligand reactive with the opposing reactants and/or with the metal-containing precursor, ion or moiety. In a particular embodiment, L is halogen. In other embodiments, L is alkoxy.
In other embodiments, the metal-containing precursor comprising formula (III) is reacted with a ligand-containing precursor comprising formula (IV) to provide a precursor comprising a structure having formula (I). In still other embodiments, the depositing comprises providing a metal-containing precursor comprising formula (III) and a ligand-containing precursor comprising formula (IV) simultaneously or sequentially.
In some embodiments, the depositing includes providing the metal-containing precursor and optionally the ligand-containing precursor in vapor form. In other embodiments, the depositing further comprises providing opposing reactants. The non-limiting relative reactants may include oxygen-containing relative reactants or chalcogenide-containing precursors. In certain embodiments, the opposing reactant is water vapor.
In other embodiments, the deposition of metal-containing precursor and ligand-containing precursor is performed in a sequential or alternating cyclic manner. In some embodiments, the depositing comprises: delivering a metal-containing precursor and an optional opposing reactant to a chamber configured to provide a substrate; a purge chamber to remove the metal-containing precursor and/or optional relative reactants; and delivering the ligand-containing precursor to the chamber, whereby forming a resist film, in certain embodiments, the delivering the metal-containing precursor, the purging, and the delivering the ligand-containing precursor may be performed one or more times.
In still other embodiments, the depositing further comprises providing a second metal-containing precursor to form an upper portion of the film, thereby providing a gradient film. In some embodiments, the second metal-containing precursor includes C deposited as an upper portion of the film 3-4 An alkyl group. In other embodiments, the first metal-containing precursor comprises C 1-4 Halogenated aliphatic, C 1-2 Alkyl, C 2-4 Alkenyl orC 2-4 Alkynyl, wherein the first metal-containing precursor is deposited as a lower portion of the film.
In further embodiments, the method may further comprise: patterning the resist film by exposure to patterning radiation to provide an exposed film having radiation-exposed and radiation-unexposed regions; and developing the exposed film to remove the radiation-exposed regions to provide a pattern in the positive resist film or to remove the radiation-unexposed regions to provide a pattern in the negative resist.
In some embodiments, the patterning includes EUV exposure in a vacuum environment having a wavelength in the range of about 10nm to about 20 nm.
In other embodiments, the developing comprises wet developing or dry developing. Non-limiting wet development may include water, acids, bases, ketones, esters, alcohols, ethers, or combinations thereof. In further embodiments, the wet development further comprises one or more surfactants. In other embodiments, the dry development includes a gaseous acid. In some embodiments, the developing includes removing the radiation-exposed regions to provide a pattern in the positive resist film. In other embodiments, the developing includes removing the radiation unexposed areas to provide a pattern in the negative resist.
In a third aspect, the present invention includes an apparatus for forming a resist film, the apparatus comprising: a deposition module and a controller including one or more memory devices, one or more processors, and system control software encoded with instructions comprising machine-readable instructions. In a particular embodiment, the deposition module includes a chamber for depositing a resist film (e.g., a patterned radiation-sensitive film, such as an EUV-sensitive film), wherein the chamber may be configured to house a semiconductor substrate.
In some implementations, the instructions include instructions for depositing a metal-containing precursor and optionally a ligand-containing precursor on a top surface of a semiconductor substrate (e.g., in a deposition module) to form a resist film, wherein the resist film includes a metal, oxygen, and C 1-4 Halogenated aliphatic or C 1-4 Aliphatic series. In certain embodiments, C 1-4 Aliphatic is selected from C 1-2 Alkyl group,C 2-4 Alkenyl and C 2-4 Alkynyl groups.
In some embodiments, the device further comprises: and patterning the module. In other embodiments, the patterning module includes a lithography tool having a wavelength radiation source of less than 300nm (e.g., wherein the source may be a wavelength radiation source of less than 30 nm). In some implementations, the instructions include machine readable instructions for patterning (e.g., in a patterning module) the resist film directly by patterning radiation exposure (e.g., by EUV exposure) with a resolution of less than 300nm (e.g., or with radiation at a wavelength of less than 30 nm) to thereby form an exposed film having radiation exposed regions and radiation unexposed regions. In other embodiments, the exposed film has an EUV exposed region and an EUV unexposed region.
In some embodiments, the device further comprises: and a developing module. In other embodiments, the development module includes a chamber for developing the resist film. In further embodiments, the instructions include machine readable instructions for developing the exposed film (e.g., in a development module) to remove radiation exposed or radiation unexposed areas to provide a pattern within the resist film. In a particular embodiment, the machine readable instructions include instructions for removing EUV exposed or EUV unexposed regions.
In some embodiments, the resist film comprises a gradient film. In certain embodiments, the gradient membrane may feature a vertical gradient membrane extending from a top surface of the membrane to a lower portion of the membrane. In one embodiment, the vertical gradient comprises C 1-4 Halogenated aliphatic, C 1-2 Alkyl, C 2-4 Alkenyl or C 2-4 Alkynyl groups increase from the top surface of the film toward the substrate. In this example, a denser or more EUV absorbing composition is provided in the lower portion of the membrane. In some embodiments, the vertical gradient includes having C 3-8 Alkyl (e.g., including metal, oxygen, and C 3-8 Organometallic-oxygen material of alkyl) and having C 1-4 Halogenated aliphatic, C 1-2 Alkyl, C 2-4 Alkenyl or C 2-4 Alkynyl groups (e.g., including metals, oxygen, and C 1-4 Halogenated aliphatic, C 1-2 Alkyl, C 2-4 Alkenyl or C 2-4 An organometallic-oxygen material of at least one of the alkynyl groups). In other embodiments, the vertical gradient includes having C 3-8 Upper portion of film of alkyl (e.g., organometallic-oxygen material including metal, oxygen, and isopropyl) and having C 1-4 Halogenated aliphatic, C 1-2 Alkyl, C 2-4 Alkenyl or C 2-4 Lower portions of films of alkynyl groups (e.g., organometallic-oxygen materials including metal, oxygen, and methyl or ethyl). In still other embodiments, the vertical gradient is characterized by a vertical change in EUV absorbance. In some examples, an increase in EUV absorbance along a depth (e.g., from a top surface of the film toward the substrate) may correspond to an increase in metal content, halogen content, or density along the same depth through the film layer.
In any of the embodiments herein, the patterned radiation-sensitive film (e.g., resist film) includes an Extreme Ultraviolet (EUV) sensitive film, a deep ultraviolet (EUV) sensitive film, a photoresist film, or a photopatternable film.
In any of the embodiments herein, the patterned radiation-sensitive film (e.g., resist film) includes an organometallic material or an organo-metallic oxide material.
In any of the embodiments herein, the patterned radiation-sensitive film (e.g., resist film) includes organotin oxide, or organotin oxide hydroxide.
In any of the embodiments herein, the patterned radiation-sensitive film (e.g., resist film) includes a metal, oxygen, and C 1-4 Halogenated aliphatic or C 1-4 Aliphatic series. In some embodiments, the metal in the film comprises tin (Sn). In other embodiments, C in the membrane 1-2 Halogenated aliphatic groups include halogen substituted methyl groups including one, two or three halogen substitutions; or beta-halogen substituted ethyl including one, two or three halogen substitutions. In still other embodiments, the film comprises a haloalkyl metal-oxygen material comprising metal-oxygen bonds and metal-C 1-4 Haloalkyl bond (e.g., metal-C 1-2 Haloalkyl bonds). In some embodiments, the film packageComprising alkyl metal-oxygen materials comprising metal-oxygen bonds and metal-C 1-2 Alkyl bonds or metal-C 2-4 Alkenyl bonds or metal-C 2-4 Network of alkynyl bonds. In other embodiments, C in the membrane 1-4 Aliphatic groups include methyl, ethyl, vinyl, ethynyl, propenyl, and propargyl.
In any of the embodiments herein, the patterned radiation-sensitive film (e.g., resist film) has a lower gel amount (dose-to-gel) than the film comprising metal, oxygen, and C 1-4 Aliphatic (e.g. C 1-4 Alkyl, C 2-4 Alkyl, or C 3-4 Alkyl) the amount of gelling agent of the non-halogenated film. In particular embodiments, the resist film is a halogenated film (as compared to a non-halogenated film), wherein the non-halogenated film includes the same number and type of metal and oxygen atoms as the halogenated film (e.g., any of the herein described, including halogenated aliphatic groups), except that the aliphatic groups present in the non-halogenated film are present as halogenated aliphatic groups in the halogenated film. In other embodiments, the resist film is a first non-halogenated film (as compared to a second non-halogenated film), wherein the first non-halogenated film includes the same number and type of metal and oxygen atoms as the second non-halogenated film, except that the aliphatic groups present in the first film have fewer carbon atoms than the aliphatic groups in the second film.
In any of the embodiments herein, the patterned radiation-sensitive film (e.g., resist film) comprises a dense film. In other embodiments, the film has a density of about 1.6 to 4.5g/cm 3.
In any of the embodiments herein, the patterned radiation-sensitive film (e.g., resist film) has a thickness of about 5-50nm (including about 15-25 nm).
In any of the embodiments herein, each R is independently optionally substituted C 1-4 Halogenated aliphatic or optionally substituted C 1-4 Aliphatic series. Non-limiting C 1-4 Halogenated aliphatic groups including C 1-4 Haloalkyl, optionally substituted C 2-4 Haloalkenyl, optionally substituted C 2-4 Haloalkynyl. Non-limiting C 1-4 Aliphatic includes optionally substituted C 1-2 Alkyl, optionally takenSubstituted C 2-4 Alkenyl and optionally substituted C 2-4 Alkynyl groups.
In any of the embodiments herein, each L is independently optionally substituted alkoxy. Non-limiting alkoxy groups include C 1-5 Or C 1-4 An alkoxy group.
In any of the embodiments herein, each L is independently optionally substituted amino. Non-limiting amino groups include C 1-5 Or C 1-4 A dialkylamino group.
In any of the embodiments herein (e.g., having the formula (I), (Ia), (II), (IIa), (III), (IIIa), (IV), (IVa), (V), (Va), (VI), (VII), (VIII), (IX), (X), (XI), or other formulas described herein), each L is independently halogen, optionally substituted amino, optionally substituted alkoxy, or a ligand reactive with the opposing reactant and/or with the ligand-containing precursor and/or with the metal-containing precursor.
In any of the embodiments herein, the metal-containing precursor includes a structure having the formula (I), (Ia), (III), (IIIa), (V), (Va), (VI), (VII), (VIII), (IX), (X), or (XI), as described herein.
In any of the embodiments herein, the ligand-containing precursor includes a structure having formula (II), (IIa), (IV) or (IVa), as described herein.
In any of the embodiments herein, a single metal-containing precursor is used with one or more ligand-containing precursors. In other embodiments, two, three, four or more different metal-containing precursors are employed in one or more ligand-containing precursors.
In any of the embodiments herein, a single metal-containing precursor is used with a single ligand-containing precursor. In other embodiments, a single metal-containing precursor is used with two, three, four, or more different ligand-containing precursors. In still other embodiments, two or more different metal-containing precursors are used with two or more different ligand-containing precursors.
In any of the embodiments herein, the depositing includes providing a metal-containing precursor and/or a ligand-containing precursor in a vapor phase. In other embodiments, the depositing includes providing a metal-containing precursor, a ligand-containing precursor, and/or a relative reactant in a vapor phase. Non-limiting deposition processes include Chemical Vapor Deposition (CVD), and Atomic Layer Deposition (ALD), molecular Layer Deposition (MLD), and plasma enhanced versions thereof.
In any of the embodiments herein, the depositing further comprises providing opposing reactants. Non-limiting relative reactants include oxygen-containing or chalcogenide-containing precursors, as well as any of the methods described herein (e.g., oxygen-containing relative reactants, including oxygen (O) 2 ) Ozone (O) 3 ) Water, peroxide, hydrogen peroxide, oxygen plasma, water plasma, alcohols, dihydric alcohols, polyhydric alcohols, fluorinated dihydric alcohols, fluorinated polyhydric alcohols, fluorinated glycols (fluorinated glycols), sources of formic acid and other hydroxyl moieties, and combinations thereof. Additional details are as follows.
Definition of the definition
"aliphatic" means having at least one carbon atom to 50 carbon atoms (C 1-50 ) (e.g. one to 25 carbon atoms (C 1-25 ) Or one to 10 carbon atoms (C 1-10 ) And which includes alkanes (or alkyl), alkenes (or alkenyl), alkynes (or alkynyl), including cyclic forms thereof, and further includes straight and branched chain arrangements, as well as all stereoisomers and positional isomers. Such hydrocarbons may be unsubstituted or substituted with one or more groups, such as those described herein for alkyl groups.
"alkenyl" means an optionally substituted C having one or more double bonds 2-24 An alkyl group. Alkenyl groups may be cyclic (e.g., C 3-24 Cycloalkenyl) or acyclic. Alkenyl groups may also be substituted or unsubstituted. For example, alkenyl groups may be substituted with one or more substituents as described herein for alkyl groups. Non-limiting unsubstituted alkenyl groups include C 2-8 Alkenyl, C 2-6 Alkenyl, C 2-5 Alkenyl, C 2-4 Alkenyl or C 2-3 Alkenyl groups. Exemplary non-limiting alkenyl groups include vinyl (vinyl or ethenyl, -ch=ch) 2 ) 1-propenyl (-ch=chch) 3 ) Allyl or 2-propenyl (-CH) 2 -CH=CH 2 ) 1-DingAlkenyl (-ch=chch) 2 CH 3 ) 2-butenyl (-CH) 2 CH=CHCH 3 ) 3-butenyl (e.g. -CH 2 CH 2 CH=CH 2 ) 2-butenylene (e.g. =ch-ch=chch 3 ) And the like.
"alkenylene" refers to alkenyl (which is an optionally substituted C having one or more double bonds 2-24 Alkyl). Alkenylene may be cyclic (e.g., C 3-24 Cycloalkenyl) or acyclic. Alkenylene groups may be substituted or unsubstituted. For example, alkenylene groups may be substituted with one or more substituents as described herein for alkyl groups. Exemplary non-limiting alkenylenes include-ch=ch-or-ch=chch 2 -。
"alkoxy" refers to-OR, wherein R is optionally substituted alkyl, as described herein. Exemplary alkoxy groups include methoxy, ethoxy, butoxy, trihaloalkoxy, such as trifluoromethoxy, and the like. Alkoxy groups may be substituted or unsubstituted. For example, an alkoxy group may be substituted with one or more substituents, as described herein for an alkyl group. Exemplary unsubstituted alkoxy groups include C 1-3 、C 1-6 、C 1-12 、C 1-16 、C 1-18 、C 1-20 Or C 1-24 An alkoxy group.
"alkyl" and the prefix "alkane" refer to branched or unbranched saturated hydrocarbon groups having 1 to 24 carbon atoms, such as methyl (Me), ethyl (Et), n-propyl (n-Pr or nPr), isopropyl (i-Pr or iPr), cyclopropyl, n-butyl (n-Bu or nBu), isobutyl (i-Bu or iBu), sec-butyl (s-Bu or sBu), tert-butyl (t-Bu or tBu), cyclobutyl, n-pentyl, isopentyl, sec-pentyl, neopentyl, hexyl, heptyl, octyl, nonyl, decyl, dodecyl, tetradecyl, hexadecyl, eicosyl, tetracosyl and the like. The alkyl group may be cyclic (e.g., C 3-24 Cycloalkyl) or acyclic. The alkyl group may be branched or unbranched. Alkyl groups may also be substituted or unsubstituted. For example, alkyl groups may include haloalkyl groups in which the alkyl groups are substituted with one or more halo groups, as described herein. In another exampleThe alkyl group may be substituted with one, two, three, or four (in the example of an alkyl group having two or more carbons) substituents independently selected from the group consisting of: (1) C (C) 1-6 Alkoxy (e.g., -O-Ak, wherein Ak is optionally substituted C) 1-6 An alkyl group); (2) Amino (e.g., -NR) N1 R N2 Wherein R is N1 And R is N2 Each of which is independently H or optionally substituted alkyl, or R N1 And R is R N2 Forms a heterocyclic group together with the nitrogen atom to which each is attached); (3) aryl; (4) Aralkoxy (e.g., -O-Lk-Ar, wherein Lk is a divalent form of optionally substituted alkyl, and Ar is optionally substituted aryl); (5) Aroyl (e.g., -C (O) -Ar, wherein Ar is optionally substituted aryl); (6) cyano (e.g., -CN); (7) a carboxyaldehyde group (e.g., -C (O) H); (8) Carboxyl groups (e.g. -CO) 2 H);(9)C 3-8 Cycloalkyl groups (e.g., monovalent saturated or unsaturated non-aromatic cyclic C 3-8 A hydrocarbon group); (10) halogen (e.g., F, cl, br or I); (11) Heterocyclyl (e.g., a 5, 6, or 7 membered ring containing one, two, three, or four non-carbon heteroatoms (e.g., nitrogen, oxygen, phosphorus, sulfur, or halogen), unless otherwise indicated; (12) Heteroepoxy groups (e.g., -O-Het, wherein Het is heterocyclyl, as described herein); (13) Heterocyclic acyl (e.g., -C (O) -Het, wherein Het is heterocyclyl, as described herein); (14) hydroxy (e.g., -OH); (15) an N-protected amino group; (16) Nitro (e.g. -NO) 2 ) The method comprises the steps of carrying out a first treatment on the surface of the (17) oxo (e.g., =o); (18) -CO 2 R A Wherein R is A Selected from the group consisting of (a) C 1-6 Alkyl, (b) C 4-18 Aryl, and (C) (C) 4-18 Aryl) C 1-6 Alkyl (e.g., -Lk-Ar, wherein Lk is a divalent form of optionally substituted alkyl, and Ar is optionally substituted aryl); (19) -C (O) NR B R C Wherein R is B And R is C Is independently selected from (a) hydrogen, (b) C 1-6 Alkyl, (C) C 4-18 Aryl, and (d) (C 4-18 Aryl) C 1-6 Alkyl (e.g., -Lk-Ar, where Lk is a divalent form of optionally substituted alkyl and Ar is optionally substituted aryl)Is a group of (3); (20) -NR G R H Wherein R is G And R is H Is independently selected from the group consisting of (a) hydrogen, (b) an N-protecting group, (C) C 1-6 Alkyl, (d) C 2-6 Alkenyl (e.g., optionally substituted alkyl having one or more double bonds), (e) C 2-6 Alkynyl (e.g., optionally substituted alkyl having one or more triple bonds), (f) C 4-18 Aryl, (g) (C 4-18 Aryl) C 1-6 Alkyl (e.g., lk-Ar, where Lk is a divalent form of optionally substituted alkyl, ar is optionally substituted aryl), (h) C 3-8 Cycloalkyl, and (i) (C) 3-8 Cycloalkyl) C 1-6 Alkyl (e.g., -Lk-Cy, where Lk is a divalent form of optionally substituted alkyl, and Cy is an optionally substituted cycloalkyl, as described herein), wherein in one embodiment no two groups are bonded to the nitrogen atom through a carbonyl group. The alkyl group may be a primary, secondary or tertiary alkyl group substituted with one or more substituents (e.g., one or more halogens or alkoxy groups). In some embodiments, unsubstituted alkyl is C 1-2 、C 1-3 、C 1-6 、C 1-12 、C 1-16 、C 1-18 、C 1-20 、C 1-24 、C 2-3 、C 2-6 、C 2-12 、C 2-16 、C 2-18 、C 2-20 Or C 2-24 An alkyl group.
"alkylene" refers to a multivalent (e.g., divalent) form of alkyl, as described herein. Exemplary alkylene groups include methylene, ethylene, propylene, butylene, and the like. In some embodiments, the alkylene is C 1-3 、C 1-6 、C 1-12 、C 1-16 、C 1-18 、C 1-20 、C 1-24 、C 2-3 、C 2-6 、C 2-12 、C 2-16 、C 2-18 、C 2-20 Or C 2-24 An alkylene group. The alkylene group may be branched or unbranched. The alkylene group may also be substituted or unsubstituted. For example, an alkylene group may be substituted with one or more substituents, as described herein for alkyl groups.
"alkyneBy "group" is meant an optionally substituted C having one or more triple bonds 2-24 An alkyl group. Alkynyl groups may be cyclic or acyclic, such as ethynyl, 1-propynyl, and the like. Alkynyl groups may also be substituted or unsubstituted. For example, an alkynyl group may be substituted with one or more substituents, as described herein for alkyl. Non-limiting unsubstituted alkynyl groups include C 2-8 Alkynyl, C 2-6 Alkynyl, C 2-5 Alkynyl, C 2-4 Alkynyl, or C 2-3 Alkynyl groups. Exemplary non-limiting alkynyl groups include ethynyl (-C.ident.CH), 1-propynyl (-C.ident.CCH) 3 ) 2-propynyl or propargyl (-CH) 2 C.ident.CH), 1-butynyl (-C.ident.CCH) 2 CH 3 ) 2-butynyl (-CH) 2 C≡CCH 3 ) 3-butynyl (-CH) 2 CH 2 C≡ch) and the like.
"alkynylene" refers to an alkynyl group (which is an optionally substituted C with one or more triple bonds 2-24 Alkyl). Alkynylene groups may be cyclic or acyclic. Alkynylene groups may be substituted or unsubstituted. For example, an alkynylene group may be substituted with one or more substituents, as described herein for an alkyl group. Exemplary non-limiting alkynylene groups include-C.ident.C-or-C.ident.CCH 2 -。
"amino" means-NR N1 R N2 Wherein R is N1 And R is N2 Each independently is H, optionally substituted alkyl or optionally substituted aryl, or R N1 And R is R N2 Together with the nitrogen atom to which each is attached, form a heterocyclic group as defined herein.
"aminoalkyl" refers to an alkyl group as defined herein substituted with an amino group as defined herein.
"aminoaryl" refers to an aryl group as defined herein substituted with an amino group as defined herein.
"aryl" refers to a group containing any carbon-based aromatic group including, but not limited to, phenyl (phenyl), benzyl (benzoyl), anthracenyl (anthracenyl), benzocyclobutenyl (benzocyclobutenyl), benzocyclooctenyl (benzocyclooctenyl), biphenyl (b)iphenyl), sucryl (chrysenyl), indanyl (dihydrooindenyl), propanediyl (propanediyl)]Fluorenyl (fluoroanthyl), dicyclopentadiene phenyl (indacenyl), indenyl (indenyl), naphthyl (napthyl), phenanthryl (phenanthryl), phenoxybenzyl (phenaoxybenzyl), picenyl (picenyl), pyrenyl (pyrenyl), terphenyl (terphenyl), and the like, including fused benzo-C 4-8 Cycloalkyl groups (e.g., as defined herein), such as indanyl (indany), tetrahydronaphthyl (tetrahydroaphthyl), fluorenyl (fluoronyl), and the like. The term aryl also includes heteroaryl, which is defined as a group containing an aromatic group, with the aromatic group having at least one heteroatom incorporated within the ring of the aromatic group. Examples of heteroatoms include, but are not limited to, nitrogen, oxygen, sulfur, and phosphorus. Similarly, the term "non-heteroaryl" (which is also encompassed within the term "aryl") defines a group containing an aromatic group that does not contain a heteroatom. Aryl groups may be substituted or unsubstituted. Aryl groups may be substituted with one, two, three, four or five substituents, such as any of the alkyl groups described herein.
"carbonyl" refers to a-C (O) -group, which may also be represented as > C=O.
"cycloalkyl" refers to a monovalent saturated or unsaturated non-aromatic or aromatic cyclic hydrocarbon group of three to eight carbons (unless otherwise specified), and is exemplified by cyclopropyl, cyclobutyl, cyclopentyl, cyclopentadienyl, cyclohexyl, cycloheptyl, bicyclo [2.2.1 ] heptyl, and the like. Cycloalkyl groups may also be substituted or unsubstituted. For example, cycloalkyl groups may be substituted with one or more groups, including those described herein for alkyl groups.
"dicarbonyl" refers to any moiety or compound comprising two carbonyl groups as defined herein. Non-limiting dicarbonyl moieties include 1, 2-dicarbonyl (e.g., R C1 -C(O)-C(O)R C2 Wherein R is C1 And R is R C2 Each independently is an optionally substituted alkyl, halogen, optionally substituted alkoxy, hydroxy, or leaving group); 1, 3-dicarbonyl (e.g., R C1 -C(O)-C(R 1a R 2a )-C(O)R C2 Wherein R is C1 And R is R C2 Each independently is optionally substituted alkyl,Halogen, optionally substituted alkoxy, hydroxy, or a leaving group, and wherein R 1a And R is R 2a Each independently is H or an optional substituent provided for alkyl as defined herein); and 1, 4-dicarbonyl (e.g., R C1 -C(O)-C(R 1a R 2a )-C(R 3a R 4a )-C(O)R C2 Wherein R is C1 And R is R C2 Each independently is an optionally substituted alkyl, halogen, optionally substituted alkoxy, hydroxy, or leaving group, and wherein R 1a 、R 2a 、R 3a And R is R 4a Each independently is H or an optional substituent provided for alkyl as defined herein).
"halogen" means F, cl, br or I.
"halo-aliphatic" refers to an aliphatic group as defined herein which is substituted with one or more halo groups.
"haloalkenyl" refers to alkenyl groups as defined herein substituted with one or more halogens.
"haloalkynyl" refers to an alkynyl group as defined herein substituted with one or more halogens.
"haloalkyl" refers to an alkyl group as defined herein substituted with one or more halogens. Non-limiting unsubstituted haloalkyl groups include C 1-2 Haloalkyl, C 1-3 Haloalkyl, C 1-4 Haloalkyl, C 1-5 Haloalkyl, C 1-6 Haloalkyl, C 2-3 Haloalkyl, C 2-4 Haloalkyl, C 2-5 Haloalkyl, C 2-6 Haloalkyl, or C 3-6 A haloalkyl group. Other non-limiting haloalkyl groups include-CX y H 3-y Wherein y is 1, 2 or 3, and wherein each X is independently halogen (F, cl, br, or I); -CX z H 2- z CX y H 3-y Wherein z is 0, 1 or 2, wherein y is 0, 1, 2 or 3, and wherein each X is independently halogen (F, cl, br, or I), wherein at least one of z or y is not 0; -CH 2 CX y H 3-y Wherein y is 1, 2 or 3, and wherein each X is independently halogen (F, cl, br, or I); -CX z1 H 2-z1 CX z2 H 2-z2 CX y H 3-y Wherein z1 and z2 are each independently 0, 1 or 2, wherein y is 0, 1, 2 or 3, and wherein each X is independently halogen (F, cl, br, or I), wherein at least one of z1, z2 or y is not 0; -CX z H 1-z [CX y1 H 3-y1 ][CX y2 H 3-y2 ]Wherein z is 0 or 1, wherein y1 and y2 are each independently 0, 1, 2, or 3, and wherein each X is independently halogen (F, cl, br, or I), wherein at least one of z, y1, or y2 is other than 0.
"haloalkylene" refers to an alkylene group as defined herein substituted with one or more halogens.
"heterocyclyl" means a 3-, 4-, 5-, 6-or 7-membered ring (e.g., a 5-, 6-or 7-membered ring) containing one, two, three or four non-carbon heteroatoms (e.g., independently selected from the group consisting of nitrogen, oxygen, phosphorus, sulfur, selenium or halogen), unless otherwise indicated. The 3-membered ring has zero to one double bond, the 4-and 5-membered rings have zero to two double bonds, and the 6-and 7-membered rings have zero to three double bonds. The term "heterocyclyl" also includes bicyclic, tricyclic, and tetracyclic groups in which any of the above-mentioned heterocyclic rings is fused to one, two, or three rings independently selected from the group consisting of an aromatic ring, a cyclohexane ring, a cyclohexene ring, a cyclopentane ring, a cyclopentene ring, and another monocyclic heterocyclic ring, such as indolyl (indoyl), quinolinyl (quinolyl), isoquinolyl (isoquinolyl), tetrahydroquinolinyl (tetrahydroquinolyl), benzofuranyl (benzofuranyl), benzothienyl (benzothienyl), and the like. Heterocycles include acridinyl (acryidinyl), adenine (adenyl), alloxazinyl (alloxazinyl), azaadamantyl (azaamantayl), azabenzimidazolyl (azaben-yl), azabicyclononyl (azabicyclonyl), azacycloheptyl (azacycloheptyl), azacyclooctyl (azacycloalkenyl), azacycloonyl (azacycloonyl), azahypoxanthyl (azahypoxanyl), azaindazolyl (azaindazolyl), azaindolyl (azaindoxyl), azadecanyl (azepanyl), azaazepanyl (azepinyl), azetidinyl (azetidinyl), azabicyclonyl (azetidinyl), aziridinyl (aziyl), azetidinyl (aziyl) rinyl), azacyclooctyl (azocabyl), azacinyl (azocinyl), azacyclononanyl (azonanyl), benzimidazolyl (benzomidazolyl), benzisothiazolyl (benzoisoxazolyl), benzisoxazolyl (benzodiazepinyl), benzodiazepinyl (benzodiazepinyl), benzodihydrofuranyl (benzodihydrofuranyl) benzodioxepinyl (benzodioxanyl), benzodioxanyl (benzodioxanyl) or benzodioxanyl (benzodioxanyl), benzodioxanyl (benzodioxanyl) and (or) and (or benzodioxolyl (benzodioxanyl), benzodithiinyl (benzodithiinyl), benzodioxanyl (benzodioxanyl) benzofuranyl (benzofuranyl), benzophenazinyl (benzophenazinyl), benzopyronyl (benzofuranonyl), benzopyranyl (benzofuranyl), benzopyrenyl (benzopyrenyl), benzopyronyl (benzopyronyl), benzopyranyl (benzopyronyl), benzopyronyl (benzopyronyl), benzoppiranyl (benzopyronyl), benzopisolation (R), and the like benzoquinolinyl (benzoquinolinyl), benzoquinozinyl (benzoquinolinyl), benzothiodiazepinyl (benzodiazepinyl), benzothiadiazolyl (benzodiazepinyl) benzoquinolinyl (benzoquinolinyl), benzoquinolizinyl (benzoquinolinyl) benzothiazepinyl (benzodiazepine), benzothiadiazolyl (benzodiazepine), benzodiazepine, benzoline, benzotriazepinyl (benzotriazolyl), benzotriazinonyl (benzotriazolyl), benzotriazolyl (benzotriazolyl), benzooxathidienyl (benzotriazolyl), benzotrioxepinyl (benzotrioxyheptyl), benzodiazepinyl (benzooxadiazepinyl), benzothioazepinyl (benzooxathizepinyl), benzothioazepinyl (benzotriazolyl) benzooxathiepinyl (benzooxathiepinyl), benzooxathiocinyl (benzooxathiepinyl), benzooxazinyl (benzooxaziyl), benzooxazinyl (benzoxaziyl), benzooxazolonyl (benzooxazolonyl), benzooxazolinyl (benzooxazolyl), benzoxazolyl (benzooxazolyl), benzyl sultamyl (benzosultamyl) l), benzylsulfenamide (benzylsultamyl), benzylsultamyl (benzylsultamyl), bipyrazinyl (bipyrazinyl), bipyridyl (bipyridyl), carbazolyl (carbazolyl) (e.g., 4H-carbazolyl), carboline (carboline) (e.g., beta-carboline), chromanyl (chromanyl), benzopyranyl (chromanyl), carboline (chromanyl),In (cinnolinyl), coumarin (coumaryl), cytidinyl (cytodinyl), cytosine (cytodinyl), decahydroisoquinolyl (decahydroisoquinolyl), diazabicyclooctyl (dicarbazol), diazabicyclobutanyl (diazepinyl), diazirinylsulfinyl (diazirinyl), diazirinyl (diazirinyl), dibenzisoquinolyl (dibenzisoquinolyl), dibenzoquinazolinyl (dibenzbenzoyl), dibenzoxanyl (dibenzazolyl), dibenzofuranyl (benzopyranyl), and benzofuranyl (benzopyranyl), and combinations thereof dibenzoquinoxaline (dibenzoquinoxaline), dibenzothiazepinyl (dibenzothiazepinyl) and dibenzothiazepinyl (dibenzothiazepinyl). Dibenzoxepinyl (dibenzoxepinyl), dihydroazepinyl (dihydroazepinyl), dihydrobutadienyl (dihydroazetyr), dihydrofuranyl (dihydrofuranyl) dibenzoxepinyl, dihydroazepinyl, dibenzoxepinyl, dihydroazepinyl and dihydroazepinyl dihydroazetidinyl (dihydroazetidinyl), dihydrofuranyl (dihydrofuranyl), dioxanyl (dioxanyl), dioxavinyl (dioxanyl) Dioxahexenyl (dioxanyl), dioxanyl (dioxazofuranyl), dioxapentenyl (dioxanol) yl), dioxatetrahydrofuranyl (dioxafuranyl), dithiomorpholinyl (dioxaborolinyl), dithiacyclohexyl (dithianyl), dithiazolyl (dithiazolyl), dithianyl (dithianyl), thiazadienyl (dithianyl), furanyl (furanyl), furazanyl (furazanyl), furanyl (furoyl), furanyl (furyl), guanyl (guazinyl), homopiperazinyl (homopiperaziyl), homopiperidinyl (homopiperidinyl), hypoxanthine (hypoxanyl), hydantoin (hydantoyl), imidazolinidinyl (imidazolyl), imidazolinyl (imidazoyl), and indazolyl (e.g., 1H-indazolyl), indolenyl (indolenyl), indolinyl (indolinyl), indolizinyl (indolinyl), indolyl (indoziyl) (e.g., 1H-indolyl or 3H-indolyl), isatinyl (isopolyl), isobenzofuranyl (isobenzofuranyl), isobenzodihydropyryl (isochromyl), isobenzopyranyl (isochromyl), isoindazolyl (isoindolyl), isoindolinyl (isoindolyl), isoindolyl (isoindolyl), isopyrazolyl (isopyrazolyl), isoxazolidinyl (isoxazolidinyl), isoxazolyl (isoquinolyl), isoquinolyl (isothiazolyl), isothiazolyl (isozolyl), isothiazolyl (isozolyl), morpholinyl (morpholinyl), naphthazolyl (naphthandazolyl), naphthazolyl (naphthandolyl), naphthyridinyl (naphthanosidyl), naphthyridinyl (naphthanopyranyl), naphthazolyl (naphthanothiazolyl), naphthathioonyl (naphthanothioxyl), naphthazolyl (naphthanothiazolyl), naphthaoxindol (naphthazindol), naphthaoxo indolyl (naphthanothioxyl) >Pyridinyl (effectuate), octahydroisoquinolinyl (octalosoquinyl), oxabicycloheptyl (oxazicloheptyl), oxauracil (oxaaucyl), oxadiazolyl (oxaziridyl), oxazinyl (oxaziyl), aziridinyl (oxaziridinyl), oxazolidinyl (oxazidinyl), oxazolidonyl (oxazolidonyl), oxazolinyl (oxazolidonyl), oxazolonyl (oxazololyl), oxacycloheptyl (oxazidinyl), oxaziridinyl (oxazidinyl)(oxetanyl), oxetanonyl, oxetanyl, oxetenyl, oxetanyl, oxindolyl, oxiranyl, oxetanyl, oxaupper, and indolyl, respectively, and the like oxo-benzisothiazolyl (oxo-benzisothiazolyl), oxo-benzopyranyl (oxo-chromelyl), oxo-isoquinolyl (oxo-quinolyl), oxo-thiocyclopentyl (oxo-thiozolyl), phenanthryl (phenanthrinyl) a phenoxazinyl (phenazinyl), a phenazinyl (phenazinyl), a phenothiazinyl (phenazinyl), a thiophenyl (phenathiofuranyl) a phenothiazinyl (phenathiofuranyl), a phenoxazinyl (phenanthylinyl), a phenazinyl (phenazinyl), a phthalazinyl (phenazinyl), a phthalazinonyl (phenazinyl), a phthalazinyl (phenazinyl), a benzopyrrolidinonyl (phenazinyl), a piperazinyl (piperazinyl), a piperidyl (piperazinyl), a piperidonyl (piperazinyl) group (piperazinyl) for example, 4-piperidonyl), pteridinyl (pteridinyl), purinyl (purinyl), pyranyl (pyryl), pyrazinyl (pyraziyl), pyrazolidinyl (pyrazolidinyl), pyrazolinyl (pyrazozolyl), pyrazolopyrimidinyl (pyrazopyridinyl), pyrazolyl (pyrazozolyl), pyridazinyl (pyridazinyl), pyridinyl (pyridinyl), pyridopyrazinyl (pyridopyrizinyl), pyridopyrimidinyl (pyridopyridinyl), pyridinyl (pyridyyl), pyrimidinyl (pyrimidyl), pyrimidinyl (pyrimidyl), pyronyl (pyrronyl), pyrrolidinyl (pyrrosidinyl), pyrrolidonyl (pyrrosidinyl) (e.g., 2-pyrrolidinonyl), pyrrolinyl (pyrrosinyl), pyrrolizidinyl (pyrrosidinyl), pyrrolyl (pyrrosinyl) (e.g., 2H-pyrrolyl), pyrylium (pyrrosium), quinazolinyl (quinazolinyl), quinolinyl (quinolyl), quinolizinyl (quinoliziyl) (e.g., 4H-quinolizinyl), quinoxaline (quinoxalyl), quinuclidinyl (quinuclidinyl), selenoazinyl (selenezinyl), selenazolyl (selenezolyl), selenophenyl (selenephenyl), succinimidyl (succinimidyl), sulfolane (sulfolanyl), tetrahydrofuranyl (tetrahydrofuranyl), tetrahydroisoquinolyl (tetrahydroisoquinolyl), tetrahydropyridyl (tetrahydropyridyl), piperonyl (tetrahydropyridyl) A pyridyl group (piperidyl), a tetrahydropyranyl group (tetrahydropyranosyl), a tetrahydropyranonyl group (tetrahydroxyl), a tetrahydroquinolyl group (tetrahydroquinolyl group), a tetrahydrothiophenyl group (tetrahydrothiophenyl group), a tetrazinyl group (tetrazinyl group), a tetrazolyl group (tetrazolyl group), a thiadiazinyl group (thiadiazinyl group) (for example, 6H-1,2, 5-thiadiazinyl or 2H,6H-1,5,2-dithiadiazinyl), thiadiazolyl (thiadiazinyl), thienyl (thianthrene), thiacyclohexyl (thianyl), thiaindenyl (thianapthenyl), thiazepinyl (thiazepinyl), thiazinyl (thiazinyl), thiazolidinedione (thiazodinyl), thiazolidinyl (thiazodinyl), thienyl (thiazolyl), thiacycloheptyl (thiapanyl), thiaheptenyl (thiapanyl), oxetanyl (thiatanyl), thiabutadienyl (thiabutadienyl), thietanyl (thiabutadienyl) Thiocyclopropyl (thioiranyl), thiocyclooctyl (thiocany), thiochroman-onyl (thiochroman-onyl), thiochroman-yl (thiochroman-yl), thiodiazinyl (thiodiazinyl), thiadiazolyl (thiodiazolyl), thiaindenophenyl (thioindoxyl), thiomorpholinyl (thiomorpholinyl), thiophenyl (thiophenyl), thiopyranyl (thiopyranyl), thiopyranonyl (thiopyryl), thiotriazolyl (thiourezol), thiourezol, thioredoxanyl (thioxanyl), thiooxapentenyl (thioxolyl), thymidinyl (thymosin), triazinyl (triazinyl), triazolyl (triazolyl), trithiocyclohexyl (trithianyl), oxazinyl (urazinyl), oxazolyl (urazolyl), azetidinyl (uretidinyl), uretdinyl (uretinyl), uracil yl (urecyl), uredinyl (uredinyl), xanthenyl (xanthonyl), xanthinyl (xanthonyl), xanthonyl (xanthonyl), and the like, as well as modified forms thereof (e.g., including one or more oxo and/or amino groups) and salts thereof. The heterocyclic group may be substituted or unsubstituted. For example, a heterocyclyl may be substituted with one or more substituents as described herein for aryl.
"hydroxyl" refers to-OH.
"imino (imino)" means-NR-where R may be H or optionally substituted alkyl.
"oxo" (oxo) refers to a group that is =o.
"oxygen" means-O-.
As used herein, the term "about" means +/10% different from any recited value. As used herein, the term modifies any stated value, range of values, or end point of one or more ranges.
As used herein, the terms "top," "bottom," "upper," "lower," "above," and "below" are used to provide a relative relationship between structures. The use of these terms does not denote or require that a particular structure be placed in a particular location in the device.
Other features and advantages of the invention will become apparent from the following description and from the claims.
Drawings
FIGS. 1A-1B present (A) a resist film formed by using a non-limiting metal-containing precursor (I-1); and (B) further non-limiting metal-containing precursors: schematic diagrams of (I-2), (I-3), (I-4), (I-5), (I-6), (I-7), (I-8) and (I-9).
FIGS. 2A-2B present (A) the reaction of an undefined metal-containing precursor (I-A) with a undefined ligand-containing precursor (II-A); and (B) Sup>A schematic representation of the reaction of another non-limiting metal-containing precursor (III-A) with another non-limiting ligand-containing precursor (IV-A).
Fig. 3A-3B present schematic and flow diagrams of a non-limiting method of using a resist film. Providing (a) a schematic diagram of a first non-limiting method 300 comprising depositing 301 a metal-containing precursor 30 and optionally a ligand-containing precursor 32; and (B) a flowchart of another non-limiting method 350.
Fig. 4 presents a schematic illustration of an embodiment of a processing station 400 for dry development.
Fig. 5 presents a schematic illustration of an embodiment of a multi-station processing tool 500.
Fig. 6 presents a schematic illustration of an embodiment of an inductively coupled plasma apparatus 600.
Fig. 7 presents a schematic illustration of an implementation of a semiconductor processing cluster tool architecture 700.
Detailed Description
The present invention relates generally to the field of semiconductor processing. In particular, the present invention is directed to the use of halogenated aliphatic metal-containing precursors having aliphatic groups, thus providing organometallic films having such groups. Alternatively, metal-containing precursors may be used in combination with ligand-containing precursors, wherein the latter precursors may be used to build up (halo) aliphatic groups on the metal center provided by the metal-containing precursors. As used herein, "(halo) aliphatic" is used to refer to both halo aliphatic and aliphatic groups. In some embodiments, the halogenated aliphatic group is C 1-2 Haloalkyl, C 1-4 Haloalkyl, C 2-4 Haloalkenyl or C 2-4 Haloalkynyl. In other embodiments, the aliphatic group is C 1-2 Alkyl, C 2-4 Alkenyl or C 2-4 Alkynyl groups.
Reference will be made in detail to specific embodiments of the present disclosure. Examples of specific embodiments are depicted in the accompanying drawings. While the present disclosure will be described in conjunction with these specific embodiments, it will be understood that they are not intended to limit the disclosure to these specific embodiments. On the contrary, it is intended to cover alternatives, modifications, and equivalents as may be included within the spirit and scope of the disclosure. In the following description, numerous specific details are set forth in order to provide a thorough understanding of the present disclosure. The present disclosure may be practiced without some or all of these specific details. In other instances, well known process operations have not been described in detail in order not to unnecessarily obscure the present disclosure.
EUV lithography uses EUV resist that is patterned to form a mask for etching the underlying layer. The EUV resist may be a polymer-based Chemically Amplified Resist (CAR), which is produced by a liquid-based spin coating technique. An alternative to CAR is a directly photopatternable metal oxide containing film, such as that commercially available from inpla corp. (Corvallis, OR) and described in, for example, U.S. patent publication nos. US2017/0102612, US 2016/0216606, and US2016/0116839, which are incorporated herein by reference, at least because they disclose photopatternable metal oxide containing films. Such films may be produced by spin-coating techniques or dry vapor deposition. The metal oxide containing film may be directly patterned by EUV exposure (i.e., without the use of a separate photoresist) in a vacuum environment, providing a patterning resolution below 30nm (sub-30 nm), for example, as in U.S. patent No.9,996,004, issued at 12, 6, 2018 and entitled EUV PHOTOPATTERNING OF VAPOR-DEPOSITED METAL OXIDE-CONTAINING HARDMASKS, and/or in international application No. pct/US19/31618, published as international publication No. wo2019/217749, filed at 9, 5, and entitled METHODS FOR MAKING EUV PATTERNABLE HARD MASKS, which disclosures relate at least to the composition, deposition and patterning of directly photopatternable metal oxide films to form EUV resist masks, and are incorporated herein by reference. Typically, patterning involves exposure of EUV resist with EUV radiation to form a photo-pattern in the photoresist, followed by development to remove a portion of the photoresist according to the photo-pattern to form a mask.
Directly photopatternable EUV or DUV resists may be composed of or contain metals and/or metal oxides mixed in organic components. Metal/metal oxides are very promising because they may enhance EUV or DUV photon absorption and generate secondary electrons and/or exhibit greater etch selectivity relative to underlying film stacks and device layers. Heretofore, these resists have been developed using a wet (solvent) process, which requires moving the wafer to a developer to expose it therein to a developing solvent, and drying and baking. This wet development step not only limits productivity, but also can lead to line collapse due to surface tension effects during solvent evaporation between fine features.
Generally, a resist can be used as a positive resist or a negative resist by controlling the chemical nature of the resist and/or the solubility or reactivity of a developer. It would be advantageous to have EUV or DUV resist that can be either negative or positive resist.
Resist film and method thereof
The present invention relates to an organometallic resist film and a method thereof. At a specific positionIn embodiments, the film comprises a halogen-containing organometallic material. Such materials can provide dense or dense films, thereby exhibiting improved radiation absorptivity and limited bake-induced or radiation-induced shrinkage. Dense membranes can be achieved by using precursors with relatively small radiation-cleavable groups. Such groups may be, for example, C 1-2 (halo) aliphatic groups. Compared to larger groups (e.g. C 3-12 Aliphatic group), smaller C 1-2 Cleavage and release of the (halo) aliphatic group will result in smaller voids (where the group was present) upon exposure. Such voids can cause the film to shrink when the exposed film is further processed (e.g., by post-exposure, post-exposure etching, or other processes). By minimizing the size of the voids, film shrinkage can be minimized.
Film shrinkage can lead to various undesirable effects. For example, when the exposed areas shrink significantly, this results in a higher dose-to-size (DtS) and may limit the applicability of such resists as etch hard masks. Thus, the films described herein can be used to avoid film shrinkage. Such films may provide, for example, reduced DtS after subsequent development and/or use as an etch hard mask.
Radiation absorption may also be improved. For example, smaller size radiation-cleavable groups can provide a denser film, thus providing additional atoms for radiation absorption (e.g., in terms of per cm -2 On the basis of the following steps. Furthermore, halogen atoms have a higher EUV absorptivity than hydrogen atoms. Thus, the presence of halogen substituents on the radiation cleavable group may provide an EUV photosensitive moiety with increased absorptivity. In other embodiments, halogenated aliphatic groups or halogen atoms from such groups are incorporated into the film, wherein the halogen has a higher EUV absorptivity than hydrogen. Such groups (e.g., halogenated aliphatic groups or halogen atoms) may be provided attached to a metal atom, bridging two metal atoms, or attached to a ligand. Films with higher absorption can provide, for example, reduced Line Width Roughness (LWR) after subsequent development, but without the need for higher doses; and thinner films that are not limited by the minimum thickness (to provide adequate absorption and efficient patterning). Such films can be produced by using Optical applications with shallow depth-of-focus (shallow depth-of-focus) are handled.
Described herein are precursors (e.g., metal-containing precursors and ligand-containing precursors) that can be used to provide such resist films. In general, the metal-containing precursor comprises a metal center (M) with additional ligands (L) and/or radiation cleavable groups (R). In one example, the L group is reactive with the opposing reactants and promotes the formation of a metal-oxygen network. In another example, the L group is reactive with a ligand-containing precursor. In certain embodiments, the R group may be cleaved from M by exposure to radiation. Examples of R include C 1-2 Haloalkyl, C 1-4 Haloalkyl, C 2-4 Haloalkenyl, C 2-4 Haloalkynyl, C 1-2 Alkyl, C 2-4 Alkenyl and C 2-4 Alkynyl groups.
FIG. 1A provides a resist film formed by using a non-limiting metal-containing precursor (I-1) comprising-CH 2 X is an illustrative radiation-cleavable group or R. Unless otherwise indicated, X refers to a halogen or a halogen atom. The metal-containing precursor (I-1) also includes tin (Sn) as M with additional L groups. By reaction of the reactants with oxygen ([ O ]]) The film comprising a network of organotin-oxygen hydroxide material (10) is deposited in the presence of a precursor (I-1). Upon exposure to EUV radiation, the exposed region releases radiation-cleavable groups (here, -CH 2 X) thus-CH within the membrane 2 The X groups were present to form voids. Further post exposure treatments, such as Post Exposure Bake (PEB), produce crosslinked films with a network of tin-oxygen material (12). As can be seen, after PEB, the extent of film shrinkage between the exposed film and the crosslinked film may depend on the voids present within the exposed film.
FIG. 1B shows a non-limiting example of other metal-containing precursors having different radiation-cleavable groups (R groups). For example, the radiation-cleavable group may be C 1-2 An alkyl group. The precursor (I-2) includes a methyl group as R, and the precursor (I-6) includes an ethyl group as R. In another example, the radiation-cleavable group may be C with one, two, three or more halo (X) substituents 1-2 A haloalkyl group. The precursors (I-3), (I-4) and (I-5) comprise halomethyl groups as R. Precursor (I-7)) And (I-8) includes a haloethyl group as R. In one example, the haloethyl group includes one or more halogen substitutions on a β -carbon (wherein the α -carbon of the haloethyl group is attached to Sn). In yet another example, the radiation-cleavable group may be C 2-4 Unsaturated aliphatic groups such as alkenyl groups. The precursor (I-9) includes a vinyl group as R. When the precursor contains an unsaturated moiety, such as vinyl (commonly referred to as vinyl), EUV exposure may result in a crosslinking reaction (e.g., between ligands or unsaturated groups), rather than the cleavage reaction typical of alkyl substituents. This may have the additional significant benefit of further reducing EUV and EUV post bake induced film shrinkage, thus improving pattern fidelity.
Other metal-containing precursors may include those having the formula (I), (Ia), (III), (IIIa), (V), (Va), (VI), (VII), (VIII), (IX), (X), (XI), or others, as described herein. Additional metal-containing precursors include CX z H 3-z SnL 3 And CX z H 3-z CH 2 SnL 3 Wherein each X is independently halogen (F, cl, br or I); wherein z=1, 2, 3 or 4; and wherein each L is independently halogen (e.g., cl or Br), optionally substituted amino (e.g., dialkylamino), such as-N (Me) 2 ) Optionally substituted alkoxy (e.g. C 1-4 Alkoxy radicals, e.g. -OCMe 3 ) A ligand reactive with the opposing reactant (e.g., that is reactive with water), or a ligand reactive with a precursor containing the ligand. Other metal-containing precursors include SnL 2 Wherein each L is independently halogen (e.g., cl or Br), optionally substituted amino (e.g., dialkylamino), such as-N (Me) 2 ) Optionally substituted alkoxy (e.g. C 1-4 Alkoxy radicals, e.g. -OCMe 3 ) A ligand reactive with the opposing reactant (e.g., that is reactive with water), or a ligand reactive with a precursor containing the ligand.
Still other metal-containing precursors include CnHmSnL 3 Wherein n=1, 2, 3 or 4; wherein m.gtoreq.1 (e.g., from 1 to 9); and wherein each L is independently halogen (e.g., cl or Br), optionally substituted amino (e.g., dialkylamino), such as-N (Me) 2 ) Optionally substituted alkoxy (e.g. C 1-4 Alkoxy radicals, e.g. -OCMe 3 ) A ligand reactive with the opposing reactant (e.g., that is reactive with water), or a ligand reactive with a precursor containing the ligand. In particular embodiments, m=2n+1, 2n-1 or 2n-3.
The metal-containing precursor may be used alone or alternatively with additional precursors, such as ligand-containing precursors, to further modify the functional groups attached to M in the metal-containing precursor. The ligand-containing precursor may be reacted with a metal-containing precursor to establish additional L and/or R groups on M.
Fig. 2A shows an example of non-limiting precursors that can react together to form further precursors, which in turn can be deposited as a film. In particular embodiments, metal-and ligand-containing precursors are used to synthesize the precursors (e.g., outside of the deposition chamber), and then the synthesized precursors can be delivered to the chamber for deposition. As can be seen, the initial metal-containing precursor (I-a) includes a metal center (M), a cleavable group (R), and a ligand that includes a halogen (X). The ligand-containing precursor (II-A) includes a metal or metalloid center (A), and a ligand (L ", which may be, for example, any L described herein. Non-limiting examples of such precursors may be organolithium compounds, such as LiL, where a is lithium (Li). Such precursors may be selected to provide further metal-containing precursors. For example, precursors (I-A) and (II-A) may react to form further metal-containing precursors (I-B), which may then be deposited in situ within the chamber to provide a film on the substrate. Byproducts include compound (II-B), which can be removed from the chamber if desired. Here, the halogen group on the initial metal-containing precursor (I-A) is replaced by the ligand (L') in the further metal-containing precursor (I-B). In particular embodiments, further metal-containing precursors (e.g., formed by reacting an initial metal-containing precursor with a ligand-containing precursor) can include structures having formula (I).
Fig. 2B shows another example of non-limiting precursors that can be reacted together to form further precursors. Here, such precursors may be reacted outside the deposition chamber (e.g., then transported to the chamber for deposition) or in the chamber (e.g., by CVD to provide in situ synthesis of the further precursor prior to deposition) to synthesize the further precursor. As can be seen, the initial metal-containing precursor (III-a) includes a metal center (M) and a ligand (L', which may be, for example, any L described herein. A non-limiting example of such a metal center is tin (II). The ligand-containing precursor (IV-Sup>A) includes Sup>A cleavable group (R) and Sup>A ligand (L ", e.g., which may be any L described herein, e.g., halogen). After reaction of such precursors (III-A) with (IV-A), sup>A further metal-containing precursor (I-C) is formed. Here, the ligand (L') on the initial metal-containing precursor (I-A) remains in M and other groups (R and L ") are provided to M to provide further metal-containing precursor (I-C). In particular embodiments, further metal-containing precursors (e.g., formed by reacting an initial metal-containing precursor with a ligand-containing precursor) can include structures having formula (I).
By combining such metal-containing and ligand-containing precursors, further metal-containing precursors may be provided. In this way, the final combination of cleavable groups (R) and/or ligands (L) may be modified in situ (in the chamber) or before being transported to the chamber for deposition.
Still other ligand-containing precursors include those having the structure of formula (II), (IIa), (IV) or (IVa), as described herein. Additional ligand-containing precursors may include organolithium compounds (e.g., liL), organosodium compounds (e.g., naL), organomagnesium compounds (e.g., mgL) 2 ) Grignard reagents (e.g. MgLX, where X is halogen), organozinc compounds (e.g. ZnL) 2 ) Or an organoaluminum compound (e.g. AlL) 3 ). In certain embodiments, such reagents are not used in the deposition chamber, but are used to synthesize the precursor prior to delivery to the deposition chamber.
Useful ligands in the ligand-containing precursor may include any of those described herein, including organic moieties (e.g., optionally substituted alkyl, optionally substituted amino, optionally substituted alkoxy, optionally substituted bis (trialkylsilyl) amino (e.g., -N (SiMe) 3 ) 2 ) Optionally substituted trialkylsilyl or others). Other ligand-containing precursors may include aliphatic halides (e.g., RX, where X is halogen and R is optionally substituted alkyl) or halogenated aliphaticHalides (e.g., RX, where X is halogen and R is optionally substituted haloalkyl). The non-limiting R group includes optionally substituted C 1-2 Haloalkyl radicals, e.g. -CX z H 3-z or-CH 2 CX z H 3-z Wherein z is 1, 2 or 3; optionally substituted C 1-4 Alkyl radicals, e.g. -C n H 2n+1 Wherein n is 1 or 2; or for example-C n H 2n-1 or-C n H 2n-3 Wherein n is 2, 3 or 4.
The invention also generally includes any useful method employing a metal-containing precursor and optionally a ligand-containing precursor, as described herein. Such methods may include any useful photolithography process, deposition process, radiation exposure process, development process, and post-coating process, as described herein. Although techniques may be described below with respect to EUV processing, such techniques may also be applied to other next generation lithography techniques. A variety of radiation sources may be employed, including EUV (typically about 13.5 nm), DUV (deep ultraviolet light, typically in the 248nm or 193nm range of an excimer laser source), X-rays (including EUV at the lower energy range of the X-ray range), and electron beams (including a wide energy range).
An exemplary method may include delivering a metal-containing precursor to a substrate, and delivering the metal-containing precursor to the substrate simultaneously or sequentially with the ligand-containing precursor. For example, fig. 3A provides a non-limiting method 300 that includes depositing 301 a metal-containing precursor 30 and optionally a ligand-containing precursor 32 on a substrate 311. Such co-deposition may provide a dense, halogenated film 312 of a matrix having metal atoms and (halo) organic components. Such deposition may include dry deposition.
When ligand-containing precursors are employed, delivery of the metal-containing and ligand-containing precursors can occur in any useful order. When sequentially delivered, an optional operation may include a purge chamber to remove unreacted precursor. Such cleaning may include the use of an inert gas to remove metal-containing or ligand-containing precursors that are present in vapor form and thus not deposited on the substrate.
Such films may be deposited in any useful manner, as described herein. Exemplary deposition techniques include Chemical Vapor Deposition (CVD), plasma-enhanced CVD (PE-CVD), low pressure CVD (LP-CVD), atomic Layer Deposition (ALD) (e.g., thermal ALD and plasma-enhanced ALD (PE-ALD)), molecular Layer Deposition (MLD), spin-on deposition, physical Vapor Deposition (PVD) (including PVD co-sputtering), sputter deposition, electron beam (e-beam) deposition (including electron beam co-evaporation, and the like), or combinations thereof. Other deposition processes and conditions are described herein.
The metal-containing and/or ligand-containing precursor may optionally be deposited in the presence of one or more opposing reactants. The opposing reactants preferably have the ability to replace a reactive moiety, ligand or ion (e.g., L in the formulae herein) to attach to at least two metal atoms by chemical bonding. Exemplary relative reactants include oxygen-containing relative reactants, e.g., O 2 、O 3 Water, peroxides (e.g., hydrogen peroxide), oxygen plasmas, water plasmas, alcohols, di-or polyhydroxy alcohols, fluorinated diols (fluorinated glycols), sources of formic acid and other hydroxyl moieties, and combinations thereof. In various embodiments, the opposing reactants react with the metal-containing and/or ligand-containing precursor by forming an oxygen bridge between adjacent metal atoms. Other possible relative reactants include hydrogen sulfide and hydrogen disulfide, which can crosslink metal atoms through sulfur bridges; and bis (trimethylsilyl) tellurium, which can crosslink metal atoms through tellurium bridges. In addition, hydrogen iodide may be utilized to incorporate iodine into the membrane. Still other relative reactants may include any of the chalcogenide precursors or chalcogenide compounds described herein.
Various process conditions for deposition may be varied and optimized. For example, the deposition (e.g., of the metal-containing and/or ligand-containing precursor) may be performed at a temperature between about 20-400 ℃, such as about 50-300 ℃, about 100-200 ℃, or about 150 ℃. In some embodiments, the metal-containing and/or ligand-containing precursor has a thermal decomposition point above the process temperature, thus creating a self-limiting surface reaction. Non-limiting pressure conditions include those in the range of about 0.1 to 50 Torr (Torr), such as about 1 to 15Torr. In some embodiments, the metal-containing and/or ligand-containing precursor has a vapor pressure greater than 0.5Torr at 100 ℃ or less.
The process step time for each operation may include the following ranges: about 0.1 to about 10 seconds of metal-containing precursor injection; about 0.1 to about 60 seconds of ligand-containing precursor injection; and a purge period of about 0.1 to 60 seconds.
The deposition may be repeated for any number of cycles. Each cycle may include a deposition operation, which may include deposition of a metal-containing precursor; co-deposition of metal-containing and ligand-containing precursors; or sequential deposition of metal-containing and ligand-containing precursors, with optional purging operations. Such cycles may be repeated for any useful number of cycles, for example 1-1000 cycles, to deposit the desired film thickness.
When a desired film thickness is deposited, a post-deposition processing step may be performed. In one example, such post-deposition treatments involve exposing the film to prolonged infusion (or dipping) with a dipping precursor. Immersion may be used to increase the EUV absorbance of the film. In one embodiment, the impregnating precursor is a metal-containing precursor, and the concentration of metal atoms in the film is increased using this impregnating precursor. In other embodiments, the impregnating precursor is a ligand-containing precursor. The dipping precursor may be the same as or different from the metal-containing precursor used in the deposition process. The impregnation may be performed under similar conditions as the deposition process, but generally the implantation time may be relatively long, for example in the range of 30 seconds to 30 minutes.
The methods herein may include deposition directly onto a substrate or onto a layer disposed on a surface of a substrate. In an example, the substrate may include an adhesion layer or underlayer, which may be an organic underlayer or an intermediate etch layer.
The resist film may have any useful structure. In one embodiment, the film has a thickness of about 0.5nm to about 100nm (e.g., about 5nm to 100nm, among other thicknesses described herein). The film may include an optional primer layer or an optional surface activation step prior to depositing the metal-containing precursor.
The film may have a vertical gradient, characterized by a vertical change in EUV absorbance. In some examples, an increase in EUV absorbance along a depth (e.g., from a top surface of the film toward the substrate) may correspond to an increase in metal content or halogen content along the same depth through the film layer. Non-limiting gradients include linear gradients, exponential gradients, sigmoid gradients, and the like. In particular embodiments, the gradient density film of the EUV responsive portion may produce more homogeneous (homogeneous) film properties of the EUV exposed region at all depths of the film, which may improve the development process, improve EUV sensitivity, and/or improve patterning quality (e.g., with improved Line Width Roughness (LWR) and/or Line Edge Roughness (LER)).
The resist film may include an organometallic material, such as an organometallic oxide (e.g., RM (MO) n Wherein M is a metal and R is a halogenated organic moiety having one or more carbon atoms and one or more halogen atoms). The substrate may include any useful wafer, feature, layer, or device. In some embodiments, the substrate is a silicon wafer having any useful features (e.g., irregular surface topography), layers (e.g., photoresist layers), or devices.
The radiation-sensitive film may include a metal component and an organic substituent, each of which may include a UV-, DUV-or EUV-sensitive moiety. Non-limiting examples of these include, for example, metals or metalloids or atoms having a high EUV absorption cross section, for example, equal to or greater than 1X 10 7 cm 2 /mol. In other embodiments, the composition includes or is M (e.g., where M may be tin (Sn), bismuth (Bi), tellurium (Te), cesium (Cs), antimony (Sb), indium (In), molybdenum (Mo), hafnium (Hf), iodine (I), zirconium (Zr), iron (Fe), cobalt (Co), nickel (Ni), copper (Cu), zinc (Zn), silver (Ag), platinum (Pt), lead (Pb), xe, or combinations thereof). In some embodiments, the component comprises a metal-containing precursor, a ligand-containing precursor, or a reaction product thereof.
The membrane may be homogeneous. In particular embodiments, the homogenization matrix may be an alloy film. Co-deposition can occur in any useful method (e.g., CVD) using co-flow of metal-containing and ligand-containing precursors.
The resulting film can be used as a photoresist. In certain non-limiting embodiments, the layer may include portions that promote radiation absorption after radiation exposure (e.g., exposure to UV, DUV, and/or EUV radiation). In further embodiments, the organometallic layer may include a metal center and halogen atoms that promote radiation absorption. After deposition, the film may be exposed to radiation and then developed for patterning, thus providing a negative or positive resist. Such methods may include any useful photolithography process, deposition process, EUV exposure process, development process, and post-coating process, as described herein. In particular embodiments, the film exhibits minimal film shrinkage after EUV exposure processes, development processes, and post-coating processes.
Returning to fig. 3A, a further step may include patterning the film by EUV exposure 302 to provide an exposed film having EUV exposed regions 312b and EUV unexposed regions 312 c. Patterning may include using a mask 314 having an EUV light transmissive region and an EUV light opaque region, wherein an EUV beam 315 is passed through the EUV light transmissive region and into a film 312.EUV exposure may include, for example, exposure having a wavelength in the range of about 10nm to about 20nm in a vacuum environment (e.g., about 13.5nm in a vacuum environment).
The method 300 may further include developing 303 the film, thereby (i) removing EUV exposed regions to provide a pattern in a positive resist film or (ii) removing EUV unexposed regions to provide a pattern in a negative resist. Pathway (i) in fig. 3A results in selective removal of EUV exposure region 312b. Alternatively, pathway (ii) in fig. 3A results in the EUV exposed region 312b remaining after development.
The development step can include using a halogen chemistry in the gas phase (e.g., HBr chemistry) or using an aqueous or organic solvent in the liquid phase (e.g., as described herein). The development step may include any useful experimental conditions, such as low pressure conditions (e.g., about 1-100 mTorr), plasma exposure (e.g., in the presence of vacuum), and/or thermal conditions (e.g., about-10-100 ℃), which may be combined with any useful chemistry (e.g., a halide chemistry or an aqueous chemistry). Development may include, for example, halide-based etchants, e.g., HCl, HBr, H 2 、Cl 2 、Br 2 、BCl 3 Or a combination thereof, and any halide-based development process described herein; an aqueous alkaline developing solution; or an organic developing solution. Additional development process conditions are described herein.
Optional steps may be performed in any of the methods herein to further tune, modify, or process the EUV sensitive film, substrate, photoresist layer, and/or underlayer. Fig. 3B provides a flowchart of an exemplary method 350 with various operations, including optional operations. As seen, in operation 354, a metal-containing precursor and optionally a ligand-containing precursor may be provided in the optional presence of opposing reactants to provide a resist film (e.g., in a chamber).
In one example, the method may include an optional operation 356 of cleaning the backside surface or bevel edge of the substrate or removing edge beads of photoresist deposited in a previous step. Such cleaning or removal operations may be used to remove particles that may be present after deposition of the film layer. The removing step may include using wet metal oxide (MeO x ) An Edge Bead Removal (EBR) step processes the wafer.
In another example, the method may include an optional operation 358 of post-coating bake (PAB) the deposited photoresist layer, thereby removing residual moisture from the layer to form a film; or pre-treating the photoresist layer in any useful manner. The optional PAB may occur after film deposition and before EUV exposure; and the PAB may involve a combination of heat treatment, chemical exposure and/or moisture to increase the EUV sensitivity of the film, thus reducing the EUV dose of the developed pattern in the film. In particular embodiments, the PAB step is performed at a temperature greater than about 100deg.C or at a temperature of about 100-200deg.C or 100-250deg.C. In some examples, no PAB is performed in the method.
In operation 360, the film is exposed to EUV radiation to develop a pattern. Generally, EUV exposure results in a change in the chemical composition of the film, thereby creating an etch selectivity contrast, which can be used to remove a portion of the film. Such comparison may provide positive or negative resists, as described herein.
In yet another example, the method may include an optional step 362 of post-exposure bake (PEB) of the exposed film, thereby further removing residual moisture or promoting chemical condensation within the film; or post-treating the film in any useful manner. In another example, the method can include (e.g., after developing) hardening the patterned film, thereby providing a resist mask disposed on a top surface of the substrate. The hardening step may include further rendering the EUV non-compliantAny useful process of crosslinking or reacting the exposed or exposed regions, such as exposure to a plasma (e.g., O 2 Ar, he or CO 2 Plasma), exposure to ultraviolet radiation, annealing (e.g., at a temperature of about 180-240 ℃), thermal baking, or combinations thereof, which may be used in a post-development bake (PDB) step. Additional post-coating processes are described herein that may be performed as optional steps of any of the methods described herein.
Next, in operation 364, the PR pattern is developed. In various embodiments of development, the exposed regions (positive type) or the unexposed regions (negative type) may be removed. In various embodiments, these steps may be dry processes and/or wet processes.
In some embodiments, the process includes all dry processes including dry deposition of the film (e.g., using precursors in vapor form and opposing reactants including water vapor) and dry development of the exposed film. Without wishing to be limited by the mechanism, such films may provide a negative resist in which upon exposure to a dry development chemistry (e.g., a vapor-based etchant chemistry, as any of the herein described), the radiation-exposed regions provide non-volatile material, while the radiation-unexposed regions provide volatile byproducts. In this way, the etchant in the vapor phase can be used to react with the unexposed film to provide volatile byproducts that can also be removed in its vapor form.
In other embodiments, a wet process may be employed in one or more operations. Such processes may include dry deposition of the film (e.g., using precursors in vapor form with opposing reactants including water vapor) and wet development of the exposed film (e.g., using any of the wet developers described herein); and wet deposition of the film (e.g., precursor in solvent) and dry development of the exposed film; and wet deposition of the film and wet development of the exposed film. Without wishing to be bound by a mechanism, such films may provide positive resist in which the radiation exposed regions provide a material that is soluble in a solvent (e.g., including metal halide bonds, such as tin halides) and the radiation unexposed regions provide a material that is more resistant to solvent dissolution.
Any useful type of chemistry may be employed during the deposition, patterning, and/or development steps. Such a step may be based on a dry process using a gas phase chemistry or a wet process using a wet phase chemistry. Various embodiments include all dry operations combining film formation by vapor deposition, (EUV) photolithographic photo-patterning, dry lift-off, and dry development. Various other embodiments include the dry processing operations described herein, such as spin-on EUV photoresist (wet process) (e.g., available from Inpria corporation), advantageously combined with wet processing operations, may be combined with the dry development or other wet or dry processes described herein. In various embodiments, the wafer cleaning may be a wet process as described herein, while the other process is a dry process. In still other embodiments, a wet development process may be used.
Without limiting the mechanism, function, or utility of the present technology, dry processes of the present technology may provide a number of benefits over wet development processes known in the art. For example, the dry vapor deposition techniques described herein may be used to deposit thinner and more defect-free films, where the exact thickness of the deposited film may be adjusted and controlled simply by increasing or decreasing the length of the deposition step or sequence, as compared to when the coating may be performed using spin coating techniques. Accordingly, the dry process provides more scalability and further Critical Dimension (CD) control and scum removal. Dry development may improve performance (e.g., prevent line collapse due to surface tension in wet development) and/or increase throughput (e.g., by avoiding wet developers). Other advantages may include eliminating the use of organic solvent developers, reducing sensitivity to adhesion problems, avoiding the need to coat and remove wet resist formulations (e.g., avoiding scumming and pattern distortions), improving line edge roughness, patterning directly on device topography, providing the ability to tailor the hard mask chemistry for specific substrate and semiconductor device designs, and avoiding other solubility-based limitations. Additional details, materials, processes, steps, and apparatus are described herein.
Metal-containing precursors
The metal-containing precursor can be of any useful number and classCleavable groups of the type and/or ligands. The cleavable group may be characterized by its ability to react in the presence of the patterning radiation, while the ligand may be characterized by its ability to react in the presence of the opposing reactants. For example, the metal-containing precursor may include cleavable groups that are eliminated in the presence of the patterning radiation. Such groups may include C 1-4 (halo) aliphatic groups. In another example, the metal-containing precursor can include a ligand (e.g., a dialkylamino or alkoxy group) that reacts with the opposing reactants, which can introduce linkages (e.g., -O-linkages) between metal centers.
The metal-containing precursor can be, for example, an organometallic agent, a metal halide, or a capping agent (e.g., as described herein). In a non-limiting example, the metal-containing precursor includes a structure having formula (I):
M a R b L c (I),
wherein:
each M is independently a metal or metalloid;
each R is independently optionally substituted C 1-4 Halogenated aliphatic or C 1-4 Aliphatic series;
each L is independently halogen, optionally substituted amino, optionally substituted alkoxy, optionally substituted bis (trialkylsilyl) amino, optionally substituted trialkylsilyl, a ligand reactive with the opposing reactants and/or with a ligand-containing precursor, ion or
A portion;
a is more than or equal to 1; b is more than or equal to 1; and c is more than or equal to 1.
In a particular embodiment of formula (I), a is 1, 2, or 3. In other embodiments, b is 1,
2. 3, 4, 5, or 6; and c is 1, 2, 3, 4, 5, or 6.
In some embodiments, the metal-containing precursor includes tin (IV), e.g., in the structure of formula (Ia):
S n R b L 3-b (I a ),
wherein:
each R is independently optionally substituted C 1-4 Halogenated aliphatic or C 1-4 Aliphatic series;
each L is independently halogen, optionally substituted amino, optionally substituted alkoxy, or a ligand reactive with the opposing reactants and/or with a ligand-containing precursor; and is also provided with
b is 1 or 2.
In some embodiments, M is characterized by a highly patterned radiation absorption cross section (e.g., equal to or greater than 1X 10 7 cm 2 EUV absorption cross section per mol).
In some embodiments, the metal-containing precursor (e.g., in formula (I), (I) a )、(IV)、(IV a ) Or any other formula herein) has at least one optionally substituted C 1-2 A haloalkyl group. Non-limiting halogenated aliphatic groups include-CX y H 3-y Wherein y is 1, 2 or 3, and wherein each X is independently halogen (F, cl, br or I); -CX z H 2-z CX y H 3-y Wherein z is 0, 1 or 2, wherein y is 0, 1, 2 or 3, and wherein each X is independently halogen (F, cl, br or I), wherein at least one of z or y is other than 0; or-CH 2 CX y H 3-y Wherein y is 1, 2 or 3, and wherein each X is independently halogen (F, cl, br or I). Still other non-limiting haloalkyl groups include fluoromethyl (-CH) 2 F) Difluoromethyl (-CHF) 2 ) Trifluoromethyl (-CF) 3 ) Chloromethyl (-CH) 2 Cl), dichloromethyl (CHCl) 2 ) Trichloromethyl (-CCl) 3 ) Bromomethyl (-CH) 2 Br), dibromomethyl (CH Br) 2 ) Tribromomethyl (-C Br) 3 ) Iodomethyl (-CH) 2 I) Diiodomethyl (-CHI) 2 ) Triiodomethyl (CI) 3 ) Bromofluoromethyl (-CHFBr), chlorofluoromethyl (-CHFCl), fluoroiodomethyl (CHFI), 2-fluoroethyl (-CH) 2 CH 2 F) 2-chloroethyl (-CH) 2 CH 2 Cl), 2-bromoethyl group (-CH) 2 CH 2 Br), 2-iodoethyl (CH) 2 CH 2 I) 2, 2-difluoroethyl (-CH) 2 CHF 2 ) 2, 2-Dichloroethyl (-CH) 2 CHCl 2 ) 2, 2-dibromoethyl (CH) 2 CHBr 2 ) 2, 2-diiodoethyl (-CH) 2 CHI 2 ) 2, 2-fluoroiodoethyl (-CH) 2 CHFI)And the like. In certain embodiments, C 1-2 Haloalkyl includes β -halogen substituted ethyl. Still other halogenated aliphatic groups include C 1-4 Haloalkyl, C 2-4 Haloalkenyl and C 2-4 Haloalkynyl.
In other embodiments, the metal-containing precursor (e.g., in formula (I), (I) a )、(IV)、(IV a ) Or any other formula herein) has at least one optionally substituted C 1-2 Alkyl, optionally substituted C 2-4 Alkenyl, or optionally substituted C 2-4 Alkynyl groups. Non-limiting groups include-C n H 2n+1 Wherein n is 1 or 2; -C n H 2n-1 Wherein n is 2, 3 or 4; or-C n H 2n-3 Wherein n is 2, 3 or 4. Still other non-limiting groups include methyl (-CH) 3 ) Ethyl (-CH) 2 CH 3 ) Vinyl (vinyl or ethyl), -ch=ch 2 ) 1-propenyl (-ch=chch) 3 ) Allyl or 2-propenyl (-CH) 2 -CH=CH 2 ) 1-butenyl (-ch=chch) 2 CH 3 ) 2-butenyl (-CH) 2 CH=CHCH 3 ) 3-butenyl (e.g. -CH 2 CH 2 CH=CH 2 ) Ethynyl (-C.ident.CH), 1-propynyl (-C.ident.CCH) 3 ) 2-propynyl or propargyl (-CH) 2 C.ident.CH), 1-butynyl (-C.ident.CCH) 2 CH 3 ) 2-butynyl (-CH) 2 C≡CCH 3 ) 3-butynyl (-CH) 2 CH 2 C≡ch) and the like.
In some embodiments, each ligand in the metal-containing precursor may be a ligand that is reactive with the opposing reactants and/or the ligand-containing precursor. In one example, the metal-containing precursor includes a structure having formula (I), wherein each R is independently L. In another example, the metal-containing precursor includes a structure having formula (III):
M a L c (III),
wherein:
each M is independently a metal;
each L is independently halogen, optionally substituted amino, optionally substituted alkoxy, optionally substituted bis (trialkylsilyl) amino, optionally substituted trialkylsilyl, a ligand reactive with the opposing reactants and/or with a ligand-containing precursor, ion or
A portion;
a is more than or equal to 1; and c is more than or equal to 1.
In a particular embodiment of formula (III), a is 1. In further embodiments, c is 2, 3, or 4.
In other embodiments, the metal-containing precursor includes a structure having formula (IIIa):
SnL 2 (IIIa),
wherein:
each L is independently halogen, optionally substituted amino, optionally substituted alkoxy, or a ligand reactive with the opposing reactants and/or with a ligand-containing precursor.
For any of the formulae herein, M can be a material having a highly patterned radiation absorption cross section (e.g., equal to or greater than 1 x 10 7 cm 2 EUV absorption cross section/mol). In some embodiments, M is tin (Sn), tellurium (Te), bismuth (Bi), antimony (Sb), hafnium (Hf), or zirconium (Zr). In a further embodiment, in formula (I), M is Sn, a is 1, and b+c is 4. In other embodiments, in formula (I), M is Sn, a is 1, and b+c is 2. In still other embodiments, in formula (III), M is Sn, a is 1, and c is 2. In certain embodiments, M is Sn (II) (e.g., in formula (I) or (III)), and thus is provided as a metal-containing precursor of a Sn (II) -based compound. In other embodiments, M is Sn (IV) (e.g., in formula (I) or (III)), and thus is provided as a metal-containing precursor of a Sn (IV) -based compound.
For any of the formulae herein, each L is independently H, halo, optionally substituted alkyl, optionally substituted aryl, optionally substituted amino, optionally substituted bis (trialkylsilyl) amino, optionally substituted trialkylsilyl, OR optionally substituted alkoxy (e.g., -OR l Wherein R is 1 May be an alkyl group). In some embodiments, the optionally substituted amino group is-NR 1 R 2 Wherein each R 1 R is R 2 Independently H or alkyl; or wherein R is 1 And R is R 2 Together with the nitrogen atom to which each is attached, form a heterocyclic group as defined herein. In other embodiments, the optionally substituted bis (trialkylsilyl) amino group is-N (SiR 1 R 2 R 3 ) 2 Wherein each R 1 、R 2 R is R 3 Independently an alkyl group. In still other embodiments, the optionally substituted trialkylsilyl group is-SiR 1 R 2 R 3 Wherein each R 1 、R 2 R is R 3 Independently an alkyl group.
In other embodiments, the formula includes a formula-NR 1 R 2 is-NR 1 R 2 Wherein each R is l R is R 2 Independently H or alkyl; or wherein R from the first L 1 And R from the second L 1 Together with the nitrogen atom and the metal atom to which each is attached, form a heterocyclic group as defined herein. In still other embodiments, the formula includes a formula of-OR 1 is-OR 1 Wherein each R is 1 Independently H or alkyl; or wherein R from the first L 1 And R from the second L 1 Together with the oxygen atom and the metal atom to which each is attached, form a heterocyclic group as defined herein.
In some embodiments, at least one of L or R is optionally substituted alkyl (e.g., in formula (I), (Ia), (III), or (IIIa)). Non-limiting alkyl groups include, for example, C n H 2n+1 Wherein n is 1, 2, 3 or greater, such as methyl, ethyl, n-propyl, isopropyl, n-butyl, isobutyl, sec-butyl or tert-butyl. In various embodiments, L or R has at least one β -hydrogen or β -halogen.
In some embodiments, at least one of L or R is optionally substituted haloalkyl (e.g., in formula (I), (Ia), (III), or (IIIa)). Non-limiting haloalkyl groups include, for example, C n H 2n+1-z X z Wherein n is 1, 2, 3 or greater; wherein z is 1 to 2n+1 (e.g. 1 to 3, 1 to5. Or 1 to 7); and wherein each X is independently halogen (F, cl, br or I). In various embodiments, L or R has at least one β -hydrogen and/or β -halogen. In particular, the metal-containing precursor may be FCH 2 SnL 3 、CF 3 SnL 3 、ICH 2 SnL 3 、CI 3 SnL 3 、CH 2 FCH 2 SnL 3 Or CH 2 ICH 2 SnL 3 Wherein each L can be any of those described herein (e.g., including halogen, optionally substituted amino, or optionally substituted alkoxy).
In some embodiments, at least one of L or R is optionally substituted alkenyl or optionally substituted alkynyl (e.g., in formula (I), (Ia), (III), or (IIIa)). Non-limiting alkenyl groups include, for example, C n H 2n-1 Wherein n is 2, 3, 4 or greater, such as ethenyl, 1-propenyl, 2-propenyl, 1-butenyl, 2-butenyl or 3-butenyl. Non-limiting alkynyl groups include, for example, C n H 2n-3 Wherein n is 2, 3, 4 or greater, such as ethynyl, 1-propynyl, 2-propynyl, 1-butynyl, 2-butynyl or 3-butynyl.
In some embodiments, each L or at least one L is halogen (e.g., in formula (I), (Ia), (III), or (IIIa)). In particular, the metal-containing precursor may be a metal halide or an organometallic halide. Non-limiting metal halides and organometallic halides include FCH 2 SnX 3 、CF 3 SnX 3 、ICH 2 SnX 3 、CI 3 SnX 3 、CH 2 FCH 2 SnX 3 、CH 2 ICH 2 SnX 3 、SnX 2 Or SnX 4 Wherein each X is independently halogen. In other embodiments, the metal-containing precursor is RSnX 3 Wherein R is C 1-4 Haloalkyl, C 2-4 Haloalkenyl or C 2-4 Haloalkynyl; and wherein each X is independently halogen. In still other embodiments, the metal-containing precursor is RSnX 3 Wherein R is C 1-2 Alkyl, C 2-4 Alkenyl or C 2-4 Alkynyl; wherein each X is independently halogen.
In some embodiments, each L or at least one L may include a nitrogen atom. In particular embodiments, one or more L may be an optionally substituted amino group or an optionally substituted bis (trialkylsilyl) amino group (e.g., in formula (I), (Ia), (III), or (IIIa)). Non-limiting L substituents may include, for example, -NMe 2 、-NEt 2 、-NMeEt、-N(t-Bu)-[CHCH 3 ] 2 -N(t-Bu)-(tbba)、-N(SiMe 3 ) 2 -N (SiEt) 3 ) 2 . Non-limiting metal-containing precursors may include, for example, sn (CH) 2 F)(NMe 2 ) 3 、Sn(CF 3 )(NMe 2 ) 3 、Sn(CH 2 I)(NMe 2 ) 3 、Sn(CI 3 )(NMe 2 ) 3 、Sn(CH 2 CH 2 F)(NMe 2 ) 3 、Sn(CH 2 CH 2 I)(NMe 2 ) 3 、Sn(CH 2 F) 2 (NMe 2 ) 2 、Sn(CF 3 ) 2 (NMe 2 ) 2 、Sn(CH 2 I) 2 (NMe 2 ) 2 、Sn(CI 3 ) 2 (NMe 2 ) 2 、Sn(CH 2 CH 2 F) 2 (NMe 2 ) 2 、Sn(CH 2 CH 2 I) 2 (NMe 2 ) 2 、Sn(CH 2 F)(NEt 2 ) 3 、Sn(CF 3 )(NEt 2 ) 3 、Sn(CH 2 I)(NEt 2 ) 3 、Sn(CI 3 )(NEt 2 ) 3 、Sn(CH 2 CH 2 F)(NEt 2 ) 3 、Sn(CH 2 CH 2 I)(NEt 2 ) 3 、Sn(CH 3 )(NMe 2 ) 3 、Sn(CH 2 CH 3 )(NMe 2 ) 3 、Sn(CH=CH 2 )(NMe 2 ) 3 、Sn(CH=CHCH 3 )(NMe 2 ) 3 、Sn(CH 2 -CH=CH 2 )(NMe 2 ) 3 、Sn(C≡CH)(NMe 2 ) 3 、Sn(C≡CCH 3 )(NMe 2 ) 3 、Sn(CH 2 C≡CH)(NMe 2 ) 3 、Sn(NMe 2 ) 2 、Sn(NEt 2 ) 2 Or Sn [ N (SiMe) 3 ) 2 ] 2
In some embodiments, the metal-containing precursor is RSn (NMe 2 ) 3 、RSn(NMe 2 ) 2 (NEt 2 )、RSn(NEt 2 ) 3 Or RSn [ N (SiMe) 3 ) 2 ] 3 Wherein R is C 1-2 Haloalkyl, C 2-4 Haloalkenyl, C 2-4 Haloalkynyl, C 1-2 Alkyl, C 2-4 Alkenyl or C 2-4 Alkynyl groups. In other embodiments, the metal-containing precursor is R 2 Sn(NMe 2 ) 2 、R 2 Sn(NMe 2 )(NEt 2 )、R 2 Sn(NEt 2 ) 2 Or R 2 Sn[N(SiMe 3 ) 2 ] 2 Wherein each R is independently C 1-2 Haloalkyl, C 2-4 Haloalkenyl, C 2-4 Haloalkynyl, C 1-2 Alkyl, C 2-4 Alkenyl or C 2-4 Alkynyl groups.
In other embodiments, the metal-containing precursor is RSnL 3 Wherein R is C 1-2 Haloalkyl, C 2-4 Haloalkenyl, C 2-4 Haloalkynyl, C 1-2 Alkyl, C 2-4 Alkenyl or C 2-4 Alkynyl; and wherein each L is independently selected from the group consisting of-NMe 2 、-NEt 2 、-NMeEt、-N(t-Bu)-[CHCH 3 ] 2 -N(t-Bu)-(tbba)、-N(SiMe 3 ) 2 and-N (SiEt) 3 ) 2 Is a group of (a) in the group(s).
In still other embodiments, the metal-containing precursor is SnL 2 Wherein each L is independently selected from the group consisting of-NMe 2 、-NEt 2 、-NMeEt、-N(t-Bu)-[CHCH 3 ] 2 -N(t-Bu)-(tbba)、-N(SiMe 3 ) 2 and-N (SiEt) 3 ) 2 Is a group of (a) in the group(s).
In some implementations, each L or at least one L may include a silicon atom. In particular embodiments, one or more L may be optionally substituted trialkylsilyl or optionally substituted bis (trialkylsilyl) amino (e.g., in In formula (I), (Ia), (III), or (IIIa). Non-limiting L substituents may include, for example, -SiMe 3 、-SiEt 3 、-N(SiMe 3 ) 2 and-N (SiEt) 3 ) 2 . Non-limiting metal-containing precursors can include, for example, sn [ N (SiMe 3 ) 2 ] 2 Bis (trimethylsilyl) tellurium (Te (SiMe) 3 ) 2 ) Bis (triethylsilyl) tellurium (Te (SiEt) 3 ) 2 ) Or Bi [ N (SiMe) 3 ) 2 ] 3
In some embodiments, each L or at least one L may comprise an oxygen atom. In particular embodiments, one or more L may be an optionally substituted alkoxy group (e.g., in formula (I), (Ia), (III), or (IIIa)). Non-limiting L substituents include, for example, methoxy, ethoxy, isopropoxy (i-PrO), tert-butoxy (t-BuO), and-O=C (CH) 3 )-CH=C(CH 3 ) -O- (acac). Non-limiting metal-containing precursors include, for example, sn (CH) 2 F)(t-BuO) 3 、Sn(CF 3 )(t-BuO) 3 、Sn(CH 2 I)(t-BuO) 3 、Sn(CI 3 )(t-BuO) 3 、Sn(CH 2 CH 2 F)(t-BuO) 3 、Sn(CH 2 CH 2 I)(t-BuO) 3 、Sn(CH 2 F) 2 (t-BuO) 2 、Sn(CF 3 ) 2 (t-BuO) 2 、Sn(CH 2 I) 2 (t-BuO) 2 、Sn(CI 3 ) 2 (t-BuO) 2 、Sn(CH 2 CH 2 F) 2 (t-BuO) 2 、Sn(CH 2 CH 2 I) 2 (t-BuO) 2 、Sn(t-BuO) 2 、Sn(CH 3 )(t-BuO) 3 、Sn(CH 2 CH 3 )(t-BuO) 3 、Sn(CH=CH 2 )(t-BuO) 3 、Sn(CH=CHCH 3 )(t-BuO) 3 、Sn(CH 2 -CH=CH 2 )(t-BuO) 3 、Sn(C≡CH)(t-BuO) 3 、Sn(C≡CCH 3 )(t-BuO) 3 、Sn(CH 2 C≡CH)(t-BuO) 3 Or Sn (acac) 2
In some embodiments, the metal-containing precursor is RSn (t-BuO) 3 Wherein R is C 1-2 Haloalkyl, C 2-4 Haloalkenyl, C 2-4 Haloalkynyl, C 1-2 Alkyl, C 2-4 Alkenyl or C 2-4 Alkynyl groups. In other embodiments, the metal-containing precursor is R 2 Sn(t-BuO) 2 Wherein each R is independently C 1-2 Haloalkyl, C 2-4 Haloalkenyl, C 2-4 Haloalkynyl, C 1-2 Alkyl, C 2-4 Alkenyl or C 2-4 Alkynyl groups. In still other embodiments, the metal-containing precursor is RSnL 3 Wherein R is C 1-2 Haloalkyl, C 2-4 Haloalkenyl, C 2-4 Haloalkynyl, C 1-2 Alkyl, C 2-4 Alkenyl or C 2-4 Alkynyl; and wherein each L is independently selected from the group of methoxy, ethoxy, i-PrO, and t-BuO.
Still other metal-containing precursors and non-limiting substituents (e.g., R and L) are described herein. For example, the metal-containing precursor may be of formula (I), (Ia), (III) or (IIIa) as described above; or any of the structures of formulae (V), (Va), (VI), (VII), (VIII), (IX), (X) or (XI) described below. Any substituent M, R, X or L as described herein may be used in any of formulas (I), (Ia), (II), (IIa), (III), (IIIa), (IV), (IVa), (V), (Va), (VI), (VII), (VIII), (IX), (X), or (XI). For example, any of the substituents M, R, X or L described herein for (II), (IIa), (IV), (IVa), (V), (Va), (VI), (VII), (VIII), (IX), (X), or (XI) can be used in any of formulas (I), (Ia), (III), or (IIIa).
In one non-limiting example, to provide a film, a ligand-containing precursor can be used to react with or replace the ligand of the metal-containing precursor. Non-limiting ligand-containing precursors are described herein.
Such precursors may further be used in combination with one or more opposing reactants. The opposing reactants preferably have the ability to replace a reactive moiety, ligand or ion (e.g., L in the formulae herein) to attach to at least two metal atoms by chemical bonding. Exemplary relative reactants include oxygen-containing relative reactants, e.g., O 2 、O 3 Water, peroxides (e.g. hydrogen peroxide), oxygenPlasma, water plasma, alcohol, di-or polyhydroxy alcohol, fluorinated glycol (fluorinated glycols), sources of formic acid and other hydroxy moieties, and combinations thereof. In various embodiments, the opposing reactants react with the metal-containing and/or ligand-containing precursor by forming an oxygen bridge between adjacent metal atoms. Other possible relative reactants include hydrogen sulfide and hydrogen disulfide, which can crosslink metal atoms through sulfur bridges, and bis (trimethylsilyl) tellurium, which can crosslink metal atoms through tellurium bridges. In addition, hydrogen iodide may be utilized to incorporate iodine into the membrane.
In certain embodiments, the opposing reactant is a chalcogenide precursor, e.g., that includes a structure having the following formula (XII):
X 3 -Z-X 4 (XII),
wherein:
z is sulfur, selenium, or tellurium; and is also provided with
X 3 And X is 4 Independently is H, an optionally substituted alkyl (e.g., methyl, ethyl, n-propyl, isopropyl, n-butyl, t-butyl, etc.), an optionally substituted alkenyl, an optionally substituted aryl, an optionally substituted amino, an optionally substituted alkoxy, or an optionally substituted trialkylsilyl.
Using the metal-containing precursors herein, gradient films can be formed. For example, the various atoms present in the metal-containing precursor, ligand-containing precursor, and/or the opposing reactants may be provided within a gradient film. In some implementations of the technology discussed herein, a non-limiting strategy that can further improve EUV sensitivity in Photoresist (PR) films is to establish films with vertically graded film compositions that result in depth dependent EUV sensitivity. In a homogeneous PR with a high absorption coefficient, a higher EUV dose is required to reduce the light intensity throughout the film depth to ensure adequate exposure of the bottom. By increasing the atomic density with high EUV absorption at the bottom of the film relative to the top of the film (i.e. by creating a gradient of increased EUV absorption), it becomes possible to more efficiently use the available EUV photons and to more evenly distribute the absorption (and the effect of secondary electrons) towards the bottom of the higher absorbing film. In a non-limiting example, the gradient film includes Te, I, or other atoms toward the bottom of the film (e.g., closer to the substrate).
Strategies to design vertical composition gradients in PR films are particularly suitable for dry deposition methods, such as CVD and ALD, and can be achieved by adjusting the flow ratio between the different reactants during deposition. The types of compositional gradients that can be designed include: ratios between different high-absorption metals, percentages of metal atoms with EUV cleavable organic groups, percentages of (halo) aliphatic substituents and/or relative reactants containing high-absorption elements, and combinations thereof.
The composition gradient in the EUVPR film may also provide additional benefits. For example, a high density of high EUV absorbing elements in the bottom of the film may effectively generate more secondary electrons, which may better expose the upper portion of the film. Furthermore, such a composition gradient may also be directly related to a higher proportion of EUV absorbing species (not bonded to bulky end substituents). For example, in the case of a Sn-based resist, a tin precursor with four leaving groups may be incorporated, thus facilitating the formation of Sn-O-substrate bonds at the interface to improve adhesion.
Such gradient films may be formed by using any of the metal-containing precursors (e.g., tin or non-tin precursors), ligand-containing precursors, and/or relative reactants described herein. Still other films, methods, precursors, and other compounds are described in U.S. provisional patent application No.62/909,430 (titled SUBSTRATE SURFACE MODIFICATION WITH HIGH EUV ABSORBERS FOR HIGH PERFORMANCE EUV PHOTORESISTS) filed on 10/2 in 2019 and international application No. pct/US20/70172 (titled PHOTORESIST WITH MULTIPLE PATTERNING RADIATION-absorping ELEMENTS AND/OR VERTICAL COMPOSITION GRADIENT) filed on 24 in 2020, the disclosures of which are incorporated herein by reference, at least in relation to the composition, deposition, and patterning of directly photopatternable metal oxide films to form EUV resist masks.
Furthermore, two or more different precursors may be used within each layer (e.g., film or overcoat). For example, two or more of any of the metal-containing precursors herein may be used to form an alloy. In one non-limiting example, tin telluride may be produced byBy a method comprising-NR 2 Tin precursor of ligand and RTeH, RTeD or TeR 2 Precursors, wherein R is alkyl, especially t-butyl or isopropyl. In another example, the metal telluride may be produced by using a first metal precursor (e.g., sbCl 3 ) With tellurium-containing precursors comprising trialkylsilyl ligands, such as bis (trimethylsilyl) tellurium.
Still other exemplary EUV sensitive materials, as well as methods and apparatus for processing, are described in U.S. patent No.9,996,004 and international patent publication No. wo 2019/217749, each of which is incorporated herein by reference in its entirety.
Ligand-containing precursors
The ligand-containing precursor can be any that has a ligand (e.g., is reactive with the opposing reactants and/or the metal-containing precursor). In one embodiment, such ligand-containing chemistries will generally be employed prior to the film deposition process to prepare suitable metal-containing precursors. For example, the ligand-containing precursor can include a structure having formula (II) or (IIa), which can be reacted with a metal-containing precursor (e.g., having a structure of formula (I)) to exchange the ligand.
In one embodiment, the ligand-containing precursor includes a structure having formula (II):
A d L e (II),
wherein:
each a is independently a metal or metalloid (e.g., lithium (Li), sodium (Na), magnesium (Mg), zinc (Zn), or aluminum (Al));
each L is independently halogen, optionally substituted amino, optionally substituted alkoxy, optionally substituted bis (trialkylsilyl) amino, optionally substituted trialkylsilyl, a ligand reactive with the opposing reactants and/or with the metal-containing precursor, ion or moiety;
d.gtoreq.1 (e.g., d is 1, 2, or 3); and is also provided with
e.gtoreq.1 (e.g., e is 1, 2, 3, 4, 5, 6, 7, or 8).
In yet other embodiments, the ligand-containing precursor includes a structure having formula (IIa):
AL e (IIa),
wherein:
each a is independently a metal or metalloid (e.g., li, na, mg, zn or Al);
each L is independently halogen, optionally substituted amino, optionally substituted alkoxy, optionally substituted bis (trialkylsilyl) amino, optionally substituted trialkylsilyl, a ligand reactive with the opposing reactants and/or with the metal-containing precursor, ion or moiety; and is also provided with
e.gtoreq.1 (e.g., e is 1, 2 or 3).
In particular embodiments, the ligand-containing precursor is an organolithium compound (e.g., liL), an organosodium compound (e.g., naL), an organomagnesium compound (e.g., mgL) 2 ) Grignard reagents (e.g. MgLX, where X is halogen), organozinc compounds (e.g. ZnL) 2 ) Or an organoaluminum compound (e.g., alL) 3 ). In some embodiments, L is any ligand described herein for formula (I), (Ia), (III), (IIIa), (V), (Va), (VI), (VII), (VIII), (IX), (X), or (XI) (e.g., as R or L).
In another embodiment, the ligand-containing precursor may be used to synthesize the precursor (prior to deposition) or to provide the precursor in situ (e.g., during deposition in a chamber). For example, the ligand-containing precursor can include a structure having formula (IV) or (IVa), which can be reacted with a metal-containing precursor (e.g., a structure having formula (I), (Ia), (III), or (IIIa)). In some embodiments, the metal-containing precursor includes tin (II). In other embodiments, the metal-containing precursor comprising formula (III) is reacted with a ligand-containing precursor comprising formula (IV) to provide a precursor comprising a structure having formula (I).
In some embodiments, the metal-containing precursor includes both R and L groups. In one embodiment, the ligand-containing precursor includes a structure having formula (IV):
R f L g (IV),
wherein:
each R is independently optionally substituted C 1-4 Halogenated aliphatic or C 1-4 Aliphatic series;
Each L is independently halogen, optionally substituted amino, optionally substituted alkoxy, optionally substituted bis (trialkylsilyl) amino, optionally substituted trialkylsilyl, a ligand reactive with the opposing reactants and/or with the metal-containing precursor, ion or moiety;
f.gtoreq.1 (e.g., 1, 2 or 3); and is also provided with
g.gtoreq.1 (e.g., 1, 2, 3, 4 or 5).
In other embodiments, the ligand-containing precursor includes a structure having formula (IVa):
RL(IVa),
wherein:
each R is independently optionally substituted C 1-4 Halogenated aliphatic or C 1-4 Aliphatic series; and is also provided with
Each L is independently halogen, optionally substituted amino, optionally substituted alkoxy, optionally substituted bis (trialkylsilyl) amino, optionally substituted trialkylsilyl, a ligand reactive with the opposing reactants and/or with metal-containing precursors, ion or moiety. In a particular embodiment, L is halogen.
Non-limiting examples of R (e.g., in formula (IV), (IVa) or any other formula herein) include-CX y H 3-y Wherein y is 1, 2 or 3, and wherein each X is independently halogen (F, cl, br or I); -CX z H 2-z CX y H 3-y Wherein z is 0, 1 or 2, wherein y is 0, 1, 2 or 3, and wherein each X is independently halogen (F, cl, br or I), wherein at least one of z or y is not 0; -CH 2 CX y H 3-y Wherein y is 1, 2 or 3, and wherein each X is independently halogen (F, cl, br or I); -C n H 2n+1-z X z Wherein n is 1, 2, 3, or greater, wherein z is 1 to 2n+1 (e.g., 1 to 3, 1 to 5, or 1 to 7), and wherein each X is independently halogen (F, cl, br, or I); -C n H 2n+1 Wherein n is 1 or 2; -C n H 2n-1 Wherein n is 2, 3 or 4; or-C n H 2n-3 Wherein n is 2, 3 or 4. Still other non-limiting haloalkyl groups include halogen substituted methyl groups including one, two or three halogenA prime substitution and the like (as described herein); halogen substituted ethyl including one, two or three halogen substitutions; and β -halogen substituted ethyl, including one, two or three halogen substitutions (as described herein).
Non-limiting examples of L (e.g., for formula (II), (IIa), (IV) OR (IVa)) include H, halogen, optionally substituted alkyl, optionally substituted aryl, optionally substituted amino, optionally substituted bis (trialkylsilyl) amino, optionally substituted trialkylsilyl OR optionally substituted alkoxy (e.g., -OR 1 Wherein R is 1 May be an alkyl group). In some embodiments, the optionally substituted amino group is-NR 1 R 2 Wherein each R 1 R is R 2 Independently H or alkyl; or wherein R is 1 And R is R 2 Together with the nitrogen atom to which each is attached, form a heterocyclic group as defined herein. In other embodiments, the optionally substituted bis (trialkylsilyl) amino group is-N (SiR 1 R 2 R 3 ) 2 Wherein each R 1 、R 2 R is R 3 Independently an alkyl group. In still other embodiments, the optionally substituted trialkylsilyl group is-SiR 1 R 2 R 3 Wherein each R 1 、R 2 R is R 3 Independently an alkyl group.
In some embodiments, each L or at least one L may include an oxygen atom. In particular embodiments, one or more L may be an optionally substituted alkoxy group (e.g., in formula (II), (IIa), (IV), or (IVa)). Non-limiting L substituents include, for example, methoxy, ethoxy, isopropoxy (i-PrO), tert-butoxy (t-BuO), 1-methoxy-2-methyl-2-propanoyl (mmp), 2, 6-tetramethyl-3, 5-heptanedione (THD), acetylacetonate (acac), and-O-C (Z) a )-CZ a -C(Z a ) -O- (β -diketonate) wherein each Za can independently be H, alkyl, alkenyl, alkynyl, aryl, phenyl or halogen.
In some embodiments, each L or at least one L may include a nitrogen atom. In particular embodiments, one or more LMay be optionally substituted amino (e.g. in formula (II), (IIa), (IV) or (IVa)). Non-limiting L substituents include, for example, dialkylamino; dimethylamino (-NMe) 2 ) The method comprises the steps of carrying out a first treatment on the surface of the Diethylamino (-NEt) 2 ) The method comprises the steps of carrying out a first treatment on the surface of the Diethylamino (-NMeEt); -N (t-Bu) - [ CHCH ] 3 ] 2 -N (t-Bu) - (tbba); bis (trimethylsilyl) amino (-N (SiMe) 3 ) 2 ) The method comprises the steps of carrying out a first treatment on the surface of the Bis (triethylsilyl) amino (-N (SiEt) 3 ) 2 ) The method comprises the steps of carrying out a first treatment on the surface of the 3- (dimethylamino) propyl (dmp); -NR 1 R 2 -C(Z a ) 2 -C(Z a ) 2 -C(Z a ) 2 - (dialkylaminopropyl) in which each Z a 、R 1 R is R 2 May independently be H, alkyl, alkenyl, alkynyl, aryl, phenyl or halogen; -N (Z) a )-C(Z a )-N(Z a ) - (amidino ) each Z a Can be independently H, alkyl, alkenyl, alkynyl, aryl, phenyl or halogen, including N, N' -di-sec-butyl acetamido [ (iPrN) 2 CMe];-N(Z a )-C(NR 1 R 2 )-N(Z a ) - (guanidino, guandinato) wherein each Z a 、R 1 R is R 2 May independently be H, alkyl, alkenyl, alkynyl, aryl, phenyl or halogen; or-N (Z) a )-C(Z a )-C(Z a )-C(Z a )-N(Z a ) - (beta-diimino, '-diketimino') in which each Z a May independently be H, alkyl, alkenyl, alkynyl, aryl, phenyl or halogen.
In some embodiments, each L or at least one L may comprise an optionally substituted cyclopentadienyl (Cp) or an optionally substituted aryl. Non-limiting substitution of Cp and aryl groups may include alkyl, alkenyl, alkynyl, aryl, phenyl, or halo, e.g., alkylated derivatives (e.g., eta 5 -C 5 H 4 Et)。
Other ligand-containing precursors may include organolithium compounds, such as lithium dimethylaminoli 2 ]Lithium bis (trimethylsilyl) amide [ LiN (SiMe) 3 ) 2 ]。
Still other ligand-containing precursors may include aluminum halides, such as aluminum bromide[AlBr 3 ]Aluminum chloride [ AlCl ] 3 ]The method comprises the steps of carrying out a first treatment on the surface of the Organoaluminum, including trialkylaluminum and triarylaluminum, e.g. trimethylaluminum [ AlMe ] 3 ]Triethylaluminum [ AlEt ] 3 ]The method comprises the steps of carrying out a first treatment on the surface of the Alkyloxides, e.g. aluminum methoxide [ Al (OMe) 3 ]Aluminum isopropoxide [ Al (OiPr) 3 ]The method comprises the steps of carrying out a first treatment on the surface of the Tris (dialkylamino) aluminum, e.g. tris (dimethylamino) aluminum [ Al (NMe) 2 ) 3 Or AL 2 (NMe 2 ) 6 ]。
Still other ligand-containing precursors may include haloalkyl halides or alkyl halides, such as haloalkyl iodides, haloalkyl bromides, haloalkyl chlorides, haloalkyl fluorides, alkyl iodides, alkyl bromides, alkyl chlorides, or alkyl fluorides. In some embodiments, the haloalkyl is-CX y H 3-y Wherein y is 1, 2 or 3, and wherein each X is independently halogen (F, cl, br or I); -CX z H 2-z CX y H 3-y Wherein z is 0, 1 or 2, wherein y is 0, 1, 2 or 3, and wherein each X is independently halogen (F, cl, br or I), wherein at least one of z or y is not 0; -CH 2 CXyH 3-y Wherein y is 1, 2 or 3, and wherein each X is independently halogen (F, cl, br or I); or C n H 2n+1-z X z Wherein n is 1, 2, 3 or greater, wherein z is 1 to 2n+1 (e.g., 1 to 3, 1 to 5 or 1 to 7), and wherein each X is independently halogen (F, cl, br or I). Still other non-limiting haloalkyl groups include halogen substituted methyl groups, including one, two or three halogen substitutions and the like (as described herein); halogen substituted ethyl including one, two or three halogen substitutions; and β -halogen substituted ethyl, including one, two or three halogen substitutions (as described herein). In other embodiments, the alkyl is-C n H 2n+1 Wherein n is 1 or 2; -C n H 2n-1 Wherein n is 2, 3 or 4; or-C n H 2n-3 Wherein n is 2, 3 or 4.
Still other ligand-containing precursors and non-limiting substituents (e.g., R and L) are described herein. For example, any substituent M, R, X or L as described herein for (I), (Ia), (III), (IIIa), (V), (Va), (VI), (VII), (VIII), (IX), (X), or (XI) can be used in any of formulas (II), (IIa), (IV), or (IVa).
Other precursors
As described herein, the films, layers, and methods herein may be employed with any useful precursor. The following precursors may be used as metal-containing precursors or ligand-containing precursors.
In a non-limiting example, the precursor includes a structure having formula (V):
M a R b L c (V),
wherein:
m is a metal or metalloid;
each R is independently halogen, optionally substituted alkyl, optionally substituted aryl, optionally substituted amino, optionally substituted alkoxy, or L;
each L is independently a ligand, ion or other moiety reactive with the opposing reactants, wherein R and L together with M may optionally form a heterocyclic group or wherein R and L together may optionally
Forming a heterocyclic group;
a is more than or equal to 1; b is more than or equal to 1; and c is more than or equal to 1.
In some embodiments, each ligand in the precursor may be a ligand that is reactive with the opposing reactants. In one example, the precursor includes a structure having formula (V), wherein each R is independently L. In another example, the precursor includes a structure having formula (Va):
M a L c (Va),
Wherein:
m is a metal; each L is independently a ligand, ion or a reactive with the opposing reactants
Other moieties, wherein two L together may optionally form a heterocyclyl;
a is more than or equal to 1; and c is more than or equal to 1.
In a particular embodiment of formula (Va), a is 1. In further embodiments, c is 2, 3, or 4.
For any of the formulae herein, M can be a material having a highly patterned radiation absorption cross section (e.g., equal to or greater than 1 x 10 7 cm 2 EUV absorption cross section/mol). In some embodiments, M is tin (Sn), tellurium (Te), bismuth (Bi), antimony (Sb), hafnium (Hf), or zirconium (Zr). In a further embodiment, in formula (V) or formula (Va), M is Sn, a is 1, and c is 4. In other embodiments, in formula (V) or formula (Va), M is Sn, a is 1, and c is 2. In certain embodiments, M is Sn (II) (e.g., in formula (V) or formula (Va)), and thus is provided as a precursor to a Sn (II) -based compound. In other embodiments, M is Sn (IV) (e.g., in formula (V) or formula (Va)), and thus is provided as a precursor to a Sn (IV) -based compound.
For any of the formulae herein, each L is independently H, halo, optionally substituted alkyl, optionally substituted aryl, optionally substituted amino, optionally substituted bis (trialkylsilyl) amino, optionally substituted trialkylsilyl, OR optionally substituted alkoxy (e.g., -OR l Wherein R is 1 May be an alkyl group). In some embodiments, the optionally substituted amino group is-NR 1 R 2 Wherein each R 1 R is R 2 Independently H or alkyl; or wherein R is 1 And R is R 2 Together with the nitrogen atom to which each is attached, form a heterocyclic group as defined herein. In other embodiments, the optionally substituted bis (trialkylsilyl) amino group is-N (SiR 1 R 2 R 3 ) 2 Wherein each R 1 、R 2 R is R 3 Independently an alkyl group. In still other embodiments, the optionally substituted trialkylsilyl group is-SiR 1 R 2 R 3 Wherein each R 1 、R 2 R is R 3 Independently an alkyl group.
In other embodiments, the formula includes a formula-NR 1 R 2 is-NR 1 R 2 Wherein each R is l R is R 2 Independently H or alkyl; or wherein R from the first L 1 And R from the second L 1 Together with the nitrogen atom and the metal atom to which each is attached, form a heterocyclic group as defined herein. In still other embodiments, the formula includes a formula of-OR 1 is-OR 1 Wherein each R is 1 Independently H or alkyl; or wherein R from the first L 1 And R from the second L 1 Together with the oxygen atom and the metal atom to which each is attached, form a heterocyclic group as defined herein.
In some embodiments, at least one of L or R is optionally substituted alkyl (e.g., in formula (V) or (Va)). Non-limiting alkyl groups include, for example, C n H 2n+1 Wherein n is 1, 2, 3 or greater, such as methyl, ethyl, n-propyl, isopropyl, n-butyl, isobutyl, sec-butyl or tert-butyl. In various embodiments, L or R has at least one β -hydrogen or β -halogen. In particular, the precursor may be tetramethyltin (SnMe 4 ) Tetraethyltin (SnEt) 4 ) Tert-butyltellurium hydride (Te (t-Bu) (H)), dimethyl tellurium (TeMe) 2 ) Di (t-butyl) tellurium (Te (t-Bu) 2 ) Or di (isopropyl) tellurium (Te (i-Pr) 2 )。
In some embodiments, each L or at least one L is halogen (e.g., in formula (V) or (Va)). In particular, the precursor may be a metal halide. Non-limiting metal halides include SnBr 4 、SnCl 4 、SnI 4 And SbCl 3
In some embodiments, each L or at least one L may include a nitrogen atom. In particular embodiments, one or more L may be an optionally substituted amino group or an optionally substituted bis (trialkylsilyl) amino group (e.g., in formula (V) or (Va)). Non-limiting L substituents may include, for example, -NMe 2 、-NEt 2 、-NMeEt、-N(t-Bu)-[CHCH 3 ] 2 -N(t-Bu)-(tbba)、-N(SiMe 3 ) 2 -N (SiEt) 3 ) 2 . Non-limiting precursors may include, for example, sn (NMe 2 ) 4 、Sn(NEt 2 ) 4 、Sn(i-Pr)(NMe 2 ) 3 、Sn(n-Bu)(NMe 2 ) 3 、Sn(s-Bu)(NMe 2 ) 3 、Sn(i-Bu)(NMe 2 ) 3 、Sn(t-Bu)(NMe 2 ) 3 、Sn(t-Bu) 2 (NMe 2 ) 2 、Sn(t-Bu)(NEt 2 ) 3 、Sb(NMe 2 ) 3 、Sn(tbba)、Sn[N(SiMe 3 ) 2 ] 2 Or Bi [ N (SiMe) 3 ) 2 ] 3
In some implementations, each L or at least one L may include a silicon atom. In particular embodiments, one or more L may be an optionally substituted trialkylsilyl or an optionally substituted bis (trialkylsilyl) amino group (e.g., in formula (V) or (Va)). Non-limiting L substituents may include, for example, -SiMe 3 、-SiEt 3 、-N(SiMe 3 ) 2 and-N (SiEt) 3 ) 2 . Non-limiting precursors may include, for example, sn [ N (SiMe 3 ) 2 ] 2 Bis (trimethylsilyl) tellurium (Te (SiMe) 3 ) 2 ) Bis (triethylsilyl) tellurium (Te (SiEt) 3 ) 2 ) Or Bi [ N (SiMe) 3 ) 2 ] 3
In some embodiments, each L or at least one L may comprise an oxygen atom. In particular embodiments, one or more L may be an optionally substituted alkoxy group (e.g., in formula (V) or (Va)). Non-limiting L substituents include, for example, methoxy, ethoxy, isopropoxy (i-PrO), tert-butoxy (t-BuO), and-O=C (CH) 3 )-CH=C(CH 3 ) -O- (acac). Non-limiting precursors include, for example, sn (t-BuO) 4 、Sn(n-Bu)(t-BuO) 3 Or Sn (acac) 2
In some examples, the precursor includes a metal halide having the following formula (VI):
MX n (VI),
where M is a metal, X is a halogen, and n is 2 to 4 (depending on the choice of M). Exemplary metals for M include Sn, te, bi, or Sb. Exemplary metal halides include SnBr 4 、SnCl 4 、SnI 4 SbCl 3
Another non-limiting precursor includes a structure having the formula (VII):
MR n (VII),
wherein M is a metal; each R is independently H, optionally substituted alkyl, amino (e.g., -NR) 2 Wherein each R is independently alkyl), optionallySubstituted bis (trialkylsilyl) amino groups (e.g., -N (SiR) 3 ) 2 Wherein each R is independently alkyl), or optionally substituted trialkylsilyl (e.g., -SiR) 3 Wherein each R is independently alkyl); and n is 2 to 4 (depending on the choice of M). Exemplary metals for M include Sn, te, bi, or Sb. The alkyl group may be C n H 2n+1 Wherein n is 1, 2, 3 or greater. Exemplary agents include SnMe 4 、SnEt 4 、TeR n RTeR, tert-butyltellurium hydride (Te (t-Bu) (H)), dimethyl tellurium (TeMe) 2 ) Di-tert-butyltellurium (Te (t-Bu) 2 ) Tellurium bis (isopropyl) (Te (i-Pr)) 2 ) Bis (trimethylsilyl) tellurium (Te (SiMe) 3 ) 2 ) Bis (triethylsilyl) tellurium (Te (SiEt) 3 ) 2 ) Bismuth tris (bis (trimethylsilyl) amido) (Bi [ N (SiMe) 3 ) 2 ] 3 )、Sb(NMe 2 ) 3 And the like.
The metal-containing precursor may include a capping agent having the following formula (VIII):
ML n (VIII) wherein M is a metal; each L is independently optionally substituted alkyl, amino (e.g., -NR) 1 R 2 Wherein each R 1 And R is 2 May be H OR alkyl, such as any of those described herein), alkoxy (e.g., -OR, wherein R is alkyl, such as any of those described herein), halogen, OR other organic substituent; and n is 2 to 4, depending on the choice of M. Exemplary metals for M include Sn, te, bi, or Sb. Exemplary ligands include dialkylamino (e.g., dimethylamino, methylethylamino, and diethylamino), alkoxy (e.g., t-butoxy and isopropoxy), halogen (e.g., F, cl, br, and I), or other organic substituents (e.g., acetylacetone or N) 2 ,N 3 -di-tert-butyl-butane-2, 3-diamino). Non-limiting capping agents include SnCl 4 ;SnI 4 ;Sn(NR 2 ) 4 Wherein each R is independently methyl or ethyl; or Sn (t-BuO) 4 . In some embodiments, multiple types of ligands are present.
The metal-containing precursor may include a hydrocarbyl-substituted capping agent having the following formula (IX):
R n MX m (IX) wherein M is a metal and R is C with beta-hydrogen 2-10 Alkyl or substituted alkyl, and X is a suitable leaving group after reaction with the hydroxyl group in the exposed hydroxyl group. In various embodiments, as long as m > 0 (or m.gtoreq.1), n=1 to 3, and m=4-n, 3-n, or 2-n. For example, R may be tert-butyl, tert-amyl, tert-hexyl, cyclohexyl, isopropyl, isobutyl, sec-butyl, n-amyl, n-hexyl or derivatives thereof having heteroatom substituents in the β -position. Suitable heteroatoms include halogen (F, cl, br OR I), OR oxygen (-OH OR-OR). X may be dialkylamino (e.g., dimethylamino, methylethylamino, or diethylamino), alkoxy (e.g., t-butoxy, isopropoxy), halogen (e.g., F, cl, br, or I), or another organic ligand. Examples of the hydrocarbyl-substituted capping agent include t-butyltris (dimethylamino) tin (Sn (t-Bu) (NMe 2 ) 3 ) N-butyltris (dimethylamino) tin (Sn (n-Bu) (NMe) 2 ) 3 ) Tert-butyltris (diethylamino) tin (Sn (t-Bu) (NEt) 2 ) 3 ) Di (t-butyl) bis (dimethylamino) tin (Sn (t-Bu) 2 (NMe 2 ) 2 ) Sec-butyltris (dimethylamino) tin (Sn (s-Bu) (NMe) 2 ) 3 ) N-pentyltris (dimethylamino) tin (Sn (n-pental) (NMe) 2 ) 3 ) Isobutyl tris (dimethylamino) tin (Sn (i-Bu) (NMe) 2 ) 3 ) Isopropyl tris (dimethylamino) tin (Sn (i-Pr) (NMe 2 ) 3 ) Tert-butyl tris (tert-butoxy) tin (Sn (t-Bu) (t-BuO) 3 ) n-Bu-tin (Sn-Bu) (t-BuO) 3 ) Or isopropyl tri (t-butoxy) tin (Sn (i-Pr) (t-BuO) 3 )。
In various embodiments, the metal-containing precursor includes at least one alkyl group on each metal atom that can remain in the gas phase reaction, while other ligands or ions that coordinate to the metal atom can be substituted with the opposing reactants. Accordingly, another non-limiting metal-containing precursor includes a reagent having the formula (X):
M a R b L c (X),
wherein M is a metal; r is optionally substituted alkyl; l is a ligand, ion or other moiety reactive with the opposing reactants; a is more than or equal to 1; b is more than or equal to 1; and c is more than or equal to 1. In a particular embodiment, a=1, and b+c=4. In some embodiments, M is Sn, te, bi, or Sb. In a particular embodiment, each L is independently amino (e.g., -NR) 1 R 2 Wherein each R 1 R is R 2 May be H OR alkyl, such as any of those described herein), alkoxy (e.g., -OR, wherein R is alkyl, such as any of those described herein), OR halogen (e.g., F, cl, br, OR I). Exemplary agents include SnMe 3 Cl、SnMe 2 Cl 2 、SnMeCl 3 、SnMe(NMe 2 ) 3 、SnMe 3 (NMe 2 ) And the like.
In other embodiments, non-limiting precursors include organometallic agents having the formula (XI):
M a L c (XI),
wherein M is a metal; l is a ligand, ion or other moiety reactive with the opposing reactants; a is more than or equal to 1; and c is more than or equal to 1. In particular embodiments, c=n-1, and n is 2, 3, or 4. In some embodiments, M is Sn, te, bi, or Sb. The opposing reactants preferably have the ability to replace a reactive moiety, ligand or ion (e.g., L in the formulae herein) to attach to at least two metal atoms by chemical bonding.
In any of the embodiments herein, R can be optionally substituted alkyl (e.g., C 1-10 Alkyl). In one embodiment, the alkyl group is substituted with one or more halogens (e.g., halogen substituted C 1-10 Alkyl, which includes one, two, three, four or more halogens, e.g., F, cl, br or I). Exemplary R substituents include C n H 2n+1 Preferably wherein n.gtoreq.3; c n F x H (2n+1-x) Wherein 2n+1.ltoreq.x.ltoreq.1. In various embodiments, R has at least one β -hydrogen or β -fluorine. For example, R may be selected from the group consisting of isopropyl, n-propyl, t-butyl, isobutyl, n-butyl,Sec-butyl, n-pentyl, isopentyl, tert-pentyl, sec-pentyl and mixtures thereof.
In any of the embodiments herein, L may be any moiety that is readily substituted with the opposing reactant to produce an M-OH moiety, e.g., selected from the group consisting of amino (e.g., -NR) 1 R 2 Wherein R is 1 And R is 2 May be H OR alkyl, such as any of those described herein), alkoxy (e.g., -OR, wherein R is alkyl, such as any of those described herein), carboxylate, halogen (e.g., F, cl, br, OR I), and mixtures thereof.
In certain embodiments, the metal precursor comprises tin. In some embodiments, the tin precursor includes SnR or SnR 2 Or SnR 4 Or R is 3 SnSnR 3 Wherein each R is independently H, halogen, optionally substituted C 1-12 Alkyl, optionally substituted C 1-12 Alkoxy, optionally substituted amino (e.g., -NR) 1 R 2 ) Optionally substituted C 2-12 Alkenyl, optionally substituted C 2-12 Alkynyl, optionally substituted C 3-8 Cycloalkyl, optionally substituted aryl, cyclopentadienyl, optionally substituted bis (trialkylsilyl) amino (e.g., -N (SiR) 1 R 2 R 3 ) 2 ) Optionally substituted alkanoyloxy (e.g., acetate), diketone (e.g., -OC (R) 1 )-Ak-(R 2 ) CO-) or bidentate chelated dinitrogen (e.g., -N (R) 1 )-Ak-N(R 1 ) -). In particular embodiments, each R 1 、R 2 And R is 3 Independently H or C 1-12 Alkyl (e.g., methyl, ethyl, isopropyl, t-butyl, or neopentyl); and Ak is optionally substituted C 1-6 An alkylene group. Non-limiting tin precursors include SnF 2 、SnH 4 、SnBr 4 、SnCl 4 、SnI 4 Tetramethyl tin (SnMe) 4 ) Tetraethyltin (SnEt) 4 ) Trimethyltin chloride (SnMe) 3 Cl), dimethyl tin dichloride (SnMe) 2 Cl 2 ) Methyl tin trichloride (SnMeCl) 3 ) Tetraallylic groupBasic tin, tetra-vinyl tin, hexaphenyl ditin (IV) (Ph 3 Sn-SnPh 3 Wherein Ph is phenyl), dibutyl diphenyl tin (SnBu 2 Ph 2 ) Trimethyl (phenyl) tin (SnMe) 3 Ph), trimethyltin (phenylethynyl), tricyclohexyltin hydride, tributyltin hydride (SnBu) 3 H) Dibutyl tin diacetate (SnBu) 2 (CH 3 COO) 2 ) Tin (II) acetylacetonate (Sn (acac) 2 )、SnBu 3 (OEt)、SnBu 2 (OMe) 2 、SnBu 3 (OMe)、Sn(t-BuO) 4 、Sn(n-Bu)(t-BuO) 3 Tetra (dimethylamino) tin (Sn (NMe) 2 ) 4 ) Tetra (ethylmethylamino) tin (Sn (NMeEt) 4 ) Tetra (diethylamino) tin (IV) (Sn (NEt) 2 ) 4 ) (dimethylamino) trimethyltin (IV) (Sn (Me) 3 (NMe 2 )、Sn(i-Pr)(NMe 2 ) 3 、Sn(n-Bu)(NMe 2 ) 3 、Sn(s-Bu)(NMe 2 ) 3 、Sn(i-Bu)(NMe 2 ) 3 、Sn(t-Bu)(NMe 2 ) 3 、Sn(t-Bu) 2 (NMe 2 ) 2 、Sn(t-Bu)(NEt 2 ) 3 Sn (tbba), sn (II) (1, 3-bis (1, 1-dimethylethyl) -4,5-dimethyl- (4R, 5R) -1,3, 2-diazastannanol-amine-2-ylidene) (Sn (II) (1, 3-bis (1, 1-dimethylyl) -4,5-dimethyl- (4R, 5R) -1,3, 2-diazastannnin-2-ylidene)), or bis [ bis (trimethylsilyl) amino group ]Tin (bis [ bis (trimethylsilyl) amino)]tin,Sn[N(SiMe 3 ) 2 ] 2 )。
Still other exemplary organometallic agents include SnMeCl 3 、(N 2 ,N 3 -di-tert-butyl-butane-2, 3-diamino-tin (II) (Sn (tbba)), bis (trimethylsilyl) amido) tin (II), tetrakis (dimethylamino) tin (IV) (Sn (NMe) 2 ) 4 ) Tert-butyl tris (dimethylamino) tin (Sn (t-butyl) (NMe) 2 ) 3 ) Isobutyl tris (dimethylamino) tin (Sn (i-Bu) (NMe) 2 ) 3 ) N-butyltris (dimethylamino) tin (Sn (n-Bu) (NMe) 2 ) 3 ) Sec-butyltris (dimethylamino) tin (Sn (s-Bu) (NMe) 2 ) 3 ) Isopropyl (tri) dimethylaminotin(Sn(i-Pr)(NMe 2 ) 3 ) N-propyltris (diethylamino) tin (Sn (n-Pr) (NEt) 2 ) 3 ) And the like alkyl (tri) (t-butoxy) tin compounds, such as t-butyl tri (t-butoxy) tin (Sn (t-Bu) (t-BuO) 3 ). In some embodiments, the organometallic agent is partially fluorinated.
Photoetching process
EUV lithography utilizes EUV resist, which may be polymer-based chemically amplified resist produced by liquid-based spin-coating techniques or metal oxide-based resist produced by dry vapor deposition techniques. Such EUV resists may include any EUV sensitive film or material described herein. Lithographic methods may include patterning a resist to form a photo-pattern, for example by EUV resist exposure with EUV radiation, followed by developing the pattern to form a mask by removing a portion of the resist from the photo-pattern.
It should also be appreciated that while the present disclosure relates to lithographic patterning techniques and materials exemplified by EUV lithography, it is also applicable to other next generation lithographic techniques. In addition to EUV, which includes the standard 13.5nm EUV wavelength currently in use and development, the radiation source most relevant to such lithography is DUV (deep ultraviolet), which generally refers to the use of 248nm or 193nm excimer laser sources; x-rays, formally including EUV in the lower energy range of the X-ray range; and electron beams, which can cover a wide energy range. Such methods include those in which a substrate (e.g., optionally having exposed hydroxyl groups) is contacted with a metal-containing precursor (e.g., any of those described herein) to form a metal oxide (e.g., a layer comprising a network of metal oxide bonds, which may include other non-metallic and non-oxygen groups) film as an imaging/Photoresist (PR) layer on the surface of the substrate. The particular method may depend on the particular materials and applications used in the semiconductor substrate and the final semiconductor device. Thus, the methods described in this disclosure are merely examples of methods and materials that may be used in the present technology.
Directly photopatternable EUV resists may consist of or contain metals and/or metal oxides mixed in an organic component. Metals/metal oxides are very promising because they may enhance EUV photon adsorption and generate secondary electrons and/or exhibit increased etch selectivity to underlying film stacks and device layers. Development of these photoresists has heretofore been performed using a wet (solvent) protocol that requires the wafer to be moved to a track where the wafer is exposed to a developer, dried, and baked. Wet development not only limits yield, but may also lead to line collapse due to surface tension effects during solvent evaporation between fine features.
Dry development techniques have been proposed to overcome these problems by eliminating substrate delamination and interface failure. Dry development has its own challenges, including etch selectivity between unexposed and EUV exposed resist materials, which may result in a higher dose size ratio requirement for effective resist exposure than wet development. The less preferred selectivity also results in PR corner rounding due to longer exposure times to the etching gas, which may increase line CD variation in subsequent transfer etch steps. Additional processes employed during photolithography are described in detail below.
Deposition process, including dry deposition
As discussed above, the present disclosure provides methods of fabricating an imaging layer on a semiconductor substrate that can be patterned using EUV or other next generation lithography techniques. Methods include those that produce a polymerized organometallic material in vapor and deposit it on a substrate. In some embodiments, dry deposition may employ any useful metal-containing precursor (e.g., metal halides, capping agents, or organometallic agents described herein). In other embodiments, spin-on formulations may be used. The deposition process may include coating the EUV sensitive material as a resist film and/or as a capping layer on a resist film. Exemplary EUV sensitive materials are described herein.
The present technology includes methods whereby EUV sensitive films are deposited on a substrate, such films being operable as resists for subsequent EUV lithography and processing. Furthermore, a secondary EUV sensitive film may be deposited on the underlying primary EUV sensitive film. In one example, the secondary film comprises the cover layer and the primary film comprises the imaging layer.
Such EUV sensitive films include materials that change upon exposure to EUV, such as the loss of bulky pendent ligands (pendant ligands) bonded to metal atoms in low density M-OH rich materials, thereby crosslinking them into denser M-O-M bonded metal oxide materials. In other embodiments, EUV exposure results in further cross-linking between ligands bonded to the metal atoms, thus providing a denser M-L-M bonded organometallic material, where L is the ligand. In still other embodiments, EUV exposure results in the loss of ligands to provide M-OH material that can be removed by the positive-working developer.
By EUV patterning, regions of the film are created that have a change in physical or chemical properties relative to the unexposed regions. These characteristics may be utilized in subsequent processing, such as dissolving unexposed or exposed areas, or selectively depositing material on exposed or unexposed areas. In some embodiments, under conditions in which such subsequent treatments are performed, the unexposed film has a hydrophobic surface and the exposed film has a hydrophilic surface (it should be recognized that the hydrophilic properties of the exposed and unexposed areas are related to each other). For example, removal of material may be performed by balancing the differences in chemical composition, density, and cross-linking of the film. As further described herein, the removal may be performed by wet or dry treatment.
The thickness of the EUV patternable film formed on the substrate surface may vary depending on the surface characteristics, the materials used, and the processing conditions. In various embodiments, the film thickness may be in the range of about 0.5nm to about 100 nm. Preferably, the film has a sufficient thickness to absorb a substantial portion of EUV light under EUV patterning conditions. For example, the total absorbance of the resist film may be 30% or less (e.g., 10% or less, or 5% or less) so that the resist material at the bottom of the resist film is sufficiently exposed. In some embodiments, the film thickness is 10nm to 20nm. Without limiting the mechanism, function, or utility of the present disclosure, it is believed that unlike wet spin-on processes in the art, the process of the present disclosure has less limitation on the surface adhesion properties of substrates and is therefore applicable to a wide variety of substrates. Further, as discussed above, the deposited film may be in close proximity (formation) to the surface features, providing advantages in forming a mask over a substrate, such as a substrate having underlying features, without "filling in" or otherwise planarizing such features.
The film (e.g., imaging layer) or overcoat layer may be comprised of a metal oxide layer deposited in any useful manner. Such metal oxide layers can be deposited or coated by using any of the EUV sensitive materials described herein, for example, a metal-containing precursor (e.g., a metal halide, capping agent, or organometallic agent) in combination with an optional ligand-containing precursor. In an exemplary process, the polymerized organometallic material is formed in a gas phase or in situ on the substrate surface to provide a metal oxide layer. The metal oxide layer may be used as a film, an adhesion layer, or a capping layer.
Alternatively, the metal oxide layer may comprise a hydroxyl terminated metal oxide layer, which may be deposited using a capping agent (any as described herein) with an oxygen-containing opposing reactant. Such a hydroxyl terminated metal oxide layer may be used, for example, as an adhesion layer between two other layers, such as between a substrate and a film and/or between a photoresist layer and a capping layer.
Exemplary deposition techniques (e.g., for films or capping layers) include any of those described herein, such as ALD (e.g., thermal ALD and plasma-enhanced ALD), spin-on deposition, PVD including PVD co-sputtering, CVD (e.g., PE-CVD or LP-CVD), sputter deposition, e-beam deposition including e-beam co-evaporation, and the like, or combinations thereof, such as ALD with CVD components, e.g., discontinuous ALD-like processes with metal-containing precursors, ligand-containing precursors separated in time or space from opposing reactants.
Further description of deposition as precursors and methods applicable to EUV photoresist films of the present invention can be found in international application No. pct/US19/31618, published as international publication No. wo2019/217749, filed 5/9 at 2019, entitled "METHODS FOR MAKING EUV PATTERNABLE HARD MASKS". In addition to the metal-containing precursor, ligand-containing precursor, and the opposing reactants, the film may also include optional materials to impart chemical or physical properties to the film Modification is performed, for example, to modify the film's sensitivity to EUV or to enhance etch resistance. Such optional materials may be introduced, for example, by doping during vapor phase formation prior to deposition on the substrate, after film deposition, or both. In some embodiments, a gentle remote H may be introduced 2 The plasma, to replace some of the Sn-L bonds with, for example, sn-H, may enhance the resist reactivity under EUV.
In general, the method can include mixing an organometallic precursor (e.g., metal-containing precursor, such as an organometallic agent) vapor stream with an optional ligand-containing precursor vapor stream and an optional opposing reactant vapor stream to form a polymerized organometallic material, and depositing the organometallic material onto a surface of a semiconductor substrate. In some embodiments, mixing a metal-containing precursor with an optional ligand-containing precursor and optional opposing reactants can form a polymeric organometallic material. As will be appreciated by those skilled in the art, the mixing and depositing aspects of the process may be performed simultaneously in a substantially continuous process.
In an exemplary continuous CVD process, two or more streams of a metal-containing precursor, optionally a ligand-containing precursor, and optionally opposing reactant sources (in separate inlet paths) are introduced into a deposition chamber of a CVD apparatus where they are mixed and reacted in the gas phase to form an agglomerated polymeric material (e.g., formed by metal-oxygen-metal bonds) or film on a substrate. The air flow may be introduced, for example, using a split jet inlet or a dual plenum nozzle. The apparatus is configured to mix a metal-containing precursor, optionally a ligand-containing precursor, and an optional opposing reactant stream in the chamber, thereby allowing the metal-containing precursor, optionally the ligand-containing precursor, to react with the optional opposing reactant to form a polymeric organometallic material or film (e.g., a metal oxide coating or an agglomerated polymeric material, such as formed by metal-oxygen-metal bonds).
To deposit metal oxides, CVD processes are typically performed under reduced pressure, for example, from 0.1 Torr (Torr) to 10Torr (Torr). In some embodiments, the process is performed at a pressure of 1Torr to 2 Torr. The temperature of the substrate is preferably lower than the temperature of the reactant stream. For example, the substrate temperature may be 0 ℃ to 250 ℃, or ambient temperature (e.g., 23 ℃) to 150 ℃.
To deposit the agglomerated polymer material, the CVD process is typically performed at reduced pressure (e.g., from 10mTorr to 10 Torr). In some embodiments, the process is performed at 0.5 to 2 Torr. The temperature of the substrate is preferably equal to or lower than the temperature of the reactant stream. For example, the substrate temperature may be 0 ℃ to 250 ℃, or ambient temperature (e.g., 23 ℃) to 150 ℃. In various processes, deposition of polymeric organometallic material occurs at a rate inversely proportional to the surface temperature. Without limiting the mechanism, function, or utility of the present technology, it is believed that the products from such gas phase reactions become of greater molecular weight due to crosslinking of metal atoms through ligand-containing precursors and/or relative reactants, followed by coagulation or deposition on the substrate.
A potential advantage of using a dry deposition method is that the composition of the film is easily adjusted as it grows. In a CVD process, this may be accomplished by varying the relative flow rates of the metal-containing precursor and the ligand-containing precursor during deposition. Deposition may occur at a temperature between 30-200 ℃ and a pressure between 0.01-100Torr, but more typically between about 0.1-10 Torr.
Films (such as, for example, metal oxide coatings or agglomerated polymeric materials formed by metal-oxygen-metal bonds) may also be deposited by ALD processes. For example, a metal-containing precursor, an optional ligand-containing precursor, and an optional relative reactant are introduced at separate times, which represent an ALD cycle. The metal-containing precursor reacts with the optional ligand-containing precursor on the surface to form up to one layer of material at a time per cycle. This may allow for a good control of the uniformity of the film thickness across the surface. ALD processes are typically performed under reduced pressure, e.g., 0.1-10Torr. In some embodiments, the process is performed at 1-2 Torr. The substrate temperature may be 0-250 ℃, or ambient temperature (e.g., 23 ℃) to 150 ℃. The process may be a thermal process or preferably a plasma assisted deposition.
Any of the deposition methods herein may be modified such that two or more different metal-containing precursors can be used. In one embodiment, the precursors may comprise the same metal but different ligands. In another embodiment, the precursor may include different metal groups. In a non-limiting example, alternating flows of multiple volatile metal-containing precursors can provide mixed metal layers, such as using a metal alkoxide precursor with a first metal (e.g., sn) with a silane-based precursor with a different second metal (e.g., te).
In addition, any of the deposition methods herein may be modified to allow the use of two or more different ligand-containing precursors. In one embodiment, the ligand-containing precursor may provide a different ligand that binds to the metal center. In a non-limiting example, alternating flows of various ligand-containing precursors can provide layers with varying ligand content, such as in a gradient film.
Furthermore, any deposition method herein may be modified to provide one or more layers within a film or capping layer. In one example, different metal-containing precursors and/or ligand-containing precursors may be employed in each layer. In another example, each layer may employ the same precursor, but the topmost layer may have a different chemical composition (e.g., different densities of metal-ligand bonds, different ratios of metal to carbon, different halogen content, or different binding ligands as provided by adjusting or altering metal-or ligand-containing precursors).
The process herein may be used to effect surface modification. In some iterative processes, vapors of metal-containing precursors may pass through the wafer. The wafer may be heated to provide thermal energy to cause the reaction to proceed. In some iterative processes, the heating may be between about 50-250 ℃. In some examples, pulses of metal-containing and/or ligand-containing precursors may be used, separated by a pump and/or a purge step. In one example, the ligand-containing precursor may be pulsed between precursor pulses, resulting in ALD or ALD-like growth. In other examples, both the metal-containing and ligand-containing precursors may flow simultaneously. Examples of elements that may be used for surface modification include I, F, sn, bi, sb, te, and oxides or alloys of these compounds.
The process herein may be used to deposit thin metal oxides or metals by ALD or CVD. Examples include SnOx, bisx, and Te. After deposition, the film is available M a R b L c Is covered by a haloalkyl substituted precursor or an alkyl substituted precursor, as described elsewhere herein. The opposing reactants may be used to better remove the ligands and multiple cycles may be repeated to ensure complete saturation of the substrate surface. The surface may then be ready to deposit an EUV sensitive film. One possible approach is to create a SnOx film. Possible chemical processes include the use of a catalyst by recycling tetra (dimethylamino) tin and the relative reactants (e.g., water or O 2 Plasma) to grow SnO 2 . After growth, a capping agent (capping agent) may be used. For example, isopropyl tris (dimethylamino) tin vapor may be flowed over a surface.
Deposition processes may be employed on any useful surface. As referred to herein, a "surface" is a surface on which a film of the present technology will be deposited or which will be exposed to EUV during processing. Such a surface may be present on a substrate (e.g., on which a film will be deposited), a film (e.g., on which a capping layer will be deposited), or a capping layer.
Any useful substrate may be employed, including any material configuration suitable for photolithographic processing, particularly suitable for the production of integrated circuits and other semiconductor devices. In some embodiments, the substrate is a silicon wafer. The substrate may be a silicon wafer having an irregular surface topography on which features have been formed ("underlying topographical features").
Such underlying topographical features may include regions in which material has been removed (e.g., by etching) or regions in which material has been added (e.g., by deposition) during processing prior to performing the methods of the technology. Such prior processing may include methods of the technique or other processing methods in an iterative process by which two or more feature layers are formed on a substrate. Without limiting the mechanism, function, or utility of the present technology, it is believed that in some embodiments, the methods of the present technology provide advantages over methods known in the art for depositing a photolithographic film on a substrate surface using spin casting methods. Such advantages may result from the uniformity of the film of the present technology with underlying features without the need to "fill" or otherwise planarize such features, as well as the ability to deposit films on a variety of material surfaces.
In some embodiments, an incoming wafer may be prepared using a substrate surface having a desired material, where the uppermost material is the layer in which the resist pattern is transferred. While the material selection may vary depending on the degree of integration, it is generally desirable to select a material that can be etched with high selectivity (i.e., much faster) to EUV resist or imaging layers. Suitable substrate materials may include various carbon-based films (e.g., asheable Hard Mask (AHM)), silicon-based films (e.g., silicon oxide, silicon nitride, silicon oxynitride, or silicon oxycarbide, as well as doped forms thereof, including SiO x 、SiO x N y 、SiO x C y N z a-Si: H, polysilicon, or SiN), or any other (typically sacrificial) film applied to facilitate the patterning process.
In some implementations, the substrate is a hard mask that is used for photolithographic etching of the underlying semiconductor material. The hard mask may comprise any of a variety of materials including amorphous carbon (a-C), snO x 、SiO 2 、SiO x N y 、SiO x C、Si 3 N 4 、TiO 2 C, WOx, hfO of TiN, W-doping 2 、ZrO 2 And Al 2 O 3 . For example, the substrate may preferably comprise SnO x For example SnO 2 . In various embodiments, the layer may be 1nm to 100nm thick, or 2nm to 10nm thick.
In some non-limiting embodiments, the substrate includes an underlayer. The underlayer may be deposited on the hard mask or other layer (or film) and is generally located below the imaging layer as described herein. The underlayer may be used to increase the sensitivity of the PR, increase EUV absorptivity, and/or increase patterning performance of the PR. In the case where there are device features on the substrate to be patterned that produce significant topography, another important function of the underlayer may be to cover and planarize the existing topography so that the subsequent patterning step can be performed on a planar surface with all focus pattern areas. For such applications, the underlayer (or at least one of the plurality of underlayers) may be applied using spin-coating techniques. When the PR material used has a large amount of inorganic components, e.g. it shows a predominantly metal oxide backbone, the underlayer may advantageously be a carbon-based film, which may be applied by spin coating or by a dry vacuum-based deposition process. The layer may include various Ashed Hard Mask (AHM) films having carbon and hydrogen based compositions, and may be doped with additional elements such as tungsten, boron, nitrogen, or fluorine.
In some embodiments, the surface activation operation may be used to activate a surface (e.g., a surface of a substrate and/or film) for further operation. For SiO, for example x A surface, hydroxyl groups can be generated on the surface using water or oxygen/hydrogen plasma. For carbon or hydrocarbon based surfaces, a variety of treatments (e.g., water, hydrogen/oxygen, or CO 2 Plasma or ozone treatment) to produce carboxylic acid and/or hydroxyl radicals. Such a method may prove critical to improving the adhesion of resist features to the substrate that might otherwise delaminate or delaminate in the solvent during processing or during development.
Adhesion may also be improved by inducing roughness in the surface to enhance the surface area available for interaction and directly improve mechanical adhesion. For example, a sputtering process using Ar or other non-reactive ion bombardment may first be utilized to create a roughened surface. The surface may then be capped with the desired surface functionalities (e.g., hydroxyl and/or carboxylic acid groups) as described above. On carbon, a combinatorial approach may be employed in which a chemically reactive oxygen-containing plasma, such as CO, may be used 2 、O 2 、H 2 O (or H) 2 With O 2 To etch away thin layers of the locally inhomogeneous film while terminating with-OH, -OOH or-COOH groups. This may be accomplished with or without a bias. In combination with the above surface modification strategies, the method may serve the dual purpose of surface roughening as well as chemical activation of the substrate surface for direct adhesion to inorganic metal oxide based resists or as an intermediate surface modification for further functionalization.
In various embodiments, the surface (e.g., the surface of the substrate and/or film) comprises exposed hydroxyl groups on its surface. In general, the surface may be any surface that comprises an exposed hydroxyl surface or any surface that has been treated to produce an exposed hydroxyl surface. Such hydroxyl groups can be formed on the surface by surface treatment of the substrate using oxygen plasma, water plasma, or ozone. In other embodiments, the surface of the film may be treated to provide exposed hydroxyl groups, onto which a cover layer may be applied. In various embodiments, the hydroxyl terminated metal oxide layer has a thickness of 0.1nm to 20nm, or 0.2nm to 10nm, or 0.5nm to 5 nm.
EUV exposure process
EUV exposure of the membrane may provide an EUV exposure region having an activation reaction center including metal atoms (M), which is generated by an EUV-mediated cleavage event. Such reaction centers may include dangling metal bonds, M-H groups, cleaved M-ligand groups, dimerized M-M bonds, or M-O-M bridges. In other embodiments, EUV exposure provides crosslinked organic moieties by photopolymerization of ligands within the film; or EUV exposure liberates gaseous byproducts generated by the photolysis of the bonds of the ligand.
EUV exposure to a vacuum environment may have a wavelength of about 10nm to about 20nm, for example a wavelength of 10nm to 15nm, such as 13.5nm. In particular, patterning may provide EUV exposed regions and EUV unexposed regions to form a pattern.
The present techniques may include patterning using EUV or DUV or electron beams. In such patterning, radiation is focused on one or more areas of the imaging layer. The exposure is typically performed such that the imaging layer film includes one or more areas that are not exposed to radiation. The resulting imaging layer may include a plurality of exposed and unexposed regions to produce a pattern consistent with the production of transistors or other features of a semiconductor device, which is formed by adding or removing material from a substrate in subsequent processing of the substrate. EUV, DUV and electron beam radiation methods and apparatus useful herein include methods and apparatus known in the art.
In some EUV lithography, an organic hard mask (e.g., an asheable hard mask of PECVD amorphous hydrogenated carbon) is patterned using a conventional photoresist process. During photoresist exposure, EUV radiation is absorbed in the resist and underlying substrate, producing high energy photoelectrons (e.g., about 100 eV) followed by a series of low energy secondary electrons (e.g., about 10 eV) laterally diffusing a few nanometers. These electrons increase the extent of chemical reactions in the resist, which enhances its sensitivity to EUV dose. However, a secondary electron pattern, which is random in nature, is superimposed on the optical image. This unwanted secondary electron exposure in the patterned resist results in reduced resolution, significant Line Edge Roughness (LER), and line width variation. These defects are replicated into the material to be patterned during a subsequent pattern transfer etch.
Disclosed herein are vacuum integrated metal hard mask processing and related vacuum integrated hardware that combines film formation (deposition/condensation) and optical lithography, resulting in greatly improved EUV lithography (EUVL) performance, such as reduced line edge roughness.
In various embodiments described herein, a deposition (e.g., condensation) process may be used (e.g., in a PECVD tool, such as LamALD or MOCVD performed in (a) to form a thin film of a metal-containing film (e.g., a photosensitive metal salt) or a metal-containing organic compound (an organometallic compound) having a strong absorbance in EUV (e.g., at a wavelength on the order of 10nm to 20 nm), for example, at the wavelength of the EUVL light source (e.g., 13.5 nm=91.8 eV). The film is photodecomposition upon EUV exposure and is formed (e.g., in a conductor etching tool such as Lam->Is performed) a metal mask is formed as a pattern transfer layer during subsequent etching.
After deposition, the EUV patternable thin film is patterned by exposure to an EUV beam, typically under a relatively high vacuum. For EUV exposure, a metal-containing film may then be deposited on a lithographic stage (e.g., a wafer stepper such as the twin can NXE provided by ASML of Veldhoven, netherlands: Platform) and transferred under vacuum so as not to react prior to exposure. Due to ambient gas (e.g. H 2 O、O 2 Etc.) intense light absorption of incident photons, EUVL also requires a greatly reduced pressure, which fact facilitates integration with lithography tools. In other embodiments, the photosensitive metal film deposition and EUV exposure may be performed in the same chamber.
Development process including dry development
The EUV exposed or unexposed regions and the capping layer may be removed by any useful development process. In an embodiment, the EUV exposure region may have an activated reaction center, such as dangling metal bonds, M-H groups, or dimerized M-M bonds. In particular embodiments, the M-H groups may be selectively removed by employing one or more dry development processes (e.g., halide chemistries). In other embodiments, the soluble M (OH) may be provided by employing a wet development process (e.g., using hot ethanol and water) n A group) to selectively remove the M-M bond. In still other embodiments, the EUV exposed region is removed by using wet development (e.g., by using a positive developer). In some embodiments, the EUV unexposed regions are removed by using dry development.
The dry development process may also include the use of halides, such as HCl or HBr based processes. Although the invention is not limited to any particular theory or mechanism of operation, the method is understood to utilize a dry deposited EUV photoresist film with cleaning chemistry (e.g., HCl, HBr, and BCl) using vapor or plasma 3 ) To form volatile products. The dry deposited EUV photoresist film may be removed at an etch rate of up to 1 nm/s. Rapid removal of dry deposited EUV photoresist films by these chemistries may be used for chamber cleaning, backside cleaning, bevel cleaning, and PR development. Although vapors at a variety of temperatures may be used (e.g., HCl or HBr at temperatures above-10℃, or BCl at temperatures above 80℃) 3 ) The film is removed, but plasma may also be used to further accelerate or enhance reactivity.
Plasma processes include pressure swing coupled plasma (TCP), inductively Coupled Plasma (ICP), or Capacitively Coupled Plasma (CCP) using equipment and techniques known in the art. For example, the process may be performed at a power level of < 1000W (e.g., < 500W) at a pressure of > 0.5mTorr (e.g., such as 1mTorr to 100 mTorr). The temperature may be 30 ℃ to 300 ℃ (e.g., 30 ℃ to 120 ℃) with a flow rate of 100 to 1000 standard cubic centimeters per minute (sccm), e.g., about 500sccm, for 1 to 3000 seconds (e.g., 10 to 600 seconds).
In the case of a halide reactant stream of hydrogen and a halide gas, remote plasma/UV radiation is used to generate a hydrogen gas from H 2 With Cl 2 And/or Br 2 Radicals are generated and hydrogen and halide radicals flow to the reaction chamber to contact the patterned EUV photoresist on the substrate layer of the wafer. Suitable plasma powers may be in the range of 100W to 500W, with no bias. It should be appreciated that while these conditions apply to some process reactors, such as the Kiyo etch tool available from Lam Research Corporation (Fremont, CA), a wide range of process conditions may be used depending on the capabilities of the process reactor.
In a thermal development process, the substrate is exposed to a dry development chemistry (e.g., lewis acid) in a vacuum chamber (e.g., oven). Suitable chambers may include vacuum lines, dry development hydrogen halide chemical gas (e.g., HBr, HCl) lines, and heaters for temperature control. In some embodiments, the chamber interior may be coated with a corrosion resistant film, such as an organic polymer or inorganic coating. One such coating is polytetrafluoroethylene ((PTFE), e.g., teflon) TM ). Such materials can be used in the thermal process of the present invention without risk of removal by plasma exposure.
The process conditions for dry development may be 100sccm to 500sccm reactant flow (e.g., 500sccm HBr or HCl), a temperature of-10 ℃ to 120 ℃ (e.g., -10 ℃), a pressure of 1mTorr to 500mTorr (e.g., 300 mTorr), and a plasma free time of about 10 seconds to 1 minute, depending on the photoresist film and cap layer and their composition and properties.
In various embodiments, the methods of the present invention combine all dry steps of film deposition, formation by vapor deposition, (EUV) photolithographic photo patterning, and dry development. In these processes, after photo patterning in an EUV scanner, the substrate may directly enter a dry development/etching chamber. These processes can avoid the materials and production costs associated with wet development. The dry process may also provide more adjustability and further CD control and/or scum removal.
In various embodiments, EUV photoresist (containing some metals, metal oxides, and organic content) may be processed by heat, plasma (e.g., possibly including photo-activated plasma such as lamp heating or UV lamp heating), or a mixture of heat and plasma processes and include the formula R x Z y Dry development gas flow of the compound of (wherein r= B, al, si, C, S, SO, x > 0, and z= Cl, H, br, F, CH) 4 And y > 0) to perform dry development. Dry development can produce positive forms, where R x Z y The species selectively removes the exposed material, leaving the corresponding portions unexposed as a mask. In some embodiments, the exposed portions of the organotin oxide-based photoresist film are removed by dry development in accordance with the present invention. Positive dry development may be achieved by selective dry development (removal) of EUV exposed regions that are exposed to a stream containing hydrogen halide or hydrogen and a halide (including HCl and/or HBr) without energizing the plasma, or H 2 With Cl 2 And/or Br 2 And has remote plasma or plasma generated UV radiation to generate radicals.
Wet development methods may also be employed. In a particular embodiment, such a wet development process is used to remove EUV exposed regions to provide either positive photoresist or negative photoresist. In some embodiments, the wet development includes a neutral developer (e.g., a pH neutral developer, such as water) or a peroxide-containing developer (e.g., including hydrogen peroxide, H 2 O 2 ). Exemplary non-limiting wet development may include the use of a base in an alkaline developer (e.g., an aqueous alkaline developer), e.g., including ammonium, such as ammonium hydroxide (NH) 4 OH); ammonium-basedIonic liquids such as tetramethylammonium hydroxide (TMAH), tetraethylammonium hydroxide (TEAH), tetrapropylammonium hydroxide (TPAH), tetrabutylammonium hydroxide (TBAH), or other quaternary alkylammonium hydroxides; organic amines, such as primary, secondary and tertiary organic amines (e.g., dimethylamine, diethylamine, ethylenediamine, triethylenetetramine); or alkanolamines such as those of monoethanolamine, diethanolamine, triethanolamine, or diglycolamine. In other embodiments, the alkaline developer may include a nitrogen-containing base, e.g., having formula R N1 NH 2 、R N1 R N2 NH、R N1 R N2 R N3 N, or R N1 R N2 R N3 R N4 N + X N1- Wherein R is a compound of formula (I) N1 、R N2 、R N3 R is R N4 Each independently is an organic substituent (e.g., an optionally substituted alkyl group or any of those described herein), or two or more organic substituents that may be linked together, and XN 1-may comprise OH - 、F - 、Cl - 、Br - 、I - Or other quaternary ammonium cationic species known in the art. These bases may also comprise heterocyclic nitrogen compounds known in the art, some of which are described herein. Non-limiting combinations include water and alkaline developer.
Other development methods may include the use of an acid in an acidic developer (e.g., an aqueous acidic developer or an acidic developer in an organic solvent) that includes a halide (e.g., HCl or HBr), an organic acid (e.g., formic acid, acetic acid, or citric acid), or an organofluorine compound (e.g., trifluoroacetic acid); or using an organic developer such as a ketone (e.g., 2-heptanone, cyclohexanone, or acetone), an ester (e.g., gamma-butyrolactone or ethyl 3-ethoxypropionate (EEP)), an alcohol (e.g., isopropyl alcohol (IPA)), or an ether, e.g., a glycol ether (e.g., propylene Glycol Methyl Ether (PGME) or Propylene Glycol Methyl Ether Acetate (PGMEA)), and combinations thereof. Non-limiting combinations include water and acidic developer.
In particular embodiments, the positive-working developer is an aqueous alkaline developer (e.g., including NH 4 OH, TMAH, TEAH, TPAH or TBAH). In other embodiments, the negative-working developer is an aqueous acidic developer, an acidity in an organic solventA developer, or an organic developer (e.g., HCl, HBr, formic acid, trifluoroacetic acid, 2-heptanone, IPA, PGME, PGMEA, or a combination thereof).
Any of the developers herein may include one or more surfactants. The surfactant may comprise a positive, negative or neutral charge and may be selected from the group consisting of fluorinated or non-fluorinated surfactants. Non-limiting surfactants include quaternary ammonium salts, ammonium perfluorooctanoate, ammonium perfluorononanoate, fluorosurfactant, polyoxyethylene stearate, polyoxyethylene oleyl ether, polyoxyethylene octylphenyl ether, polyoxyethylene nonylphenyl ether, polyethylene glycol dilaurate, polyethylene glycol distearate, alkylbenzenesulfonate, sodium sulfosuccinate, and sodium lauryl sulfate.
Wet development may include any useful method including dip development, puddle development, and spray development. After or during any of these processes, the substrate may be rotated to remove the dissolved portion of the film, while the film is dried.
The development process may include both wet and dry development processes. Such processes may include an initial wet development followed by a subsequent dry development, or vice versa. The development may also be cycled using multiple wet development processes, multiple dry development processes, or multiple wet and dry development processes.
Post-coating process
The methods herein may include any useful post-coating process, as described below.
For backside and bevel cleaning processes, the vapor and/or plasma may be confined to specific areas of the wafer to ensure that only the backside and bevel are removed without any film degradation on the front side of the wafer. The dry deposited EUV photoresist film removed is typically composed of Sn, O and C, but the same cleaning process can be extended to films of other metal oxide resists and materials. In addition, the method can also be used for film stripping and PR rework.
Suitable process conditions for dry bevel and backside cleaning may be reactant flows of 100sccm to 500sccm (e.g., 500sccm HCl, HBr, or H) 2 With Cl 2 Or Br (Br) 2 、BCl 3 Or H 2 ) A temperature of-10 ℃ to 120 ℃ (e.g., 20 ℃), a pressure of 20mTorr to 500mTorr (e.g., 300 mTorr), a plasma power of 0 to 500W at high frequency (e.g., 13.56 MHz), for a time of about 10 seconds to 20 seconds, depending on the photoresist film and composition and properties. It should be appreciated that while these conditions apply to some process reactors, such as the Kiyo etch tool available from Lam Research Corporation (Fremont, CA), a greater range of process conditions may be used depending on the capabilities of the process reactor.
The photolithography process typically involves one or more baking steps to promote the chemical reactions required to create a chemical contrast between the exposed and unexposed areas of the photoresist. For High Volume Manufacturing (HVM), such a baking step is typically performed on rails, wherein the wafer is baked on a hotplate at a preset temperature in ambient air or in some cases in an N2 stream. Controlling the baking ambient more carefully during these baking steps and introducing additional reactive gas components into the ambient may help further reduce dose requirements and/or improve pattern fidelity.
According to various aspects of the present disclosure, one or more post-treatments to metal and/or metal oxide based photoresists after deposition (e.g., post-coating bake (PAB)) and/or exposure (e.g., post-exposure bake (PEB)) and/or after development (e.g., post-development bake (PDB)) can increase material property differences between exposed and unexposed photoresists and thus reduce dose-to-size ratio (DtS), improve PR profile and improve line edge and width roughness (LER/LWR) after subsequent dry development. Such treatment may involve a heat treatment to control temperature, gas environment, and moisture, resulting in improvement of dry development efficacy in subsequent treatments. In some examples, a remote plasma may be used.
In examples of post-coating treatments (e.g., PAB), controlled temperature, gaseous environments (e.g., air, H) may be used after deposition and before exposure 2 O、CO 2 、CO、O 2 、O 3 、CH 4 、CH 3 OH、N 2 、H 2 、NH 3 、N 2 O, NO, ar, he or mixtures thereof) or under vacuum, and a thermal process of moisture to alter the composition of the unexposed metal and/or metal oxide photoresist. This change can increase the EUV sensitivity of the material and thus can achieve lower doses relative to size and edge roughness after exposure and dry development.
In examples of post-exposure treatment (e.g., incubation) or PEB, a controlled temperature, gaseous environment (e.g., air, H 2 O、CO 2 、CO、O 2 、O 3 、CH 4 、CH 3 OH、N 2 、H 2 、NH 3 、N 2 O, NO, ar, he or mixtures thereof) or under vacuum, and a thermal process of moisture to alter the composition of both unexposed and exposed photoresist. This change can increase the composition/material property difference between unexposed and exposed photoresist and the etch rate difference of the dry development etching gas between unexposed and exposed photoresist. Thus, higher etch selectivity can be achieved. Due to the improved selectivity, a more square PR profile with improved surface roughness and/or less photoresist residue/scum may be obtained. In particular embodiments, the PEB may be in air and optionally present with moisture and CO 2 The following is performed.
In examples of post-development treatments (e.g., post-development bake or PDB), controlled temperature, gaseous environments (e.g., air, H 2 O、CO 2 、CO、O 2 、O 3 、CH 4 、CH 3 OH、N 2 、H 2 、NH 3 、N 2 O, NO, ar, he or mixtures thereof) or under vacuum (e.g., with UV), and moisture to alter the composition of the unexposed photoresist. In particular embodiments, the conditions further include the use of a plasma (e.g., including O 2 、O 3 Ar, he or mixtures thereof). This change may enhance the hardness of the material, which may be advantageous if the film is to be used as a resist mask when etching an underlying substrate.
In these examples, in alternative implementations, the thermal process may be replaced with a remote plasma process to increase the reactive species to reduce the energy barrier of the reaction and increase productivity. The remote plasma may generate more reactive radicals, thus reducing the reaction temperature/time for processing, thereby increasing productivity.
Thus, one or more processes may be applied to modify the photoresist itself to increase dry development selectivity. This thermal or radical modification can increase the contrast between unexposed and exposed materials, thus increasing the selectivity of the subsequent dry development step. The resulting difference between the material properties of the unexposed and exposed materials can be adjusted by adjusting the process conditions, including temperature, gas flow, moisture, pressure, and/or RF power. The greater process freedom that can be achieved by dry development (which is not limited by the solubility of the material in the wet developer) allows for the application of more severe conditions to further enhance the achievable material contrast. The resulting high material contrast can provide a wider process window for dry development feedback, thus achieving higher throughput, lower cost, and better defect performance.
The main limitation of wet developed resist films is limited temperature bake. Since wet development depends on material solubility, heating to 220 ℃ or above 220 ℃ for example, can greatly increase the degree of crosslinking in both exposed and unexposed areas of the metal-containing PR film, such that both become insoluble in the wet development solvent, rendering the film no longer reliably wet developed. For dry developed resist films where only the exposed or unexposed portions of the resist are removed depending on the etch rate difference (i.e., selectivity) between the exposed and unexposed regions of the PR, the process temperature in the PAB, PEB or PDB may be varied within a much larger window to adjust and optimize the process, for example about 90 ℃ to 250 ℃ (e.g., 90 ℃ to 190 ℃) for the PAB, about 170 ℃ to 250 ℃ or higher (e.g., 190 ℃ to 240 ℃) for the PEB and/or PDB. It has been found that decreasing the etch rate and greater etch selectivity occurs at higher processing temperatures within the range.
In particular embodiments, the PAB, PEB, and/or PDB treatments may be performed at a gas ambient flow rate in the range of 100sccm to 10000sccm, a moisture content of a few to 100 percent (e.g., 20% -50%), a pressure between atmospheric pressure and vacuum, and a duration of about 1 to 15 minutes (e.g., about 2 minutes).
These findings can be used to adjust the process conditions to tailor or optimize the process for a particular material and situation. For example, for a given EUV dose, the selectivity achieved by a PEB heat treatment of 220 ℃ to 250 ℃ for about 2 minutes at about 20% humidity in air may be similar to the selectivity achieved by an EUV dose of about 30% higher without such heat treatments. Thus, depending on the selective requirements/limitations of the semiconductor processing operations, thermal treatments such as those described herein may be used to reduce the required EUV dose. Alternatively, if higher selectivity is desired and higher doses can be tolerated, much higher selectivity can be achieved than would be possible in a wet development context, with up to 100 times exposure than unexposed.
Still other steps may include in situ metrology, wherein physical and structural characteristics (e.g., critical dimensions, film thickness, etc.) may be evaluated during the lithographic process. Modules that implement in situ metrology include, for example, scatterometry, ellipsometry, downstream mass spectrometry, and/or plasma enhanced downstream light emission spectroscopy modules.
Device and method for controlling the same
The invention also includes any apparatus configured to perform any of the methods described herein. In an embodiment, an apparatus for depositing a film includes a deposition module including a chamber for depositing an EUV sensitive material as a film by providing a metal-containing precursor in the presence of an optional ligand-containing precursor; a patterning module comprising an EUV lithography tool having a radiation source with a wavelength of less than 30 nm; and a developing module including a chamber for developing the film.
The apparatus may further include a controller having instructions for such a module. In one embodiment, the controller includes one or more memory devices, one or more processors, and system control software programmed to execute film or blanket deposition instructions. Such instructions may be used in a deposition module to deposit a resist film on a top surface of a substrate or photoresist layer; patterning the film directly by EUV exposure with a resolution of less than 30nm in a patterning module, thereby forming a pattern within the film; and developing the film in a developing module. In certain embodiments, a development module is provided for removing EUV exposed or EUV unexposed regions, thereby providing a pattern within the film.
Fig. 4 depicts a schematic diagram of an embodiment of a processing station 400, the processing station 400 having a processing chamber body 402 for maintaining a low pressure environment suitable for performing the described dry stripping and development embodiments. Multiple processing stations 400 may be included in a common low pressure processing tool environment. For example, FIG. 5 depicts an embodiment of a multi-station processing tool 500, such as that available from Lam Research Corporation (Fremont, calif.)A processing tool. In some implementations, one or more hardware parameters of the processing station 400 (including those discussed in detail below) may be programmatically adjusted by one or more computer controllers 450.
The processing stations may be configured as modules in a cluster tool. Fig. 7 depicts a semiconductor processing cluster tool architecture with vacuum integrated deposition and patterning modules suitable for performing the embodiments described herein. Such cluster processing tool architectures may include resist deposition, resist exposure (EUV scanner), resist dry development, and etching modules, as further described herein with reference to fig. 6 and 7.
In some embodiments, some processing functions may be performed continuously in the same module, such as dry development and etching. Embodiments of the present disclosure relate to methods and apparatus for receiving a wafer (including a photopatterned EUV resist film layer disposed on a layer or layer stack to be etched) to a dry development/etching chamber after photopatterning in an EUV scanner; dry developing the photopatterned EUV resist film layer; the underlying layer is then etched using the patterned EUV resist as a mask, as described herein.
Returning to fig. 4, the processing station 400 is in fluid communication with a reactant delivery system 401a to deliver process gases to a distribution showerhead 406 via connection 405. Reactant delivery system 401a optionally includes a mixing vessel 404 for mixing and/or conditioning process gases for delivery to showerhead 406. One or more mixing vessel inlet valves 420 may control the introduction of process gases into the mixing vessel 404. When plasma exposure is used, the plasma may also be delivered to a showerhead 406 or may be generated in the processing station 400. The process gas may include, for example, any of those described herein, such as a metal-containing precursor, a ligand-containing precursor, or a relative reactant.
Fig. 4 includes an optional vaporization point 403 for vaporizing the liquid reactant to be supplied to mixing vessel 404. The liquid reactant may comprise a metal-containing precursor, a ligand-containing precursor, or a relative reactant. In some embodiments, a Liquid Flow Controller (LFC) disposed upstream of vaporization point 403 may control the mass flow of liquid for vaporization and delivery to processing station 400. For example, the LFC may include a thermal Mass Flow Meter (MFM) located downstream of the LFC. The plug valve of the LFC may then be adjusted in response to a feedback control signal provided by a proportional-integral-derivative (PID) controller (electrically connected to the MFM).
The showerhead 406 distributes process gases toward the substrate 412. In the embodiment shown in fig. 4, substrate 412 is located below showerhead 406 and is shown disposed on base 408. The showerhead 406 may have any suitable shape and may have any suitable number and configuration of ports to distribute process gases to the substrate 412.
In some embodiments, the susceptor 408 may be raised or lowered to expose the substrate 412 to a volume between the substrate 412 and the showerhead 406. It should be appreciated that in some embodiments, the base height may be adjusted programmatically via a suitable computer controller 450.
In certain embodiments, the susceptor 408 may be temperature controlled by a heater 410. In some embodiments, as described in the disclosed embodiments, the non-plasma thermal exposure of the photo-patterned resist is followed by a dry development chemical (e.g., HBr, HCl, or BCl 3 ) During this time, the susceptor 408 may be heated to a temperature greater than 0 ℃ and up to 300 ℃ or more, such as 50 to 120 ℃, such as about 65 to 80 ℃.
Further, in some embodiments, pressure control for the processing station 400 may be provided by a butterfly valve 418. As shown in the embodiment of fig. 4, butterfly valve 418 regulates the vacuum provided by a downstream vacuum pump (not shown). However, in some embodiments, pressure control of the processing station 400 may also be adjusted by varying the flow rate of one or more gases introduced to the processing station 400.
In some embodiments, the position of the showerhead 406 may be adjusted relative to the base 408 to vary the volume between the substrate 412 and the showerhead 406. Further, it should be appreciated that the vertical position of the base 408 and/or spray head 406 may be varied by any suitable mechanism within the scope of the present disclosure. In some implementations, the susceptor 408 may include an axis of rotation for rotating the orientation of the substrate 412. It should be appreciated that in some embodiments, one or more of these exemplary adjustments may be performed programmatically by one or more suitable computer controllers 450.
Where a plasma may be used, such as in a mild plasma-based dry development embodiment and/or an etching operation performed in the same chamber, the showerhead 406 and the pedestal 408 are electrically connected to a Radio Frequency (RF) power source 414 and a matching network 416 to provide power to the plasma 407. In some embodiments, the energy of the plasma may be controlled by controlling one or more of the pressure of the processing station, the concentration of the gas, the RF source power, the RF source frequency, and the timing of the plasma power pulses. For example, the RF power source 414 and the matching network 416 may be operated at any suitable power to form a plasma having a composition of the desired radical species. An example of suitable power is up to about 500W.
In some embodiments, instructions for controller 450 may be provided via input/output control (IOC) sequencing instructions. In one example, instructions for setting the conditions of the process stage may be included in a corresponding recipe stage of the process recipe. In some cases, the process recipe phases may be ordered such that all instructions for a process phase are executed concurrently with that process phase. In some embodiments, instructions for setting one or more reactor parameters may be included in the recipe phase. For example, instructions for setting the flow rate of dry development chemical reactant gases (e.g., HBr or HCl), and time delay instructions for the recipe phase. In some embodiments, the controller 450 may include any of the features described below with respect to the system controller 550 of fig. 5.
As described above, one or more processing stations may be included in a multi-station processing tool. Fig. 5 shows a schematic view of an embodiment of a multi-station processing tool 500 having an inbound load lock 502 and an outbound load lock 504, one or both of which may contain a remote plasma source. The robot 506, which is at atmospheric pressure, is configured to move wafers from cassettes loaded through the pod 508 into the inbound load locks 502 via the atmospheric port 510. The wafer is placed on a susceptor 512 in the inbound load lock 502 by the robot 506, the atmospheric port 510 is closed, and the load lock is evacuated. When the inbound load lock 502 contains a remote plasma source, the wafer may be exposed to a remote plasma process in the load lock to process the silicon nitride surface prior to being introduced into the process chamber 514. In addition, wafers may be additionally heated in the inbound load locks 502, for example, to remove moisture and adsorbed gases. Next, a chamber transfer port 516 to the process chamber 514 is opened and another robot (not shown) places the wafer into the reactor on the base of the first station shown in the reactor for processing. Although the embodiment depicted in fig. 5 includes a load lock, it should be understood that in some embodiments, the substrate may be brought directly into the processing station.
The depicted process chamber 514 contains 4 process stations, numbered 1 through 4 in the embodiment shown in FIG. 5. Each station has a heated susceptor (518 shown for station 1) and a gas line inlet. It should be understood that in some embodiments, each processing station may have different or multiple uses. For example, in some embodiments, the processing station may be switchable between dry development and etch processing modes. Additionally or alternatively, in some embodiments, the process chamber 514 may contain one or more matched pairs of dry development and etch processing stations. Although the depicted process chamber 514 contains 4 stations, it is to be understood that the process chambers described in accordance with the present disclosure may have any suitable number of stations. For example, in some embodiments, the process chamber may have 5 or more stations, while in other embodiments, the process chamber may have 3 or less stations.
Fig. 5 depicts some embodiments of a wafer handling system 590 for transporting wafers within a process chamber 514. In some embodiments, the wafer handling system 590 may transfer wafers between various processing stations and/or between a processing station and a load lock. It should be appreciated that any suitable wafer handling system may be employed. Non-limiting examples include wafer turntables and robots for handling wafers. FIG. 5 also depicts an embodiment of a system controller 550 employed to control the processing conditions and hardware states of the processing tool 500. The system controller 550 may include one or more memory devices 556, one or more mass storage devices 554, and one or more processors 552. Processor 552 may comprise a computer or CPU, analog and/or digital input/output connections, stepper motor controller board, or the like.
In some embodiments, the system controller 550 controls all activities of the processing tool 500. The system controller 550 executes system control software 558 that is stored in the mass storage device 554, loaded into the memory device 556, and executed by the processor 552. Alternatively, the control logic may be hard-coded in the controller 550. Application specific integrated circuits, programmable logic devices (e.g., field programmable gate arrays, or FPGAs), etc. may be used for these purposes. In the following discussion, whether "software" or "code" is used, functionally equivalent hard-coded logic may be used instead. The system control software 558 may contain instructions for controlling timing, mixing of gases, gas flow rates, chamber and/or station pressures, chamber and/or station temperatures, wafer temperatures, target power levels, RF power levels, substrate pedestal, chuck and/or pedestal positions, and other parameters of a particular process performed by the processing tool 500. The system control software 558 may be configured in any suitable manner. For example, various process tool component subroutines or control objects may be written to control the operation of a process tool component for performing various process tool processes. The system control software 558 may be encoded in any suitable computer readable programming language.
In some embodiments, the system control software 558 may include input/output control (IOC) sequencing instructions for controlling the various parameters described above. Other computer software and/or programs associated with the system controller 550 and stored on the mass storage device 554 and/or the memory device 556 may be employed in some embodiments. Examples of programs or program segments for this purpose include a substrate positioning program, a process gas control program, a pressure control program, a heater control program, and a plasma control program.
The substrate positioning program may contain program code for a processing tool assembly for loading a substrate onto the pedestal 518 and controlling the spacing between the substrate and other portions of the processing tool 500.
The process gas control program may include code for controlling various gas compositions (e.g., HBr or HCl gas as described herein) and flow rates and optionally code for flowing the gas into one or more processing stations prior to deposition to stabilize the pressure in the processing stations. The pressure control program may contain code for controlling the pressure in the processing station by adjusting, for example, a throttle valve in the exhaust system of the processing station, the gas flow into the processing station, etc.
The heater control program may include code for controlling a current flowing to a heating unit for heating the substrate. Alternatively, the heater control program may control the delivery of a heat transfer gas (e.g., helium) onto the substrate.
The plasma control program may include code for setting an RF power level applied to a processing electrode within one or more processing stations according to embodiments herein.
The pressure control program may contain code for maintaining a pressure within the reaction chamber according to embodiments herein.
In some embodiments, there may be a user interface associated with the system controller 550. The user interface may include a display screen, a graphical software display of the apparatus and/or process conditions, and a user input device such as a pointing device, keyboard, touch screen, microphone, etc.
In some embodiments, the parameters adjusted by the system controller 550 may relate to process conditions. Non-limiting examples include process gas composition and flow rate, temperature, pressure, plasma conditions (e.g., RF bias power level), and the like. These parameters may be provided to the user in the form of a recipe that may be entered using the user interface.
The signals for monitoring the process may be provided from various process tool sensors by analog and/or digital input connections to the system controller 550. The signals used to control the process may be output through analog and digital output connections of the process tool 500. Non-limiting examples of process tool sensors that can be monitored include mass flow controllers, pressure sensors (e.g., pressure gauges), thermocouples, and the like. Appropriately programmed feedback and control algorithms can be used with the data from these sensors to maintain process conditions.
The system controller 550 may provide program instructions for performing the deposition processes described above. The program instructions may control a variety of processing parameters such as DC power level, RF bias power level, pressure, temperature, etc. The instructions may control these parameters to operate the dry development and/or etching process according to various embodiments described herein.
The system controller 550 will typically contain one or more memory devices and one or more processors configured to execute instructions such that the apparatus will perform the methods according to the disclosed embodiments. A machine-readable medium containing instructions for controlling a machining operation according to the disclosed embodiments may be coupled to the system controller 550.
In some implementations, the system controller 550 is part of a system, which may be part of the examples described above. Such a system may comprise a semiconductor processing apparatus comprising one or more processing tools, one or more processing chambers, one or more platforms for processing, and/or specific processing components (wafer pedestal, gas flow system, etc.). These systems may be integrated with electronics for controlling their operation before, during, and after processing semiconductor wafers or substrates. The electronics may be referred to as a "controller" that may control various elements or sub-components of one or more systems. Depending on the process requirements and/or type of system, the system controller 550 may be programmed to control any of the processes disclosed herein, including controlling process gas delivery, temperature settings (e.g., heating and/or cooling), pressure settings, vacuum settings, power settings, radio Frequency (RF) generator settings, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, position and operation settings, wafer transfer in and out tools and other transfer tools, and/or load locks connected or interfaced with a particular system.
Broadly speaking, the system controller 550 may be defined as an electronic device having various integrated circuits, logic, memory and/or software that receives instructions, issues instructions, controls operations, enables cleaning operations, enables endpoint measurements, and the like. An integrated circuit may comprise a chip in the form of firmware storing program instructions, a Digital Signal Processor (DSP), a chip defined as an Application Specific Integrated Circuit (ASIC), and/or one or more microprocessors or microcontrollers executing program instructions (e.g., software). The program instructions may be instructions transferred to the system controller 550 in the form of various individual settings (or program files) defining operating parameters for performing particular processes on or for a semiconductor wafer or system. In some embodiments, the operating parameters may be part of a recipe (recipe) defined by a process engineer to complete one or more process steps during the fabrication of one or more (seed) layers, materials, metals, oxides, silicon dioxide, surfaces, circuits, and/or dies of a wafer.
In some implementations, the system controller 550 may be part of or coupled to a computer integrated with the system, coupled to the system, otherwise networked to the system, or a combination thereof. For example, the system controller 550 may be in the "cloud" or be all or part of a factory (fab) host system, which may allow remote access to wafer processing. The computer may enable remote access to the system to monitor the current progress of the manufacturing operation, check the history of past manufacturing operations, check trends or performance criteria of multiple manufacturing operations, change parameters of the current process, set process steps to follow the current process or start a new process. In some embodiments, a remote computer (e.g., a server) may provide the process recipe to the system through a network, which may include a local network or the internet. The remote computer may contain a user interface that allows parameters and/or settings to be entered or programmed, which are then transferred from the remote computer to the system. In some embodiments, the system controller 550 receives instructions in the form of data that specify the parameters of each processing step to be performed during one or more operations. It should be appreciated that the parameters may be specific to the type of process to be performed as well as the type of tool to which the system controller 550 is configured to connect or control. Thus, as described above, the system controller 550 may be distributed, for example, by including one or more discrete controllers that are connected together by a network and that operate toward a common goal (e.g., the processes and controls described herein). Embodiments of a distributed controller for these purposes may be one or more integrated circuits on a room that communicate with one or more remote integrated circuits (e.g., at a platform level or as part of a remote computer) that are incorporated to control an in-room process.
Exemplary systems may include, without limitation, a plasma etching chamber or module, a deposition chamber or module, a spin rinse chamber or module, a metal plating chamber or module, a cleaning chamber or module, a bevel edge etching chamber or module, a Physical Vapor Deposition (PVD) chamber or module, a Chemical Vapor Deposition (CVD) chamber or module, an ALD chamber or module, an Atomic Layer Etching (ALE) chamber or module, an ion implantation chamber or module, an orbital chamber or module, an EUV lithography chamber (scanner) or module, a dry development chamber or module, and any other semiconductor processing system that may be associated with or used in the preparation and/or fabrication of semiconductor wafers.
As described above, the system controller 550 may be in communication with one or more other tool circuits or modules, other tool assemblies, cluster tools, other tool interfaces, adjacent tools, adjoining tools, tools located throughout the fab, a host, another controller, or tools used in transporting containers of wafers to and from tool locations and/or load ports in a semiconductor manufacturing fab, depending on one or more process steps to be performed by the tool.
An Inductively Coupled Plasma (ICP) reactor is now described, which may be suitable for use in etching operations in certain embodiments, and etching processes are suitable for use in the practice of certain embodiments. Although an ICP reactor is described herein, it should be understood that in some embodiments, a capacitively coupled plasma reactor may also be used.
Fig. 6 schematically shows a cross-sectional view of an inductively coupled plasma apparatus 600 suitable for carrying out certain embodiments or aspects of embodiments (e.g., dry development and/or etching), an example of which is produced by Lam Research corp., fremont, CAA reactor. In other embodiments, other tools or tool types may be used for implementation that have the function of performing the dry development and/or etching processes described herein.
Inductively coupled plasma apparatus 600 includes an integral process chamber that is structurally defined by chamber walls 601 and windows 611. The chamber wall 601 may be made of stainless steel or aluminum. The window 611 may be made of quartz or other dielectric material. An optional internal plasma grid 650 divides the overall process chamber into an upper sub-chamber 602 and a lower sub-chamber 603. In most embodiments, the plasma grid 650 may be removed, thereby utilizing the chamber space formed by both sub-chambers 602 and 603. A chuck 617 is positioned in the lower subchamber 603 near the bottom inner surface. Chuck 617 is configured to receive and hold a semiconductor wafer 619 upon which etching and deposition processes are performed. Chuck 617 may be an electrostatic chuck for supporting wafer 619 when wafer 619 is present. In some embodiments, an edge ring (not shown) surrounds chuck 617 and has an upper surface that is substantially planar with the top surface of wafer 619 (when the wafer is present above chuck 617). Chuck 617 further includes electrostatic electrodes for clamping and unclamping the wafer. Filters and a DC clamping power source (not shown) may be provided for this purpose.
Other control systems may also be provided for lifting wafer 619 off chuck 617. The chuck 617 may be charged with an RF power supply 623. The RF power supply 623 is connected to the matching circuit 621 through a connection 627. The matching circuit 621 is connected to the chuck 617 through a connection 625. In this way, the RF power supply 623 is connected to the chuck 617. In various embodiments, the bias power supply of the electrostatic chuck may be set to about 50V, or different bias power supplies depending on the process performed in accordance with the disclosed embodiments. For example, the bias power supply may be between about 20V and about 100V, or between about 30V and about 150V.
The means for plasma generation includes a coil 633 located above the window 611. In some embodiments, no coil is used in the disclosed embodiments. The coil 633 is made of an electrically conductive material and includes at least one complete turn. The example of coil 633 shown in fig. 6 includes three turns. The cross section of coil 633 is shown symbolically with a coil having an "X" symbol indicating that the coil extends rotationally into the page, and conversely, a coil having a "+" symbol indicating that the coil extends rotationally out of the page. The element for plasma generation also includes an RF power supply 641 configured to provide RF power to the coil 633. Generally, the RF power source 641 is connected to the matching circuit 639 through a connection 645. The matching circuit 639 is connected to the coil 633 through a connector 643. In this way, the RF power source 641 is connected to the coil 633. An optional faraday shield 649 is positioned between the coil 633 and window 611. Faraday shield 649 may be maintained in a spaced relationship relative to coil 633. In some embodiments, faraday shield 649 is disposed directly above window 611. In some embodiments, a faraday shield is between window 611 and chuck 617. In some embodiments, the faraday shield is not maintained in a spaced apart relationship with the coil 633. For example, the faraday shield may be directly below the window without gaps. Each of the coil 633, faraday shield 649, and window 611 are configured to be substantially parallel to each other. Faraday shield 649 prevents metal or other matter from depositing on the window 611 of the process chamber.
The process gas may flow into the process chamber through one or more primary gas flow inlets 660 located in the upper sub-chamber 602 and/or through one or more side gas flow inlets 670. Also, although not explicitly shown, a similar gas flow inlet may be used to supply process gases to the capacitively-coupled plasma processing chamber. Vacuum pumps, such as one or two stage dry mechanical pumps and/or turbo molecular pumps 640, may be used to pump process gases from the process chamber and maintain the pressure within the process chamber. For example, the vacuum pump may be used to evacuate the lower sub-chamber 603 during ALD purging operations. Valve controlled conduits may be used to fluidly connect a vacuum pump to a process chamber to selectively control the application of a vacuum environment provided by the vacuum pump. This may be done during operation of the plasma process using a closed loop controlled flow restriction device such as a throttle valve (not shown) or a pendulum valve (not shown). Likewise, vacuum pumps and valves controllably fluidly connected to the capacitively-coupled plasma processing chamber may also be used.
During operation of the apparatus 600, one or more process gases may be supplied through the gas inlets 660 and/or 670. In certain embodiments, the process gas may be supplied only through the main gas flow inlet 660, or only through the side gas flow inlet 670. In some cases, the gas flow inlets shown in the figures may be replaced by more complex gas flow inlets, such as by one or more showerhead. Faraday shield 649 and/or optional grid 650 may include internal passages and holes that enable process gas delivery to the process chamber. One or both of faraday shield 649 and optional grid 650 may act as a showerhead for delivering process gases. In some embodiments, the liquid vaporization and delivery system may be located upstream of the process chamber such that once the liquid reactant or precursor is vaporized, the vaporized reactant or precursor is introduced into the process chamber through gas flow inlets 660 and/or 670.
Radio frequency power is supplied from the RF power source 641 to the coil 633 to cause RF current to flow through the coil 633. The RF current flowing through the coil 633 generates an electromagnetic field around the coil 633. The electromagnetic field generates an induced current in the upper subchamber 602. The generated ions and radicals physically and chemically interact with wafer 619 to etch features of the wafer and selectively deposit layers on wafer 619.
If the plasma grid 650 is used such that both the upper sub-chamber 602 and the lower sub-chamber 603 are present, an induced current acts on the gas present in the upper sub-chamber 602 to generate electron-ion plasma in the upper sub-chamber 602. An optional internal plasma grid 650 limits the amount of hot electrons in the lower sub-chamber 603. In some embodiments, the apparatus 600 is designed and operated such that the plasma present in the lower subchamber 603 is an "ion-ion" plasma.
Both the upper electron-ion plasma and the lower ion-ion plasma may contain cations and anions, but the ion-ion plasma will have a greater anion to cation ratio. Volatile etch and/or deposition byproducts may be removed from the lower sub-chamber 603 through a port 622. The chuck 617 disclosed herein can operate at an elevated temperature range of between about 10 ℃ and about 250 ℃. The temperature will depend on the process operation and the specific formulation.
The apparatus 600 may be coupled to a facility (not shown) when installed in an ultra clean room or a manufacturing facility. Such facilities include piping that provides process gas, vacuum, temperature control, and environmental particulate control. These facilities are coupled to the apparatus 600 when installed at the target manufacturing facility. Further, the apparatus 600 may be coupled to a transfer chamber, allowing semiconductor wafers to be transferred into and out of the apparatus 600 by a robot using, for example, typical automation.
In some embodiments, a system controller 630 (which may include one or more physical or logical controllers) controls some or all of the operations of the process chambers 624. The system controller 630 may include one or more memory devices and one or more processors. In some embodiments, the apparatus 600 includes a switching system for controlling flow rate and duration when performing the disclosed embodiments. In some embodiments, the device 600 may have a switching time of up to about 600ms or up to about 750 ms. The switching time may depend on the flow chemistry composition, recipe selection, reactor architecture, and other factors.
In some implementations, the system controller or controller 630 is part of a system, which may be part of the examples described above. Such a system may include a semiconductor processing apparatus that includes one or more processing tools, one or more chambers, one or more platforms for processing, and/or specific processing components (wafer pedestal, gas flow system, etc.). These systems may be integrated with electronics for controlling the operation of semiconductor wafers or substrates before, during, and after their processing. The electronics may be integrated into the controller 630, which may control various components or sub-components of one or more systems. Depending on the process parameters and/or system type, the system controller may be programmed to control any of the processes disclosed herein, including controlling the delivery of process gases, temperature settings (e.g., heating and/or cooling), pressure settings, vacuum settings, power settings, radio Frequency (RF) generator settings, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, position and operation settings, wafer delivery to and from tools and other delivery tools, and/or load locks connected to or interfacing with a particular system.
In a broad sense, the controller 630 may be defined as an electronic device having various integrated circuits, logic, memory, and/or software that receive instructions, issue instructions, control operations, enable cleaning operations, enable endpoint measurements, and the like. An integrated circuit may include a chip in the form of firmware that stores program instructions, a Digital Signal Processor (DSP), a chip defined as an Application Specific Integrated Circuit (ASIC), and/or one or more microprocessors, or microcontrollers that execute program instructions (e.g., software). The program instructions may be instructions that are delivered to the controller in the form of various individual settings (or program files) that define the operating parameters for performing a particular process on or for a semiconductor wafer or system. In some embodiments, the operating parameters may be part of a recipe defined by a process engineer to complete one or more processing steps during fabrication or removal of one or more layers, materials, metals, oxides, silicon dioxide, surfaces, circuits, and/or dies of a wafer.
In some implementations, the system controller 630 may be part of or coupled to a computer that is integrated with the system, coupled to the system, otherwise networked to the system, or a combination thereof. For example, the controller may be in a "cloud" or in all or a portion of a fab (fab) host system, which may allow remote access to wafer processing. The computer may enable remote access to the system to monitor the current progress of a manufacturing operation, to check the history of past manufacturing operations, to study trends or performance criteria from multiple manufacturing operations, to change parameters of a current process, to set process steps to follow a current process, or to start a new process. In some examples, a remote computer (e.g., a server) may provide a process recipe to a system over a network (which may include a local network or the internet). The remote computer may include a user interface that enables parameters and/or settings to be entered or programmed and then transferred from the remote computer to the system. In some examples, the system controller 630 receives instructions in the form of data specifying parameters for each processing step to be performed during one or more operations. It should be appreciated that the parameters may be specific to the type of process to be performed and the type of tool with which the controller is configured to interface or control. Thus, as described above, the system controller 630 may be distributed, for example, by including one or more discrete controllers that are networked together and work toward a common purpose (e.g., the processes and controls described herein). An example of a distributed controller for such purposes is one or more integrated circuits on a chamber that communicate with one or more integrated circuits located remotely (e.g., at a platform level or as part of a remote computer), which combine to control processes on the chamber.
Exemplary systems may include, but are not limited to, a plasma etching chamber or module, a deposition chamber or module, a spin rinse chamber or module, a metal plating chamber or module, a cleaning chamber or module, a bevel edge etching chamber or module, a Physical Vapor Deposition (PVD) chamber or module, a Chemical Vapor Deposition (CVD) chamber or module, an ALD chamber or module, an ALE chamber or module, an ion implantation chamber or module, an orbital chamber or module, an EUV lithography chamber (scanner) or module, a dry lithography chamber or module, and any other semiconductor processing system that may be associated with or used in the manufacture and/or preparation of semiconductor wafers.
As described above, the controller may be in communication with one or more other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, tools located throughout the fab, a host computer, another controller, or tools used in transporting wafer containers to and from tool locations and/or load ports in the semiconductor manufacturing fab, depending on one or more process steps to be performed by the tools.
Implementation of EUVL patterning may utilize any suitable tool, commonly referred to as a scanner, such as the TWINSCAN NXE provided by ASML (Veldhoven, NL): A platform. The EUVL patterning tool may be a stand-alone device into or from which the substrate is moved for deposition and etching as described herein. Alternatively, the EUVL patterning tool may be a module on a larger multi-component tool, as described below. Fig. 7 depicts a semiconductor processing cluster tool architecture having a vacuum integrated deposition, EUV patterning, and dry development etching module interfaced with a vacuum transfer module suitable for performing the processes described herein. While these processes may be performed in the absence of such vacuum integrated devices, such devices may be advantageous in certain implementations.
Fig. 7 depicts a semiconductor processing cluster tool architecture having a vacuum integrated deposition and patterning module interfaced with a vacuum transfer module suitable for performing the processes described herein. The configuration of a transfer module for "transferring" wafers between multiple storage devices and processing modules may be referred to as a "cluster tool architecture" system. The deposition and patterning modules are vacuum integrated according to the needs of a particular process. Other modules (e.g., for etching) may also be included on the cluster.
The Vacuum Transfer Module (VTM) 738 interfaces with the four process modules 720a-720d, which may each be optimized to perform various manufacturing processes. As an example, the process modules 720a-720d may be used to perform deposition, evaporation, ELD, dry development, etching, stripping, and/or other semiconductor processing. For example, module 720a may be an ALD reactor operable to perform non-plasma thermal atomic layer deposition as described herein, such as a Vector tool available from Lam Research Corporation (Fremont, CA). The module 720b may be a PEALD tool (e.g., lam ). It should be understood that the figures are not necessarily drawn to scale.
Airlocks 742 and 746 (also referred to as load locks or transfer modules) interface with VTM 738 and patterning module 740. For example, as described above, a suitable patterning module may be twins cannxe:platform (supplied by ASML (Veldhoven, NL)). This tool architecture allows a workpiece (e.g., a semiconductor substrate or wafer) to be transferred under vacuum so as not to react prior to exposure. Integration of the deposition module with the lithography tool is facilitated by the fact that: taking into account ambient gases (e.g. H 2 O、O 2 Etc.) for intense optical absorption of incident photons, EUVL also requires a greatly reduced pressure.
As noted above, this integrated architecture is but one possible implementation of a tool for carrying out the process. The processes may also be performed using a more conventional standalone EUVL scanner and deposition reactor (e.g., a Lam Vector tool) as modules, either stand alone or integrated with other tools (e.g., etching, stripping, etc. (e.g., lam Kiyo or Gamma tools)) in a cluster architecture, such as described with reference to fig. 7 (but without integrated patterning modules).
Airlock 742 may be an "output" load lock representing the transfer of a substrate from VTM 738 for use by deposition module 720a to patterning module 740, while airlock 746 may be an "input" load lock representing the transfer of a substrate from patterning module 740 back to VTM 738. The input load lock 746 may also serve as a junction to the exterior of the tool for substrate access. Each processing module has a facet (facet) that interfaces the module to VTM 738. For example, the deposition process module 720a has facets 736. Within each facet, a sensor (e.g., sensors 1-18 shown in the figures) is used to detect the passage of the wafer 726 as it moves from station to station. Patterning module 740 and airlocks 742, 746 may be similarly equipped with additional facets and sensors (not shown).
The primary VTM robot 722 transfers wafers 726 between modules, including airlocks 742 and 746. In one embodiment, the robot 722 has one arm, while in another embodiment, the robot 722 has two arms, each of which has an end effector 724 to pick up a wafer (e.g., wafer 726) for transport. Front end robot 744 is used to transfer wafers 726 from output airlock 742 into patterning module 740, from patterning module 740 into input airlock 746. The front end robot 744 may also transport the wafer 726 between the input load lock and the exterior of the tool for substrate access. Since the input airlock module 746 can match an environment between atmospheric and vacuum, the wafer 726 can move between these two pressure environments without damage.
It should be noted that EUVL tools typically operate at higher vacuum than deposition tools. If this is the case, it is desirable to increase the vacuum environment of the substrate during transfer from deposition to the EUVL tool to allow the substrate to be degassed before entering the patterning tool. Output airlock 742 may provide this function by maintaining the transferred wafer at a lower pressure (not higher than the pressure in patterning module 740) for a period of time and evacuating any off-gas so that the optical components of patterning tool 740 are not contaminated by off-gas from the substrate. The proper pressure of the output leaving gas airlock is no more than 1E-8Torr.
In some implementations, a system controller 750 (which may include one or more physical or logical controllers) controls some or all of the operations of the cluster tool and/or its separate modules. It should be noted that the controller may be local to the cluster architecture, or may be located outside of the cluster architecture in the manufacturing floor, or located at a remote location and connected to the cluster architecture via a network. The system controller 750 may include one or more memory devices and one or more processors. The processor may include a Central Processing Unit (CPU) or computer, analog and/or digital input/output connections, a stepper motor control board, and other like components. A plurality of instructions for implementing the appropriate control operations are executed on the processor. These instructions may be stored on a memory device connected to the controller or may be provided over a network. In certain embodiments, the system controller executes system control software.
The system control software may include instructions for controlling the timing of the application and scale of any aspect of tool or module operation. The system control software may be configured in any suitable manner. For example, various process tool component subroutines or control objects may be written to control the operation of the process tool components required to implement the various process tool programs. The system control software may be encoded in any suitable computer readable programming language. In some embodiments, the system control software includes Input Output Control (IOC) sequence instructions to control the various parameters described above. For example, each stage of the semiconductor manufacturing process may include one or more instructions executed by a system controller. For example, instructions for setting the processing conditions of the condensation, deposition, evaporation, patterning, and/or etching phases may be included in the corresponding recipe phases.
In various embodiments, an apparatus for forming a negative pattern mask is provided. The apparatus may include a process chamber for patterning, depositing, and etching, and a controller including instructions for forming a negative pattern mask. The instructions may include program code for performing the following processes in the process chamber: exposing a substrate surface by EUV exposure, patterning features in a Chemically Amplified Resist (CAR) on a semiconductor substrate; dry developing the photopatterned resist; and etching the underlying layer or layer stack using the patterned photoresist as a mask.
It should be noted that the computer controlling the movement of the wafer may be local to the clustered architecture, or may be located outside of the clustered architecture in the manufacturing floor, or located at a remote location and connected to the clustered architecture via a network.
Conclusion(s)
Although the foregoing embodiments have been described in some detail for purposes of clarity of understanding, it will be apparent that certain changes and modifications may be practiced within the scope of the appended claims. Embodiments disclosed herein may be practiced without some or all of these specific details. In other instances, well known process operations have not been described in detail in order not to unnecessarily obscure the disclosed embodiments. Further, while the disclosed embodiments will be described in conjunction with the specific embodiments, it will be understood that the specific embodiments are not intended to limit the disclosed embodiments. It should be noted that there are many alternative ways of implementing the processes, systems, and apparatuses of the presented embodiments. Accordingly, the present embodiments are to be considered as illustrative and not restrictive, and the embodiments are not to be limited to the details given herein.

Claims (36)

1. A patterned radiation-sensitive film comprising an organometallic-oxygen material, wherein the material comprises a metal, oxygen, and C 1-4 Halogenated aliphatic or C 1-4 Aliphatic, and wherein said C 1-4 The aliphatic group is selected from C 1-2 Alkyl, C 2-4 Alkenyl and C 2-4 Alkynyl groups.
2. The film of claim 1, wherein the metal is tin (Sn).
3. The method according to claim 1A membrane, wherein said C 1-4 The halogenated aliphatic group is selected from C 1-4 Haloalkyl, C 2-4 Haloalkenyl and C 2-4 Haloalkynyl group.
4. The film of claim 1, wherein the C 1-4 Halogenated aliphatic groups include halogen substituted methyl groups including one, two or three halogen substitutions; or beta-halogen substituted ethyl including one, two or three halogen substitutions.
5. The film of claim 1, wherein the C 1-4 Halogenated aliphatic groups include one or more fluoro or iodo substitutions.
6. The film of claim 1, wherein the C 1-4 The aliphatic group is selected from the group consisting of methyl, ethyl, vinyl, ethynyl, propenyl, and propargyl.
7. The film of claim 1, wherein the film has a lower gel content than a film comprising metal, oxygen, and C 3-4 Gel amount of non-halogenated films of alkyl groups.
8. The membrane of claim 1, further comprising a vertical gradient extending from a top surface of the membrane to a lower portion of the membrane, wherein an upper portion comprises C 3-4 Alkyl, while the lower part comprises C 1-4 Halogenated aliphatic, C 1-2 Alkyl, C 2-4 Alkenyl, or C 2-4 Alkynyl groups.
9. The film of claim 1, wherein the material comprises a metal-oxygen bond and a metal-C 1-4 Halogenated aliphatic bonds or metal-C 1-4 A network of aliphatic bonds.
10. The film of any of claims 1-9, wherein the patterned radiation-sensitive film comprises an Extreme Ultraviolet (EUV) sensitive film.
11. A method of using a resist, the method comprising:
depositing a metal-containing precursor on a surface of a substrate to provide a resist film, wherein the resist film comprises a metal, oxygen, and C 1-4 Halogenated aliphatic or C 1-4 An aliphatic group of the aliphatic hydrocarbon, which is a hydrocarbon,
wherein said C 1-4 The halogenated aliphatic group is selected from C 1-4 Haloalkyl, C 2-4 Haloalkenyl and C 2-4 A group of haloalkynyls, and
wherein said C 1-4 The aliphatic group is selected from C 1-2 Alkyl, C 2-4 Alkenyl and C 2-4 Alkynyl groups.
12. The method of claim 11, wherein the metal-containing precursor comprises a structure having formula (I):
M a R b L c (I),
Wherein:
each M is independently a metal (e.g., tin (Sn));
each R is independently optionally substituted C 1-4 Haloalkyl, optionally substituted C 2-4 Haloalkenyl, optionally substituted C 2-4 Haloalkynyl, optionally substituted C 1-2 Alkyl, optionally substituted C 2-4 Alkenyl, or optionally substituted C 2-4 Alkynyl;
each L is independently halogen, optionally substituted amino, optionally substituted alkoxy, optionally substituted bis (trialkylsilyl) amino, optionally substituted trialkylsilyl, a ligand reactive with the opposing reactants and/or with a ligand-containing precursor, ion or moiety;
a is more than or equal to 1; b is more than or equal to 1; and c is more than or equal to 1.
13. The method of claim 12, wherein the metal-containing precursor comprises a structure having the formula (Ia):
SnR b L 3-b (Ia),
wherein:
each R is independently optionally substituted C 1-4 Haloalkyl, optionally substituted C 2-4 Haloalkenyl, optionally substituted C 2-4 Haloalkynyl, optionally substituted C 1-2 Alkyl, optionally substituted C 2-4 Alkenyl, or optionally substituted C 2-4 Alkynyl;
each L is independently halogen, optionally substituted amino, optionally substituted alkoxy, or a ligand reactive with the opposing reactants and/or with a ligand-containing precursor; and is also provided with
b is 1 or 2.
14. The method of claim 11, wherein the depositing comprises providing the metal-containing precursor and ligand-containing precursor simultaneously or sequentially.
15. The method of claim 14, wherein the metal-containing precursor comprises a structure having formula (III):
M a L c (III),
wherein:
each M is independently a metal (e.g., sn (II));
each L is independently halogen, optionally substituted amino, optionally substituted alkoxy, optionally substituted bis (trialkylsilyl) amino, optionally substituted trialkylsilyl, a ligand reactive with the opposing reactants and/or with a ligand-containing precursor, ion or moiety;
a is more than or equal to 1; and c is more than or equal to 1.
16. The method of claim 15, wherein the ligand-containing precursor comprises a structure having formula (IV):
R f L g (IV),
wherein:
each R is independently optionally substituted C 1-4 Haloalkyl, optionally substituted C 2-4 Haloalkenyl, optionally substitutedC of (2) 2-4 Haloalkynyl, optionally substituted C 1-2 Alkyl, optionally substituted C 2-4 Alkenyl, or optionally substituted C 2-4 Alkynyl;
each L is independently halogen, optionally substituted amino, optionally substituted alkoxy, optionally substituted bis (trialkylsilyl) amino, optionally substituted trialkylsilyl, a ligand reactive with the opposing reactants, ion or moiety;
f is more than or equal to 1; and g is more than or equal to 1.
17. The method of claim 16, wherein the metal-containing precursor comprising formula (III) is reacted with a ligand-containing precursor comprising formula (IV) to provide a precursor comprising a structure having formula (I).
18. The method of claim 11, wherein the depositing comprises providing the metal-containing precursor and optionally ligand-containing precursor in vapor form.
19. The method of claim 11, wherein the depositing further comprises a second metal-containing precursor to form an upper portion of the film to provide a gradient film.
20. The method of claim 11, wherein the depositing further comprises providing opposing reactants.
21. The method of claim 20, wherein the opposing reactant comprises water vapor.
22. The method of claim 11, wherein the resist film comprises an Extreme Ultraviolet (EUV) -sensitive film.
23. The method of claim 11, wherein the resist film comprises organotin oxide, organotin oxide hydroxide, halogenated organotin oxide, or halogenated organotin oxide hydroxide.
24. The method of claim 1, wherein the metal of the resist film comprises tin (Sn), and wherein the C of the resist film 1-4 Halogenated aliphatic groups include halogen substituted methyl groups including one, two or three halogen substitutions; or beta-halogen substituted ethyl including one, two or three halogen substitutions.
25. The method of claim 1, wherein the resist film comprises an organo-metallic-oxygen material comprising a metal-oxygen bond and a metal-C 1-4 Haloalkyl bond or metal-C 1-2 A network of alkyl linkages.
26. The method of claim 1, wherein the photoresist film has a lower gel content than a photoresist film comprising metal, oxygen, and C 3-4 Gel amount of non-halogenated films of alkyl groups.
27. The method as recited in claim 11, further comprising:
patterning the resist film by exposure to patterning radiation to provide an exposed film having radiation-exposed and radiation-unexposed regions; and
the exposed film is developed to remove the radiation-exposed regions to provide a pattern in a positive resist film or to remove the radiation-unexposed regions to provide a pattern in a negative resist.
28. The method of claim 27, wherein the patterning comprises EUV exposure in a vacuum environment having a wavelength in a range of about 10nm to about 20 nm.
29. The method of claim 27, wherein the developing comprises dry developing or wet developing.
30. The method of claim 29, wherein the dry development is for an exposed film comprising tin bonded to fluorine or iodine atoms.
31. An apparatus for forming a resist film, the apparatus comprising:
a deposition module including a chamber for depositing a resist film; and
a controller comprising one or more memory devices, one or more processors, and system control software encoded with instructions comprising machine-readable instructions for:
in the deposition module, a metal-containing precursor and optionally a ligand-containing precursor are deposited on a top surface of a semiconductor substrate to form the resist film, wherein the resist film comprises a metal, oxygen, and C 1-4 Halogenated aliphatic or C 1-4 Aliphatic, and wherein said C 1-4 The aliphatic group is selected from C 1-2 Alkyl, C 2-4 Alkenyl and C 2-4 Alkynyl groups.
32. The apparatus of claim 31, wherein the resist film comprises an Extreme Ultraviolet (EUV) -sensitive film.
33. The device of claim 31, further comprising:
a patterning module comprising a lithography tool having a source of radiation having a wavelength less than 300nm, wherein the instructions comprising machine-readable instructions further comprise instructions for:
In the patterning module, the resist film is patterned with a resolution of less than 300nm directly by patterning radiation exposure, forming an exposed film having radiation exposed and radiation unexposed regions.
34. The apparatus of claim 33, wherein the source for the lithography tool is a source of radiation at wavelengths less than 30 nm.
35. The device of claim 34, wherein the instructions comprising machine-readable instructions further comprise instructions for:
in the patterning module, the resist film is patterned with a resolution of less than 30nm directly by EUV exposure, forming the exposed film having EUV exposed regions and EUV unexposed regions.
36. The device of claim 33, further comprising:
a development module comprising a chamber for developing the resist film, wherein the instructions comprising machine-readable instructions further comprise instructions for:
in the development module, the exposed film is developed to remove the radiation-exposed or radiation-unexposed regions to provide a pattern within the resist film.
CN202280016742.6A 2021-02-23 2022-01-28 Halogen-and aliphatic-containing organotin photoresist and method thereof Pending CN116888536A (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202163200235P 2021-02-23 2021-02-23
US63/200,235 2021-02-23
PCT/US2022/014281 WO2022182473A1 (en) 2021-02-23 2022-01-28 Halogen-and aliphatic-containing organotin photoresists and methods thereof

Publications (1)

Publication Number Publication Date
CN116888536A true CN116888536A (en) 2023-10-13

Family

ID=83048449

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202280016742.6A Pending CN116888536A (en) 2021-02-23 2022-01-28 Halogen-and aliphatic-containing organotin photoresist and method thereof

Country Status (5)

Country Link
JP (1) JP2024507190A (en)
KR (1) KR20230148424A (en)
CN (1) CN116888536A (en)
TW (1) TW202303279A (en)
WO (1) WO2022182473A1 (en)

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP4089482A1 (en) * 2015-10-13 2022-11-16 Inpria Corporation Organotin oxide hydroxide patterning compositions, precursors, and patterning
WO2018173446A1 (en) * 2017-03-22 2018-09-27 Jsr株式会社 Pattern forming method
CA2975104A1 (en) * 2017-08-02 2019-02-02 Seastar Chemicals Inc. Organometallic compounds and methods for the deposition of high purity tin oxide
SG11202009703QA (en) * 2018-05-11 2020-10-29 Lam Res Corp Methods for making euv patternable hard masks
KR102211158B1 (en) * 2018-06-08 2021-02-01 삼성에스디아이 주식회사 Semiconductor resist composition, and method of forming patterns using the composition
JP7213642B2 (en) * 2018-09-05 2023-01-27 東京エレクトロン株式会社 Method for manufacturing resist film

Also Published As

Publication number Publication date
JP2024507190A (en) 2024-02-16
WO2022182473A1 (en) 2022-09-01
KR20230148424A (en) 2023-10-24
TW202303279A (en) 2023-01-16

Similar Documents

Publication Publication Date Title
KR20220076498A (en) POSITIVE TONE DEVELOPMENT of CVD EUV resist films
US20230259025A1 (en) Dry deposited photoresists with organic co-reactants
CN114730133A (en) Substrate surface modification with high EUV absorber for high performance EUV photoresists
WO2021202146A1 (en) Structure and method to achieve positive tone dry develop by a hermetic overlayer
US20230266670A1 (en) Metal chelators for development of metal-containing photoresist
US20230266664A1 (en) Photoresists from sn(ii) precursors
US20230288798A1 (en) Photoresists containing tantalum
US20230314946A1 (en) Method of forming photo-sensitive hybrid films
CN116888536A (en) Halogen-and aliphatic-containing organotin photoresist and method thereof
US20240134274A1 (en) Halogen-and aliphatic-containing organotin photoresists and methods thereof
TW202246893A (en) Quantum efficient photoresists and methods thereof
TW202413382A (en) Tin precursors for deposition of euv dry resist
WO2023245047A1 (en) Tin precursors for deposition of euv dry resist
TW202340879A (en) Development strategy for high-absorbing metal-containing photoresists
WO2023114724A1 (en) Development of hybrid organotin oxide photoresists
JP2023519834A (en) Apparatus and process for EUV dry resist sensitization by vapor phase injection of sensitizers
TW202344921A (en) Aqueous acid development or treatment of organometallic photoresist

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination