CN116529847A - 容纳寄生等离子体形成的半导体处理腔室 - Google Patents

容纳寄生等离子体形成的半导体处理腔室 Download PDF

Info

Publication number
CN116529847A
CN116529847A CN202180080503.2A CN202180080503A CN116529847A CN 116529847 A CN116529847 A CN 116529847A CN 202180080503 A CN202180080503 A CN 202180080503A CN 116529847 A CN116529847 A CN 116529847A
Authority
CN
China
Prior art keywords
plasma
discharge tube
less
coupled
panel
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202180080503.2A
Other languages
English (en)
Inventor
K·C·保罗
田中努
A·J·菲施巴赫
A·A·坎古德
J·C·罗查-阿尔瓦雷斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN116529847A publication Critical patent/CN116529847A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • H01L21/0229Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating liquid atomic layer deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

示例性处理系统可包括腔室主体。系统可包括底座,所述底座被配置成支撑半导体基板。系统可包括面板。腔室主体、底座和面板可限定处理区域。可将面板与RF电源耦接。系统可包括远程等离子体单元。远程等离子体单元可耦接在电气接地处。系统可包括放电管,所述放电管从远程等离子体单元向面板延伸。放电管可限定中心孔。面板和远程等离子体单元中的每一者可与放电管电耦接。放电管可包括围绕放电管中心孔延伸的铁氧体。

Description

容纳寄生等离子体形成的半导体处理腔室
相关申请的交叉引用
本申请主张2020年10月23日申请的题为“SEMICONDUCTOR PROCESSING CHAMBERTO ACCOMMODATE PARASITIC PLASMA FORMATION(容纳寄生等离子体形成的半导体处理腔室)”的美国专利申请案第17/078,520号的权益和优先权,其以全文引用的方式并入本文中。
技术领域
本技术关于半导体处理。更具体而言,本技术关于用于沉积和处理包括流动膜的材料的系统和方法。
背景技术
集成电路通过在基板表面上产生复杂图案化材料层的处理成为可能。在基板上产生图案化材料需要可控的用于形成和移除曝露材料的方法。随着组件尺寸继续收缩,材料形成可能影响后续的操作。举例而言,在间隙填充操作中,可形成或沉积材料以填充形成于半导体基板上的沟槽或其他特征。由于特征可以由较高的深宽比和较小的关键尺寸表征,因此这些填充操作可具有挑战性。举例而言,由于沉积可在特征的顶部和沿其侧壁发生,因此持续沉积可夹断特征(包括特征内的侧壁之间的特征),并且可能在特征中产生孔隙。这可影响组件性能和后续的处理操作。
由此,需要能用于产生高质量组件和结构的改进的系统和方法。本技术满足这些和其他需要。
发明内容
示例性处理系统可包括腔室主体。系统可包括底座,所述底座被配置成支撑半导体基板。系统可包括面板。腔室主体、底座和面板可限定处理区域。面板可以与RF电源耦接。系统可包括远程等离子体单元。远程等离子体单元可耦接在电气接地处。系统可包括放电管,所述放电管从远程等离子体单元向面板延伸。放电管可限定中心孔。面板和远程等离子体单元中的每一者可以与放电管电耦接。放电管可包括围绕放电管的中心孔延伸的铁氧体。
在一些实施例中,放电管可为导电的。铁氧体可沿放电管产生大于或约50Ω的电感。铁氧体可包括围绕放电管的中心孔延伸的至少一个铁氧体环。放电管可限定在放电管内且围绕中心孔的环形容积。可将铁氧体设置于环形容积内。系统可包括设置于环形容积内的绝缘体。系统可包括电容器,该电容器跨铁氧体与放电管电耦接。系统可包括设置于放电管与面板之间的输出歧管。输出歧管可限定与放电管的中心孔轴向对准的中心孔。输出歧管可限定一个或多个旁路通道,该旁路通道与穿过输出歧管的中心孔流体地分隔。通向由输出歧管限定的一个或多个旁路通道中的每一者的入口可包括孔口,可调整所述孔口的大小以将入口处的压力差量提高大于或约5Torr。RF电源可为与面板耦接的高频等离子体源。系统还可包括与底座耦接的低频等离子体源。底座可以是或包括静电夹盘。半导体处理系统还可包括与底座耦接的DC电源。低频等离子体源可被配置成以低于或约2MHz运行。高频等离子体源可被配置成以低于或约200kHz的脉冲频率以高于或约13.56MHz运行。高频等离子体源可被配置成以低于或约20%的占空比以低于或约20kHz的脉冲频率运行。高频等离子体源可被配置成以低于或约5W的有效功率产生等离子体。系统可包括第一L-C滤波器,其与底座耦接,并且被配置成通过底座使高频等离子体源实质上接地。系统可包括第二L-C滤波器,其与面板耦接,并且被配置成将低频等离子体源实质上接地到腔室主体。
本技术的一些实施例可涵盖处理方法。方法可包括形成含硅前驱物的等离子体。方法可包括用含硅前驱物的等离子体流出物在半导体基板上沉积流动膜。半导体基板可容纳于半导体处理腔室的处理区域中。半导体基板可限定半导体基板中的特征。处理区域可部分地限定在面板与基板支撑件之间,半导体基板安置于基板支撑件上。方法可包括在半导体处理腔室的处理区域中形成处理等离子体。可由第一电源以第一功率水平形成处理等离子体。可由第二电源对基板支撑件施加第二功率水平。方法可包括用处理等离子体的等离子体流出物使半导体基板中限定的特征中的流动膜致密化。
在一些实施例中,半导体处理腔室可以是半导体处理系统的一部分。系统可包括腔室主体。系统可包括底座,该底座被配置成支撑半导体基板。系统可包括面板。腔室主体、底座和面板可限定处理区域。系统可包括远程等离子体单元。系统可包括放电管,该放电管从远程等离子体单元向面板延伸。放电管可限定中心孔。放电管可包括围绕放电管的中心孔延伸的铁氧体。系统可包括与面板耦接的高频等离子体源。系统可包括与底座耦接的低频等离子体源。系统可包括第一L-C滤波器,其与底座耦接并且被配置成经由底座使高频等离子体源实质上接地。系统可包括第二L-C滤波器,其与面板耦接并且被配置成将低频等离子体源实质上接地到腔室主体。系统可包括设置于放电管与面板之间的输出歧管。输出歧管可限定与放电管的中心孔轴向对准的中心孔。输出歧管可限定一个或多个旁路通道,该旁路通道与穿过输出歧管的中心孔流体地分隔。
相比于常规的系统和技术,此技术可提供许多好处。举例而言,通过在沉积腔室中执行硬化或处理操作,可提高产量,同时限制或控制侧壁覆盖,这可限制小特征中的孔隙形成。另外,通过使用结合有铁氧体的腔室配置,可控制等离子体产生以优先在腔室的处理区域中产生。结合以下描述和所附附图更详细地描述这些和其他实施例以及其优点和特征中的许多者。
附图说明
可参考说明书的剩余部分和附图实现对所公开技术的性质和优点的进一步理解。
图1示出根据本技术的一些实施例的示例性处理腔室的示意性横截面图。
图2示出根据本技术的一些实施例的腔室的示意性部分横截面图。
图3示出根据本技术的一些实施例的处理方法的示例性操作。
图4示出根据本技术的一些实施例的示例性气体帕邢(Paschen)曲线。
图5示出根据本技术的一些实施例的示例性处理系统的示意性横截面图。
图6示出根据本技术的一些实施例的示例性处理系统的部件的示意性横截面图。
包含若干附图作为示意图。应理解附图用于说明的目的,并且除非明确指出为按比例的,否则不应认为其为按比例的。另外,提供作为示意图的附图以帮助理解,并且附图可能不包括与实际表现相比的所有方面或信息,并且可为了说明性目的而包括夸示的材料。
在随附附图中,相似的组件和/或特征可具有相同的附图标记。另外,可通过在附图标记后添加区分相似组件的字母来区分同一类型的各个组件。如果说明书中仅使用第一附图标记,则描述适用于具有同一第一附图标记的相似组件中的任一者而与字母无关。
具体实施方式
非晶硅可在半导体组件制造中用于多个结构和处理,包括用作牺牲材料,例如用作虚设栅极材料,或用作沟槽填充材料。在间隙填充操作中,一些处理可使用在处理条件下形成的流动膜以限制沉积的保形性,这可允许所沉积的材料更好地填充基板上的特征。流动硅材料可以由相对高的氢量表征,并且密度可低于其他形成的膜。因此,可执行后续处理操作以硬化所产生的膜。常规技术可使用UV硬化处理以移除氢并且处理膜。然而,UV硬化可导致显著的膜收缩,这可导致对特征产生应力,并且在特征中产生孔隙。另外,在与沉积腔室分隔的腔室中形成处理,这将通过增加处理时间降低产量。
由于特征尺寸持续收缩,流动膜对于可以进一步由更高的深宽比表征的窄特征可能具有挑战性。举例而言,由于特征侧壁上的沉积,特征夹断可更容易发生,这在小特征尺寸中可进一步限制流进一步进入特征中,并且可产生孔隙。可通过在远程电容耦合等离子体区域中或在与腔室耦接的远程等离子体源单元中产生自由基而执行一些常规的流动膜形成。然而,对于高深宽比特征中的循环形成,此处理可能提供不可靠的沉积。举例而言,当自由基通过腔室部件(例如面板),重组可对持续提供自由基流出物提出挑战。另外,远程等离子体源可能无法限制小间距特征中的沉积量。这可能在特征中过度沉积,过度沉积随后可限制或防止处理流出物的完全渗透。这可导致随后的处理期间发生损坏,导致基板报废。
本技术可通过使高频和低频电源去耦并且使用允许短时间执行低功率可重复等离子体产生的触发序列来克服这些限制。这可将沟槽填充期间的沉积限制为紧密受控的量,并且确保在后续的处理操作期间的完全处理。另外,通过包括腔室部件以控制处理腔室内的压力效应和间隙长度,可控制操作以在处理腔室的选定区域中产生等离子体。在描述根据本技术的一些实施例的腔室(其中可执行下文讨论的等离子体处理操作)的总体方面之后,可讨论具体的腔室配置和方法论。应理解本技术不意欲限于所讨论的具体的膜、腔室或处理,所描述的技术可用于改进任何数量的材料的多个膜形成处理,并且可应用于各种处理腔室和操作。
图1示出根据本技术的一些实施例的示例性处理腔室100的横截面图。附图可说明系统的概况,该系统并入有本技术的一个或多个方面,并且/或可执行根据本技术的实施例的一个或多个沉积或其他处理操作。下文可进一步描述腔室100的其他细节或所执行的方法。根据本技术的一些实施例可使用腔室100以形成膜层,但应理解可在其内可发生膜形成的任何腔室中类似地执行方法。处理腔室100可包括:腔室主体102;基板支撑件104,其设置于腔室主体102内;以及盖组件106,其与腔室主体102耦接,并且将基板支撑件104封入于处理容积120中。可经由开口126将基板103提供至处理容积120,可使用狭缝阀或门常规地密封该开口126以用于处理。在处理期间,基板103可安置于基板支撑件的表面105上。基板支撑件104可如箭头145所示沿着轴线147可旋转,基板支撑件104的轴144可位于轴线147上。或者,在沉积处理期间,可升高基板支撑件104以按需要旋转。
可在处理腔室100中设置等离子体轮廓调变器111,以控制在设置于基板支撑件104上的基板103上的等离子体分配。等离子体轮廓调变器111可包括第一电极108,第一电极108可设置为与腔室主体102相邻并且可将腔室主体102与盖组件106的其他部件分隔。第一电极108可以是盖组件106的一部分,或者可以是单独的侧壁电极。第一电极108可以是环形或环状元件,并且可以是环形电极。第一电极108可以是围绕包围处理容积120的处理腔室100的圆周的连续环,或者如需要,在选定的位置可不连续。第一电极108也可以是诸如多孔环或网状电极的多孔电极,或可以是诸如二次气体分配器的板状电极。
一个或多个隔离器110a、110b可以是诸如陶瓷或金属氧化物的介电材料(例如氧化铝和/或氮化铝),其可接触第一电极108,并且将第一电极108与气体分配器112和腔室主体102电分隔或热分隔。气体分配器112可界定用于将处理前驱物分配至处理容积120中的孔口118。气体分配器112可与第一电力源142(例如RF产生器、RF电源、DC电源、脉冲DC电源、脉冲RF电源或可与处理腔室耦接的任何其他电源)耦接。在一些实施例中,第一电力源142可以是RF电源。
气体分配器112可以是导电的气体分配器或不导电的气体分配器。气体分配器112也可由导电和不导电的部件形成。举例而言,气体分配器112的主体可以是导电的,而气体分配器112的面板可以是不导电的。可(例如)通过如图1所示的第一电力源142为气体分配器112提供电力,或者在一些实施例中气体分配器112可耦接接地。
第一电极108可与第一调谐电路128耦接,该第一调谐电路128可控制处理腔室100的接地路径。第一调谐电路128可包括第一电子传感器130和第一电子控制器134。第一电子控制器134可以是或包括可变电容器或其他电路组件。第一调谐电路128可以是或包括一个或多个电感器132。第一调谐电路128可以是在处理期间在处理容积120中存在的等离子体条件下实现可变或可控阻抗的任何电路。在所说明的一些实施例中,第一调谐电路128可包括在接地与第一电子传感器130之间并联耦接的第一电路支路和第二电路支路。第一电路支路可包括第一电感器132A。第二电路支路可包括与第一电子控制器134串联耦接的第二电感器132B。第二电感器132可设置于第一电子控制器134与将第一电路支路和第二电路支路连接至第一电子传感器130的节点之间。第一电子传感器130可以是电压或电流传感器,并且可与第一电子控制器134耦接,第一电子控制器134可对处理容积120内的等离子体条件进行一定程度的死循环控制。
可将第二电极122与基板支撑件104耦接。第二电极122可嵌入于基板支撑件104内,或与基板支撑件104的表面耦接。第二电极122可以是板、多孔板、网、线滤网或导电组件的任何其他分布式布置。第二电极122可以是调谐电极,并且可通过导管146与第二调谐电路136耦接,该导管146例如设置于基板支撑件104的轴144中的具有选定电阻(例如50欧姆)的电缆。第二调谐电路136可以有第二电子传感器138和第二电子控制器140,其可以是第二可变电容器。第二电子传感器138可以是电压或电流传感器,并且可与第二电子控制器140耦接,以提供对处理容积120中的等离子体条件的进一步控制。
第三电极124可以是偏压电极和/或静电夹持电极,其可与基板支撑件104耦接。第三电极可经由滤波器148与第二电力源150耦接,该滤波器可为阻抗匹配电路。第二电力源150可以是DC电源、脉冲DC电源、RF偏压电源、脉冲RF源或偏压电源,或这些或其他电源的组合。在一些实施例中,第二电力源150可以是RF偏压电源。
图1的盖组件106和基板支撑件104可与用于等离子体或热处理的任何处理腔室一起使用。在操作中,处理腔室100可实时控制处理容积120中的等离子体条件。基板103可设置于基板支撑件104上,并且可根据任何所要的流程计划使用入口114使处理气体流过盖组件106。气体可通过出口152离开处理腔室100。可使电力与气体分配器112耦接,以在处理容积120中产生等离子体。在一些实施例中可使用第三电极124使基板经受电偏压。
一旦在处理容积120中激励等离子体,可在等离子体与第一电极108之间产生电位差。也可在等离子体与第二电极122之间产生电位差。随后可使用电子控制器134、140调整由两个调谐电路128和136表示的接地路径的流动性质。可将设定点输送至第一调谐电路128和第二调谐电路136,以提供中心至边缘的沉积速率和等离子体密度均匀性的独立控制。在其中电子控制器可均为可变电容器的实施例中,电子传感器可调整可变电容器,以独立地使沉积速率最大化并且使厚度不均匀性最小化。
调谐电路128、136中的每一者可具有可变阻抗,可使用分别的电子控制器134、140调整该可变阻抗。当电子控制器134、140是可变电容器时,可选择可变电容器中的每一者的电容范围以及第一电感器132A和第二电感器132B的电感以提供电感范围。此范围可取决于等离子体的频率和电压特性,其可在每一可变电容器的电容范围中具有最小值。因此,当第一电子控制器134的电容为最小值或最大值时,第一调谐电路128的阻抗可能高,从而导致等离子体形状在基板支撑件上方具有最小的空中或侧向覆盖率。当第一电子控制器134的电容接近使第一调谐电路128的阻抗最小化的值时,等离子体的空中覆盖率可增加至最大值,有效地覆盖基板支撑件104的整个工作区域。由于第一电子控制器134的电容偏离最小阻抗设定,等离子体形状可从腔室壁收缩,并且基板支撑件的空中覆盖率可下降。第二电子控制器140可具有类似的效应,随着第二电子控制器140的电容改变而增加或减少基板支撑件上方等离子体的空中覆盖率。
可使用电子传感器130、138在死循环中调谐分别的电路128、136。取决于所使用传感器的类型,可在每一传感器中安装电流或电压的设定点,并且传感器可提供有控制软件,其确定对每一分别的电子控制器134、140的调整以使与设定点的偏差最小化。因此,在处理期间可选择并且动态地控制等离子体形状。应理解,虽然前文的讨论基于可以是可变电容器的电子控制器134、140,但可使用具有可调整特性的任何电子部件来提供具有可调整阻抗的调谐电路128和136。
图2示出根据本技术的一些实施例的处理腔室200的示意性部分横截面图。腔室200可包括上文所描述的处理腔室100的任何特征、部件或特性,并且可说明腔室的其他特征,包括与腔室耦接的特定电源。举例而言,腔室200可包括腔室主体205。腔室可包括基板支撑件210,其可被配置成在半导体处理期间支撑基板。腔室可包括面板215,其与底座和腔室主体一起可限定被处理的基板上方的处理区域。
一些常规的处理系统可通过对面板施加电力同时使底座接地或通过对底座施加电力同时使面板接地,在处理区域中产生等离子体。在一些系统中,可将额外的偏压电源与底座耦接,以提高等离子体流出物的方向性。应理解可将用于静电夹持的单独的DC电源与上文与处理腔室100一起描述的底座耦接,该DC电源也可经操作以除夹持基板之外进一步对处理腔室内所产生的等离子体施加偏压。本技术与常规的配置的不同可在于:将两个单独的等离子体电源与所说明的喷头和底座耦接。举例而言,可将第一等离子体电源220与喷头耦接,并且可将第二等离子体电源230与底座耦接。在一些实施例中,第一等离子体电源220可以是高频等离子体电源,并且第二等离子体电源230可以是低频等离子体电源。在一些实施例中,低频等离子体电源230可与可用于将基板静电耦接至底座的DC电源分隔。
低频等离子体电源可在低于或约2MHz的第一频率下运行,并且可在低于或约1.5MHz、低于或约1.0Mhz、低于或约800kHz、低于或约600kHz、低于或约500kHz、低于或约400kHz、低于或约350kHz、低于或约300kHz、低于或约250kHz、低于或约200kHz或更低的频率下运行。高频等离子体电源可在第二频率运行,该第二频率可以高于或约2MHz,并且可以高于或约10MHz、高于或约13MHz、高于或约13.56MHz、高于或约15MHz、高于或约20MHz、高于或约40MHz或更高。
可使用等离子体源的其他方面进一步调谐处理区域中所产生的等离子体。举例而言,可使用根据本技术的实施例的腔室填充高深宽比特征,其中可产生谨慎的沉积量以限制所填充特征中的孔隙形成。基于无法在有限时间段内产生可重复低功率等离子体,常规的腔室可能在降低等离子体功率方面受限。本技术可以用于产生由每循环小于或约10nm的厚度表征的材料层。为实现此受限的沉积,可限制沉积时间段,或降低沉积期间使用的功率。常规的系统可能无法将等离子体功率降低至低于或约100W,这可增加沉积材料量,并且减少形成时间段以容纳此较高功率可限制在多循环沉积期间产生可重复等离子体的能力。
本技术可通过产生低功率沉积等离子体克服这些问题,该低功率沉积等离子体可以由低于或约20W的有效等离子体功率表征,并且可以由低于或约15W、低于或约10W、低于或约8W、低于或约6W、低于或约5W、低于或约4W、低于或约3W或更低的有效等离子体功率表征。为了在沉积操作期间产生此较低功率等离子体,系统可以以低于或约200kHz的脉冲功率运行高频等离子体功率,并且可以以低于或约150kHz、低于或约100Mhz、低于或约80kHz、低于或约70kHz、低于或约60kHz、低于或约50kHz、低于或约40kHz、低于或约30kHz、低于或约20kHz、低于或约10kHz或更低的等离子体功率运行脉冲频率。另外,并且在所指出的脉冲功率中的任一者,可以以减少的占空比运行高频等离子体电源,并且该减少的占空比小于或约50%,小于或约45%,小于或约40%,小于或约35%,小于或约30%,小于或约25%,小于或约20%,小于或约15%,小于或约10%,小于或约5%或更小。
在一些实施例中,减少的脉冲功率和占空比可能以一致的方式对等离子体产生提出挑战。一旦产生,低功率等离子体可产生低速率沉积以限制每循环的沉积,然而点燃可具有挑战性。因此,在一些实施例中,等离子体功率可按触发顺序运行,以促进沉积期间的等离子体产生。举例而言,如上文所指出,在一些实施例中,可在无低频等离子体电源的情况下执行沉积操作。然而,在一些实施例中,在沉积操作期间,可运行低频等离子体电源以便于点燃。另外,可能不运行低频等离子体电源,并且可用高频等离子体电源施加功率尖峰,以便于点燃。可用功率管理直接施加功率尖峰,或通过高频等离子体电源的分级操作施加功率尖峰。
也可运行低频等离子体电源以在处理期间控制等离子体形成和离子方向性。通过经由底座输送低频等离子体功率,在此经供电电极处形成的等离子体鞘可有益于离子进入所致密化的特征中的方向性。可按上文所指出的功率水平或脉冲频率中的任一者运行低频等离子体电源,但在一些实施例中,在处理操作期间可按大于第一电源220的等离子体功率运行第二电源230。举例而言,在处理期间,由第二电源输送的等离子体功率可大于或约为50W,大于或约为100W,大于或约为200W,大于或约为300W,大于或约为400W,大于或约为500W,大于或约为600W,大于或约为700W,大于或约为800W或更大。通过在处理等离子体形成期间增大低频电源的等离子体功率,可产生更大量的等离子体流出物。从底座施加更大的低频功率可增大与跨基板的平面垂直的输送方向性。
可作出其他调整以通过调整所提供的等离子体功率或偏压功率的一个或多个特性,进一步增加沿特征侧壁的所沉积材料的量。举例而言,在一些实施例中,可按连续波模式运行等离子体电源和偏压电源。另外,可按脉冲模式运行电源中的一者或两者。在一些实施例中,在处理期间,可按连续波模式或脉冲模式运行高频电源,同时可按脉冲模式运行低频功率。低频等离子体电源的脉冲频率可小于或约为1000Hz,并且可小于或约为900Hz,小于或约为800Hz,小于或约为700Hz,小于或约为600Hz,小于或约为500Hz,小于或约为400Hz,小于或约为300Hz,小于或约为200Hz,小于或约为100Hz或更小。第二电源的占空比可小于或约为50%,并且可按小于或约为45%、小于或约为40%、小于或约为35%、小于或约为30%、小于或约为25%、小于或约为20%、小于或约为15%、小于或约为10%、小于或约为5%或更小的占空比运行低频等离子体功率。通过以较小的占空比运行低频功率(例如供电时间工作小于或约50%),每循环较大的时间量可基于高频电源的操作在特征中执行更具各向同性的蚀刻,这可在致密化操作期间较佳地从侧壁移除材料。
可经由底座使第一等离子体电源220几乎耦接接地。举例而言,如图所示,第一L-C滤波器225可与底座耦接,并且经由底座使高频等离子体源实质上接地。类似地,可经由腔室使第二等离子体电源230耦接接地。举例而言,第二L-C滤波器可与面板耦接,面板可使低频等离子体源实质上接地,例如接地到腔室主体或外部接地。通过分隔高频电源和低频电源,可提供改良的等离子体产生和运行。
可在用于处理方法的本技术的一些实施例中使用处理腔室100和/或处理腔室200,处理方法可包括用于半导体结构的材料的形成、蚀刻或硬化。应理解所描述的腔室不视为限制性,并且可类似地使用被配置成执行所描述操作的任何腔室。图3示出根据本技术的一些实施例的处理方法300的示例性操作。可在各种处理腔室中并对一个或多个主机或工具执行方法,处理腔室包括上文描述的处理腔室100或处理腔室200。方法300可包括多个可选的操作,该操作可与根据本技术的方法的一些实施例具体相关联或不相关。举例而言,描述许多操作从而提供结构形成的更广泛范围,但这并非技术的关键,或可通过容易理解的替代方法执行该操作。
方法300可包括在开始所列出的操作之前进行附加的操作。举例而言,附加的处理操作可包括在半导体基板上形成结构,其可包括形成和去除材料。举例而言,可形成晶体管结构、存储器结构或任何其他结构。可在执行方法300的腔室中执行先前的处理操作,或可在将基板输送至执行方法300的一个或多个半导体处理腔室中之前在一个或多个其他处理腔室中执行处理。无论如何,方法300可以可选地包括将半导体基板输送至半导体处理腔室(例如上文描述的处理腔室200或可包括上文描述的部件的其他腔室)的处理区域。基板可沉积于基板支撑件上,其可为诸如基板支撑件210的底座,并且可驻留在腔室的处理区域(例如上文描述的处理容积120)中。
所处理的基板可以是或包括在半导体处理中使用的任何数量的材料。基板材料可以是或包括硅、锗、包括氧化硅或氮化硅的介电材料、金属材料或这些材料的任何数量的组合,其可以是基板或形成于结构上的材料。根据本技术,特征可由任何形状或配置表征。在一些实施例中,特征可以是或包括形成于基板中的沟槽结构或孔。虽然特征可由任何形状或大小表征,但在一些实施例中,特征可由较高的深宽比或特征深度与特征宽度的比表征。举例而言,在一些实施例中,特征可由大于或约5:1的深宽比表征、并且可以由大于或约10:1、大于或约15:1、大于或约20:1、大于或约25:1、大于或约30:1、大于或约40:1、大于或约50:1或更大的深宽比表征。另外,特征可由跨特征(包括两个侧壁之间的特征)的窄宽度或尺寸(例如小于或约20nm的尺寸)表征,并且特征可以由表征为跨特征的小于或约15nm、小于或约12nm、小于或约10nm、小于或约9nm、小于或约8nm、小于或约7nm、小于或约6nm、小于或约5nm或更小的宽度表征。
在一些实施例中,方法300可包括可被执行以准备用于沉积的基板表面的可选的处理操作(例如预处理)。一旦准备好,方法300可包括将一种或多种前驱物输送至容纳结构的半导体处理腔室的处理区域中。前驱物可包括一种或多种含硅前驱物,以及一种或多种稀释剂或载体气体,例如与含硅前驱物一起输送的惰性气体或其他气体。虽然关于产生流动硅膜来解释本方法,但应理解可使用所描述的方法和/或腔室产生根据本技术实施例的任何数量的材料。在操作305中可由包括含硅前驱物的沉积前驱物形成等离子体。可在处理区域中形成等离子体,其可允许沉积材料在基板上沉积。举例而言,在一些实施例中,可通过对前文描述的面板施加等离子体功率而在处理区域中形成电容耦合等离子体。举例而言,可以以减小的有效等离子体功率运行上文讨论的高频电源,以在基板上的特征中沉积材料。
可在操作310中由含硅前驱物的等离子体流出物在基板上沉积含硅材料。在一些实施例中材料可以是流动含硅材料,其可以是或包括非晶硅。所沉积的材料可至少部分地流至基板上的特征中,以提供自下而上类型的间隙填充。所沉积的材料可流至特征的底部中,但一定量的材料可留在所说明的基板的侧壁上。虽然所沉积的量可相对小,但侧壁上剩余的材料可限制后续的流动。
沉积期间所施加的功率可以是较低功率的等离子体,其可限制解离,并且可维持所沉积材料中氢结合量。此结合的氢可促进所沉积材料的流动性。因此,在一些实施例中,等离子体电源可向面板输送低于或约100W的等离子体功率,并且可输送低于或约90W、低于或约80W、低于或约70W、低于或约60W、低于或约50W或更低的功率。如上文所讨论,可通过按前文解释的脉冲功率和占空比运行高频电源而进一步衰减此功率,如上所述,该高频电源可产生低于或约10W的有效功率,并且可产生低于或约5W的有效功率。
在一定量的沉积之后,在本技术的一些实施例中,可形成处理或硬化处理,其被配置成使所形成的材料致密化,并且可有益地清洗或回蚀特征侧壁上的材料。可在与沉积相同的腔室中执行此处理,并且可在填充特征的循环处理中执行此处理。在一些实施例中,可停止含硅前驱物流动,并且可冲洗处理区域。在冲洗之后,可使处理前驱物流至处理腔室的处理区域中。处理前驱物可以是或包括氢、氦、氩或另一惰性材料,其可以不与膜发生化学反应。在操作315中可形成处理等离子体,其也可以是处理区域中形成的电容耦合等离子体。虽然可通过对面板或喷头施加高频等离子体频率形成所形成的沉积等离子体,但在一些实施例中其中可能无另一电源参与。处理可使用与前文所讨论的基板支撑件耦接的高频电源和低频电源两者。在处理期间可按第一功率水平运行高频电源,并且按第二功率水平运行低频电源,并且在本技术的实施例中两个功率水平可类似或不同。
虽然在沉积等离子体期间可以以脉冲和低有效功率运行高频电源,但在处理期间可以以连续波配置运行高频电源,其可以是前文所描述的等离子体功率中的任一者。在处理期间可以以脉冲模式运行低频电源,该脉冲模式可为前文所描述的脉冲频率和/或占空比中的任一者。
在沉积操作期间,可能不运行低频电源。如上文所讨论,为在低功率下实现可重复等离子体,可使用触发顺序来确保每一沉积操作期间的等离子体产生。触发顺序可包括第一时间段和第二时间段,该第一时间段和第二时间段可以一起产生沉积时间段。在一些实施例中为了限制沉积,沉积时间段可少于或约为30秒,并且可少于或约为20秒,少于或约为15秒,少于或约为10秒,少于或约为8秒,少于或约为6秒,少于或约为5秒,少于或约为4秒或更少。第一时间段可少于第二时间段,并且可使用第一时间段确保等离子体产生发生,同时限制对沉积处理的影响。因此,在一些实施例中,第一时间段可少于或约为2秒,并且可少于或约为1秒,少于或约为0.5秒,少于或约为0.4秒,少于或约为0.3秒,少于或约为0.2秒,少于或约为0.1秒,少于或约为0.09秒,少于或约为0.08秒,少于或约为0.07秒,少于或约为0.06秒,少于或约为0.05秒或更少。
在一些实施例中,在第一时间段期间由高频电源施加第一功率,其可高于第二时间段期间由高频电源施加的第二功率。举例而言,在第一时间段期间,第一功率可大于或约为50W,并且可大于或约为80W,大于或约为100W,大于或约为120W,大于或约为140W,大于或约为160W,大于或约为180W,大于或约为200W或更大。在沉积时间的剩余部分期间,高频电源随后可在第二时间段期间以上文讨论的有效功率中的任一者施加功率。另外,在沉积时间期间可一致地运行高频电源,但在第一时间段期间,可以以上文讨论的任何功率水平施加低频电源以确保点燃。在另一示例中,在第二时间段期间切换所要的有效功率之前,可在第一时间段期间以多水平脉冲配置运行高频电源。多水平脉冲可包括多个脉冲,其中每一者可小于0.1秒,例如小于或约50微秒,小于或约40微秒,小于或约30微秒,小于或约20微秒或更小,并且均发生于第一时间段期间。脉冲可在脉冲的第一部分包括较高的初始脉冲,随后在脉冲的第二部分包括较低的第二脉冲。脉冲的两个部分可以以前文描述的任何功率水平发生。
在处理操作期间,在操作320中等离子体流出物可可选地至少部分地蚀刻流动膜,并且可从沟槽的侧壁移除流动膜。同时或另外,更有方向性地输送的等离子体流出物可渗透形成于特征底部的剩余膜,并且在操作325中可减少氢结合以使膜致密化。
虽然沉积可形成为若干纳米或更多,但通过执行前文所描述的蚀刻处理,可将致密化的材料的厚度控制为小于或约小于或约/> 小于或约/>小于或约/>小于或约/>小于或约/>小于或约/>小于或约/>小于或约/>小于或约或更小的厚度。通过控制所沉积的材料的厚度,可更容易地执行整个厚度中的转换,并且可解决常规工艺中常见的渗透问题。随后可将处理完全重复任何数量的循环,以继续产生穿过特征的致密化的材料。
对于形成操作中的任一者期间使用的沉积前驱物,本技术可使用任何数量的前驱物。可使用的含硅前驱物可包括但不限于硅烷(SiH4)、二硅烷(Si2H6)、或包括环六硅烷的其他有机硅烷、四氟化硅(SiF4)、四氯化硅(SiCl4)、二氯硅烷(SiH2Cl2)、四乙氧基硅烷(TEOS)以及可在含硅膜形成中使用的任何其他含硅前驱物。在一些实施例中,含硅材料可以是无氮、无氧和/或无碳的。在操作中的任一者中,可包括一个或多个附加的前驱物,例如惰性前驱物(可包括Ar、双原子氢、He或诸如氮的其他材料)、氨或其他前驱物。
温度和压力也可影响本技术的操作。举例而言,在一些实施例中,为了便于膜流动,处理可在低于或约20℃的温度下执行,并且可在低于或约10℃、低于或约0℃、低于或约-10℃、低于或约-20℃、低于或约-30℃或更低的温度下执行。在整个方法中(包括处理和致密化期间)可将温度保持于这些范围中的任一者。对于处理中的任一者,可将腔室内的压力保持相对低,例如保持为低于或约10Torr的腔室压力,并且可将压力保持为低于或约8Torr、低于或约6Torr、低于或约5Torr、低于或约4Torr、低于或约3Torr、低于或约2Torr、低于或约1Torr或更低。另外,在一些实施例中,在沉积和处理期间可将压力保持于不同的水平。举例而言,在沉积期间可将压力保持为高于或约1Torr,例如高于或约2Torr、高于或约3Torr或更高,并且在处理期间可将压力保持为低于或约1Torr,例如低于或约0.8Torr、低于或约0.5Torr、低于或约0.1Torr或更低。通过执行根据本技术的一些实施例的处理,可使用含硅或其他流动材料产生窄特征的改良填充。
当在处理期间将压力保持为相对低时,确保处理区域中的等离子体放电可具有挑战性。举例而言,图4示出根据本技术的一些实施例的腔室的处理区域中的各种气体的等离子体产生的示例性帕邢(Paschen)曲线。位置B可图示例如处理操作期间处理区域中有效压力与间隙长度的乘积。位置A可图示处理操作期间处理区域上游(例如气箱入口中、输出歧管中或自远程等离子体源单元的放电管中)的有效压力与间隙长度的乘积。在一些腔室配置中,可电耦接许多这些部件,并且由此对于带电喷头,也可使气箱带电,其可产生所输送得前驱物的等离子体。
如上文所描述,可在处理和沉积操作期间使用若干前驱物。考虑处理操作,前驱物可包括氩、氦、氢或可用于处理前文所描述的所形成的膜的任何数量的其他气体。如图所示,线403可表示氩的帕邢曲线,其显示在处理期间,等离子体产生可优先在处理区域中发生,因为可需要较低的电压释放等离子体。然而,线405可图示氦,并且如图所示,在位置B(如位置410处所示)产生等离子体所需的电压可高于在位置A(如位置415处所示)产生等离子体所需的电压。可用线417图示氢,其相比于其他气体更有利地在位置A释放等离子体。这些寄生等离子体形成可减少或阻止处理区域中的产生。当发生此情况时,一旦释放等离子体,压力即下降,同时等离子体匹配网络维持等离子体,并且由此等离子体可能完全不在处理区域中释放。由于穿过腔室的距离可导致自由基重组或丢失,因此在每一处理操作期间可能不完全执行处理,并且在处理的一些步骤期间可能不发生处理。
为了克服这些问题,本技术可对腔室部件作出一个或多个修改,这可沿帕邢曲线进一步向右移位操作位置,这可确保处理区域中的等离子体产生更有利。另外,本技术可包括腔室部件,其可限制或消除腔室区域中的电场,通过也在处理操作期间在处理区域中形成有利于放电的设置阻止等离子体释放。
图5示出根据本技术的一些实施例的示例性处理系统500的示意性横截面图。处理系统500可包括前文所描述的任何系统或腔室的任何特征、部件或特性,包括前文讨论的腔室100或腔室200。举例而言,系统500可包括前文讨论的高频等离子体源和低频等离子体源,以及前文描述的任何滤波器或其他部件或特性。处理系统500也可以示出那些腔室中的任一者的进一步细节,可包括那些腔室以便于腔室的处理区域中的等离子体产生。如图所示,处理系统500可包括处理腔室505,其可包括作为部件的盖堆叠的一部分的面板507。腔室505也可包括底座510,其可在由腔室限定的内部容积中延伸,并且可被配置成支撑腔室内的基板。面板507和底座510以及腔室主体515可限定如前文所描述的处理区域520,并且其中可形成诸如用于沉积、处理或任何其他操作的等离子体。
处理腔室505可包括附加部件,本技术的一些实施例可包括这些部件中的任一者。举例而言,安置于面板上的可以是气箱509,其可至少部分地作为腔室盖的一部分而运行,并且提供到腔室的流体入口。系统可包括远程等离子体源单元525,其可允许在一些实施例中远程产生诸如用于清洗操作或其他远程等离子体产生操作的等离子体物质。放电管530可位于气箱与远程等离子体单元之间,其可从远程等离子体单元延伸以向处理腔室提供等离子体流出物。放电管可限定延伸穿过放电管的中心孔532。可使输出歧管535位于放电管530与气箱509之间。输出歧管535也可限定中心孔537,其可与放电管的中心通道轴向对准,并且可以延伸至气箱中限定的孔,可提供处理腔室的流体入口。输出歧管可限定与中心孔流体分隔的一个或多个附加的旁路通道540。旁路通道540可提供用于一种或多种处理前驱物或其他处理气体的入口,包括前文所描述的任何气体或流体。
如图所示,面板507可如图所示与电源耦接,并且在腔室内可作为等离子体产生电极而运行,可在处理区域520中相对于接地的底座或腔室主体产生电容耦合等离子体。由于其他盖堆叠部件可与面板接触,其也可与电源耦接,并且由此例如在一些实施例中,气箱509也可作为热电极的一部分而运行。如图所示,也可将远程等离子体源单元525维持为电性接地。
在许多常规技术中,放电管530可由介电材料制成,这可限制从气箱至远程等离子体源单元的短路。然而,相对于处理区域,这可允许等离子体基于部件之间形成的电场在放电管或输出歧管中点燃,并基于上文描述的压力-间隙长度经由放电管点燃。在本技术的一些实施例中,放电管可由金属或导电材料形成。放电管的厚度可大于RF的集肤深度,并且由此RF场可不穿透放电管。由此,通过使用金属或导电放电管,可消除电场,并且可不在放电管中产生寄生等离子体。然而,将金属或导电放电管并入可提供自带电盖堆叠至接地远程等离子体源单元的接地路径。因此,在本技术的一些实施例中,可并入放电管,并且该放电管可被配置成由大于处理区域内的电感的电感表征,这可限制电流行进至接地的远程等离子体源单元。由此,足够电流可行进至处理区域等离子体,并且系统可确保每一处理操作在处理区域中形成等离子体,而不在面板上游形成寄生等离子体。
图6示出根据本技术的一些实施例的示例性处理系统500的部件的示意性横截面图,并且可示出上文所述的放电管和输出歧管的其他细节。应理解,前文所描述的任何腔室或系统可包括系统500的部件,并且系统500可类似地包括上文所讨论的任何特征、部件或方面。如图所示,系统500可包括:远程等离子体源单元525;放电管530,可限定中心孔532;以及输出歧管535,可限定与放电管的中心孔轴向对准的中心孔537。输出歧管535也可限定穿过部件的一个或多个旁路通道540。系统也可包括设施板或安装板605,可将放电管安装于其上。可在输出歧管535中形成的凹部中安置歧管配接器610,并且歧管配接器610可提供穿过安装板605的收容器,以用于容纳放电管530的柱状突出部615,下文将对此进一步描述。
如前文所指出,通过使用导电放电管,可限制或消除用于释放寄生等离子体的电场。然而,如果不衰减,该管可对等离子体产生电极提供接地路径。因此,在一些实施例中,放电管530可包括在放电管内延伸的铁氧体620。铁氧体可提高跨放电管的电感,其可限制电流向接地远程等离子体源单元的流动。铁氧体620可被包括为在放电管内延伸的铁氧体杆、安置于放电管内的铁氧体块,或可包括的任何其他几何形状的铁氧体。举例而言,如图所示,放电管530可限定管内形成的内部容积625。如图所示,可将远程等离子体源单元525安置于放电管的第一端上,并且内部容积可形成为从安置于安装板上的放电管的第二端延伸。环形容积可部分穿过放电管而延伸,并且可延伸至任何距离。在一些实施例中(例如示出的实施例),内部容积可以是环形的,并且可围绕柱状突出部615延伸。柱状突出部615可限定中心孔532。如图所示,在一些实施例中,柱状突出部615可延伸穿过放电管530的第二端,并且可至少部分地延伸穿过安装板605,并延伸至歧管配接器610形成的收容器中。这可改进从远程等离子体源单元沿通道的耦接,以限制自由基物质通过部件中的间隙的流动。
铁氧体620可设置于内部容积625中,并且可围绕突出部615和中心孔532延伸。铁氧体可为环形块,或可为如图所示的铁氧体环。虽然仅包括一个环,但在一些实施例中,内部容积中可包括若干铁氧体环,并且可在容积中堆叠。如图所示,绝缘体630可位于铁氧体620与放电管的第二端之间。放电管530中可包括任何量的铁氧体,但在一些实施例中,可包括所包括的一定量的铁氧体以确保沿放电管的电感与处理区域相比足够高,从而限制电流向接地远程等离子体源单元的流动。举例而言,跨电路径的电流流动可为电感的函数,并且由此沿放电管的电感越高,发生的电流流动越少。
处理区域中的电感可小于或约为20Ω,并且可小于或约为15Ω,小于或约为12Ω,小于或约为10Ω,小于或约为8Ω,小于或约为6Ω或更小。为确保跨放电管的有限电流损失,所包括的铁氧体量足以跨放电管产生大于或约50Ω的电感,并且可足以产生大于或约60Ω、大于或约70Ω、大于或约80Ω、大于或约90Ω、大于或约100Ω、大于或约110Ω、大于或约120Ω、大于或约130Ω、大于或约140Ω、大于或约150Ω、大于或约160Ω、大于或约170Ω、大于或约180Ω、大于或约190Ω、大于或约200Ω或更大的电感。因此,跨放电管的电感可大于或约为跨处理区域的电感的2倍,并且可大于或约为该电感的5倍,大于或约为该电感的8倍,大于或约为该电感的10倍,大于或约为该电感的12倍,大于或约为该电感的15倍,大于或约为该电感的20倍或更大。这可确保跨放电管的有限电流损失,同时允许由于有限电感而在腔室处理区域中产生等离子体。
在一些实施例中,可围绕放电管530中的铁氧体620包括附加的外部电容器635。通过包括外部电容器635,可形成并联谐振电路,其可进一步提高谐振时跨部件的阻抗。这也可进一步减少对RF匹配的调谐和损失的影响,并且不减少铁氧体的损失。通过包括这些部件中的任一者,可减少或消除通过放电管的寄生电容。
由于气箱与放电管之间可包括旁路通道540,铁氧体提供的效应对寄生等离子体跨输出歧管的旁路通道540的入口形成的机会的影响有限。如上文所解释,不(例如用导电放电管)移除电场,而是可通过改变压力-间隙长度乘积而调整沿帕邢曲线的操作位置。举例而言,在一些实施例中,可包括孔口640或孔口板,以在输出歧管旁路通道的入口处产生较高的压力。孔口可将入口直径限制为小于或约5.0mm,且可将入口直径限制为小于或约4.5mm、小于或约4.0mm、小于或约3.5mm、小于或约3.0mm、小于或约2.5mm、小于或约2.0mm、小于或约1.5mm、小于或约1.0mm或更小。这可跨孔口产生大于或约2Torr的压力差量,并且可跨孔口产生大于或约3Torr、大于或约4Torr、大于或约5Torr、大于或约6Torr、大于或约7Torr、大于或约8Torr、大于或约9Torr、大于或约10Torr、大于或约12Torr、大于或约15Torr、大于或约20Torr或更大的压力差量。通过提高穿过出口歧管的压力-间隙长度乘积,可将释放寄生等离子体的压力提高至大于处理区域中释放等离子体的电压的量。因此,通过结合根据本技术的实施例的部件和特征,可在每一操作期间在处理区域中维持等离子体产生,这可改进根据本技术的实施例的处理和处理均匀性。
在前文的描述中,出于解释的目的,已阐述许多细节,以便理解本技术的各个实施例。然而,对于本领域技术人员显而易见的是,可在无这些细节中的一些或存在其他细节的情况下实践某些实施例。
虽然已公开若干实施例,但本领域技术人员应了解可在不脱离实施例精神的情况下使用修改、替代构造或等效物。另外,未描述许多已知的处理和要素,以便避免不必要地使本技术难以理解。因此,不应认为以上描述限制本技术的范围。另外,本文按顺序或按步骤描述方法或处理,但应理解可同时执行操作或按与所列出的次序不同的次序执行操作。
在提供数值范围的情况下,应理解除非上下文另有明确规定,也具体地公开那些范围的上限和下限之间的小至下限单位最小分数的每一中介值。涵盖所说明范围中的任何所说明值或未说明中介值与任何其他所说明或中介值之间的任何较窄的范围。那些较小范围的上限及下限可独立地包括于范围或从范围中排除,并且本技术还涵盖每一范围(上限和下限任一者、无一者或两者皆包括于较小的范围中),其中每一范围受到所说明范围中的具体排除的限值的限制。在所说明范围包括限值中的一者或两者,还包括排除那些所包括限值中的一者或两者的范围。
如本文及随附权利要求书所使用,除非上下文另有明确指出,单数形式的“一(a)”、“一(an)”和“该”包括复数的引用。由此,举例而言,提及“一前驱物”包括多个此类前驱物,提及“该通道”包括对本领域技术人员已知的一个或多个通道及其等效物的引用,等等。
此外,当用于本说明书及以下权利要求中时,词语“包含(comprise(s))”、“包含(comprising)”、“含有(contain(s))”、“含有(containing)”、“包括(include(s))”及“包括(include(s))”指明所说明特征、整数、组件或操作的存在,但其不排除存在或添加一个或多个其他特征、整数、组件、操作、行为或群组。

Claims (20)

1.一种半导体处理系统,包含:
腔室主体;
底座,被配置成支撑半导体基板;
面板,其中所述腔室主体、所述底座和所述面板限定处理区域,其中所述面板与RF电源耦接;
远程等离子体单元,耦接在电气接地处;以及
放电管,从所述远程等离子体单元向所述面板延伸,其中所述放电管限定中心孔,其中所述面板和所述远程等离子体单元中的每一者与所述放电管耦接,并且其中所述放电管包含围绕所述放电管的所述中心孔延伸的铁氧体。
2.如权利要求1所述的半导体处理系统,其中所述放电管为导电的,并且其中所述铁氧体沿所述放电管产生大于或约50Ω的电感。
3.如权利要求1所述的半导体处理系统,其中所述铁氧体包含围绕所述放电管的所述中心孔延伸的至少一个铁氧体环。
4.如权利要求1所述的半导体处理系统,其中所述放电管限定所述放电管内且围绕所述中心孔的环形容积,并且其中所述铁氧体设置于所述环形容积中。
5.如权利要求4所述的半导体处理系统,进一步包含:
设置于所述环形容积中的绝缘体。
6.如权利要求1所述的半导体处理系统,进一步包含:
跨所述铁氧体与所述放电管电耦接的电容器。
7.如权利要求1所述的半导体处理系统,进一步包含:
设置于所述放电管与所述面板之间的输出歧管,其中所述输出歧管限定与所述放电管的所述中心孔轴向对准的中心孔,并且其中所述输出歧管限定与穿过所述输出歧管的所述中心孔流体分隔的一个或多个旁路通道。
8.如权利要求7所述的半导体处理系统,其中通向由所述输出歧管限定的所述一个或多个旁路通道中的每一者的入口包括孔口,可调整所述孔口的大小以将所述入口处的压力差量提高大于或约5Torr。
9.如权利要求1所述的半导体处理系统,其中所述RF电源为与所述面板耦接的高频等离子体源,其中所述系统进一步包含:
与所述底座耦接的低频等离子体源。
10.如权利要求9所述的半导体处理系统,其中所述底座包含静电夹盘,所述半导体处理系统进一步包含:
与所述底座耦接的DC电源。
11.如权利要求9所述的半导体处理系统,其中所述低频等离子体源被配置成以低于或约2MHz运行。
12.如权利要求9所述的半导体处理系统,其中所述高频等离子体源被配置成以低于或约200kHz的脉冲频率以高于或约13.56MHz运行。
13.如权利要求12所述的半导体处理系统,其中所述高频等离子体源被配置成以低于或约20%的占空比以低于或约20kHz的脉冲频率运行。
14.如权利要求13所述的半导体处理系统,其中所述高频等离子体源被配置成以低于或约5W的有效功率产生等离子体。
15.如权利要求9所述的半导体处理系统,进一步包含:
第一L-C滤波器,与所述底座耦接并且被配置成通过所述底座使所述高频等离子体源实质上接地。
16.如权利要求15所述的半导体处理系统,其进一步包含:
第二L-C滤波器,与所述面板耦接并且被配置成将所述低频等离子体源实质上接地到所述腔室主体。
17.一种处理方法,包含:
形成含硅前驱物的等离子体;
用所述含硅前驱物的等离子体流出物在半导体基板上沉积流动膜,其中所述半导体基板容纳于半导体处理腔室的处理区域中,其中所述半导体基板限定所述半导体基板中的特征,并且其中所述处理区域部分地限定在面板与基板支撑件之间,所述半导体基板安置于所述基板支撑件上;
在所述半导体处理腔室的所述处理区域中形成处理等离子体,其中由第一电源以第一功率水平形成所述处理等离子体,并且其中由第二电源对所述基板施加第二功率水平;以及
用所述处理等离子体的等离子体流出物使所述半导体基板中限定的所述特征中的所述流动膜致密化。
18.如权利要求17所述的处理方法,其中所述半导体处理腔室是半导体处理系统的一部分,所述半导体处理系统包含:
腔室主体;
底座,被配置成支撑半导体基板;
面板,其中所述腔室主体、所述底座和所述面板限定处理区域;
远程等离子体单元;以及
放电管,从所述远程等离子体单元向所述面板延伸,其中所述放电管限定中心孔,并且其中所述放电管包含围绕所述放电管的所述中心孔延伸的铁氧体。
19.如权利要求18所述的处理方法,进一步包含:
与所述面板耦接的高频等离子体源;
与所述底座耦接的低频等离子体源;
第一L-C滤波器,与所述底座耦接并且被配置成经由所述底座使所述高频等离子体源实质上接地,以及
第二L-C滤波器,与所述面板耦接并且被配置成将所述低频等离子体源实质上接地到所述腔室主体。
20.如权利要求18所述的处理方法,进一步包含:设置于所述放电管与所述面板之间的输出歧管,其中所述输出歧管限定与所述放电管的所述中心孔轴向对准的中心孔,并且其中所述输出歧管限定与穿过所述输出歧管的所述中心孔流体分隔的一个或多个旁路通道。
CN202180080503.2A 2020-10-23 2021-10-20 容纳寄生等离子体形成的半导体处理腔室 Pending CN116529847A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17/078,520 2020-10-23
US17/078,520 US20220130713A1 (en) 2020-10-23 2020-10-23 Semiconductor processing chamber to accommodate parasitic plasma formation
PCT/US2021/055873 WO2022087145A1 (en) 2020-10-23 2021-10-20 Semiconductor processing chamber to accommodate parasitic plasma formation

Publications (1)

Publication Number Publication Date
CN116529847A true CN116529847A (zh) 2023-08-01

Family

ID=81257117

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202180080503.2A Pending CN116529847A (zh) 2020-10-23 2021-10-20 容纳寄生等离子体形成的半导体处理腔室

Country Status (6)

Country Link
US (1) US20220130713A1 (zh)
JP (1) JP2023546714A (zh)
KR (1) KR20230088496A (zh)
CN (1) CN116529847A (zh)
TW (1) TWI817218B (zh)
WO (1) WO2022087145A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220076922A1 (en) * 2020-09-08 2022-03-10 Applied Materials, Inc. Single chamber flowable film formation and treatments

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5902404A (en) * 1997-03-04 1999-05-11 Applied Materials, Inc. Resonant chamber applicator for remote plasma source
US6471782B1 (en) * 1999-11-23 2002-10-29 Tokyo Electronic Limited Precursor deposition using ultrasonic nebulizer
KR100500852B1 (ko) * 2002-10-10 2005-07-12 최대규 원격 플라즈마 발생기
US6830624B2 (en) * 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US8409400B2 (en) * 2003-05-07 2013-04-02 Gen Co., Ltd. Inductive plasma chamber having multi discharge tube bridge
KR101153161B1 (ko) * 2005-04-01 2012-06-18 주성엔지니어링(주) 가스분사장치 및 이를 포함하는 액정표시소자의 제조장치
JP5645659B2 (ja) * 2007-07-20 2014-12-24 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated ガス配送用のrfチョーク、ガス配送用のrfチョークを有するプラズマ処理装置及び方法
WO2009065016A1 (en) * 2007-11-16 2009-05-22 Applied Materials, Inc. Rpsc and rf feedthrough
US8404598B2 (en) * 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
WO2011149615A2 (en) * 2010-05-24 2011-12-01 Applied Materials, Inc. Hybrid hotwire chemical vapor deposition and plasma enhanced chemical vapor deposition method and apparatus
US20120180954A1 (en) * 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9263237B2 (en) * 2011-02-22 2016-02-16 Gen Co., Ltd. Plasma processing apparatus and method thereof
US9157730B2 (en) * 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
KR101930241B1 (ko) * 2015-03-13 2018-12-18 어드밴스드 에너지 인더스트리즈 인코포레이티드 플라즈마 소스 디바이스 및 방법들
US10504754B2 (en) * 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10453657B2 (en) * 2016-07-08 2019-10-22 Applied Materials, Inc. Apparatus for depositing metal films with plasma treatment
US10593560B2 (en) * 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
KR20210062712A (ko) * 2018-10-19 2021-05-31 램 리써치 코포레이션 반도체 프로세싱을 위한 챔버 컴포넌트들의 인 시츄 (in situ) 보호 코팅

Also Published As

Publication number Publication date
TWI817218B (zh) 2023-10-01
TW202230445A (zh) 2022-08-01
US20220130713A1 (en) 2022-04-28
WO2022087145A1 (en) 2022-04-28
KR20230088496A (ko) 2023-06-19
JP2023546714A (ja) 2023-11-07

Similar Documents

Publication Publication Date Title
US11887811B2 (en) Semiconductor processing chambers for deposition and etch
TWI794883B (zh) 可流動膜形成及處理
CN116529847A (zh) 容纳寄生等离子体形成的半导体处理腔室
CN116018673A (zh) 用于沉积和蚀刻的半导体处理腔室
KR102703707B1 (ko) 단일 챔버 유동성 막 형성 및 처리들
TWI790736B (zh) 單腔室流動膜的形成和處理
US20240234128A1 (en) Directional selective fill for silicon gap fill processes
US11862458B2 (en) Directional selective deposition
US20240234131A1 (en) Directional selective fill using high density plasma
JP2024506231A (ja) パルスプラズマを使用してエッチング選択性を向上させる方法
WO2023018622A1 (en) Seam-free gapfill deposition
CN116631861A (zh) 等离子体处理方法以及等离子体处理系统

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination