CN116432596A - 芯片形貌预测方法、装置、设备及存储介质 - Google Patents

芯片形貌预测方法、装置、设备及存储介质 Download PDF

Info

Publication number
CN116432596A
CN116432596A CN202310423025.1A CN202310423025A CN116432596A CN 116432596 A CN116432596 A CN 116432596A CN 202310423025 A CN202310423025 A CN 202310423025A CN 116432596 A CN116432596 A CN 116432596A
Authority
CN
China
Prior art keywords
chip
morphology
parameters
layout
predicted
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202310423025.1A
Other languages
English (en)
Inventor
季瑞安
陈岚
陈容
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Institute of Microelectronics of CAS
Original Assignee
Institute of Microelectronics of CAS
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Institute of Microelectronics of CAS filed Critical Institute of Microelectronics of CAS
Priority to CN202310423025.1A priority Critical patent/CN116432596A/zh
Publication of CN116432596A publication Critical patent/CN116432596A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2115/00Details relating to the type of the circuit
    • G06F2115/12Printed circuit boards [PCB] or multi-chip modules [MCM]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/30Computing systems specially adapted for manufacturing

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Management, Administration, Business Operations System, And Electronic Commerce (AREA)

Abstract

本申请公开了一种芯片形貌预测方法、装置、设备及存储介质,首先利用预先配置的形貌预测模型,对待预测芯片的版图参数进行处理,得到所述待预测芯片的形貌参数,而后根据所述待预测芯片的形貌参数,确定所述待预测芯片的形貌信息。由于在相同的CMP工艺参数下,所述测试版图的化学机械抛光效果和所述待预测芯片的化学机械抛光效果一致,因此,利用所述测试版图的版图参数和所述测试版图的形貌参数,可以配置出能够表征所述待预测芯片的版图参数和对应的形貌参数之间映射关系的形貌预测模型,利用所述形貌预测模型可以确定出所述待预测芯片的化学机械抛光处理之后的形貌参数,从而实现预测化学机械抛光处理之后的芯片形貌的任务。

Description

芯片形貌预测方法、装置、设备及存储介质
技术领域
本申请涉及数据处理技术领域,更具体的说,是涉及一种芯片形貌预测方法、装置、设备及存储介质。
背景技术
在芯片的制造过程中,需要利用化学机械抛光技术(Chemical MechanicalPolishing,CMP)对芯片进行处理,以实现晶圆全局平坦或局部平坦。但是,受构成芯片的金属互连线的形状和密度的影响,CMP技术无法保证整个芯片或晶圆内的金属互连线和氧化物介质的绝对平整,而芯片或晶圆的不平整可能会降低电路性能及芯片良率。
为提高CMP处理之后的芯片平整度,需要在进行CMP处理之前,对CMP处理之后的芯片形貌进行预测,以便根据预测出的芯片形貌指导芯片的可制造性设计,例如,在预测出芯片不平整的情况下,调整芯片密度,从而调整CMP处理之后的芯片形貌。
发明内容
鉴于上述问题,提出了本申请以便提供一种芯片形貌预测方法、装置、设备及存储介质,以实现预测CMP处理之后的芯片形貌的任务。
具体方案如下:
第一方面,提供了一种芯片形貌预测方法,所述方法包括:
获取待预测芯片的版图参数;
利用预先配置的形貌预测模型,对所述待预测芯片的版图参数进行处理,得到所述待预测芯片的形貌参数;
根据所述待预测芯片的形貌参数,确定所述待预测芯片的形貌信息;
其中,所述形貌预测模型是利用所述待预测芯片的测试版图的版图参数和所述测试版图的形貌参数配置出的模型。
第二方面,提供了一种芯片形貌预测装置,所述装置包括:
版图参数获取单元,用于获取待预测芯片的版图参数;
形貌参数计算单元,用于利用预先配置的形貌预测模型,对所述待预测芯片的版图参数进行处理,得到所述待预测芯片的形貌参数,其中,所述形貌预测模型是利用所述待预测芯片的测试版图的版图参数和所述测试版图的形貌参数配置出的模型;
形貌信息确定单元,用于根据所述待预测芯片的形貌参数,确定所述待预测芯片的形貌信息。
第三方面,提供了一种芯片形貌预测设备,所述设备包括:存储器和处理器;
所述存储器,用于存储程序;
所述处理器,用于执行所述程序,实现上述的芯片形貌预测方法的各个步骤。
第四方面,提供了一种存储介质,其上存储有计算机程序,所述计算机程序被处理器执行时,实现上述的芯片形貌预测方法的各个步骤。
借由上述技术方案,本申请利用预先配置的形貌预测模型,对待预测芯片的版图参数进行处理,得到所述待预测芯片的形貌参数,而后根据所述待预测芯片的形貌参数,确定所述待预测芯片的形貌信息。由于待预测芯片的测试版图是按照所述待预测芯片的设计规则文件设计的,在相同的CMP工艺参数下,所述测试版图的化学机械抛光效果和所述待预测芯片的化学机械抛光效果一致,因此,利用所述测试版图的版图参数和所述测试版图的形貌参数,可以配置出能够表征所述待预测芯片的版图参数和对应的形貌参数之间映射关系的形貌预测模型,利用所述形貌预测模型可以确定出所述待预测芯片的化学机械抛光处理之后的形貌参数,从而实现预测化学机械抛光处理之后的芯片形貌的任务。
附图说明
通过阅读下文优选实施方式的详细描述,各种其他的优点和益处对于本领域普通技术人员将变得清楚明了。附图仅用于示出优选实施方式的目的,而并不认为是对本申请的限制。而且在整个附图中,用相同的参考符号表示相同的部件。在附图中:
图1为本申请实施例提供的一种芯片形貌预测方法的流程示意图;
图2示例了芯片的第一高度差和第二高度差;
图3示例了测试版图中的阵列区域的结构示意图;
图4示出了芯片的形貌信息示意图;
图5为本申请实施例提供的一种芯片形貌预测装置的结构示意图;
图6为本申请实施例提供的芯片形貌预测设备的结构示意图。
具体实施方式
下面将结合本申请实施例中的附图,对本申请实施例中的技术方案进行清楚、完整地描述,显然,所描述的实施例仅仅是本申请一部分实施例,而不是全部的实施例。基于本申请中的实施例,本领域普通技术人员在没有做出创造性劳动前提下所获得的所有其他实施例,都属于本申请保护的范围。
本案申请人为了解决CMP处理之后的芯片形貌预测问题,首先想到了从工艺机理的角度建立CMP工艺仿真模型,示例性的,可以基于压力分布和空片研磨率建立用于仿真计算研磨量的模型,而后根据初始的芯片形貌和研磨量预测CMP处理后的芯片形貌。具体的,将芯片划分为若干个网格,后续以网格为单位进行处理,对于每一个网格,计算所述网格和研磨垫之间的压力分布,并利用普雷斯顿(Preston)公式,以便根据空片研磨率和压力分布,计算出在当前的工艺条件下对网格内的金属互连线的研磨率和对网格内的氧化物介质的研磨率,而后根据每一次的研磨时间和所述研磨率,计算每一次的研磨量,不断迭代出总的研磨量,在上述的过程中,对于研磨量的计算复杂度为O(N),N为迭代次数,最后,根据初始的芯片形貌和总的研磨量确定CMP处理后的芯片形貌,上述方案虽然可以预测芯片形貌,但是计算过程较为复杂。
但是,由于CMP过程包含了复杂的物理研磨过程和化学反应过程,CMP工艺涉及了流体力学、接触力学、摩擦力学和化学等多个领域,因此,在建立CMP工艺仿真模型时,如果从工艺机理的角度出发,需要考虑大范围、多维度的影响因素,建模难度较大,并且如果影响因素未考虑完全,将会影响模型的准确性。上述的方案仅考虑压力分布和空片研磨率,难以保证形貌预测的准确性。
为解决上述问题,本申请提供了一种芯片形貌预测方法、装置、设备及存储介质,通过预先配置的形貌预测模型,建立了CMP处理之前的版图参数和CMP处理之后的形貌参数之间的映射关系,将CMP处理过程看作是黑盒,借由形貌预测模型实现了根据待预测芯片的版图参数预测CMP处理之后的芯片形貌的任务。
本申请方案可以基于具备数据处理能力的终端实现,该终端可以是电脑、服务器、云端等。
图1是根据本申请实施例示出的一种芯片形貌预测方法的流程示意图,结合图1所示,所述方法可以包括如下步骤:
步骤S101、获取待预测芯片的版图参数。
其中,所述待预测芯片的版图参数是进行CMP处理之前的芯片参数,可以通过测量得到。
步骤S102、利用预先配置的形貌预测模型,对所述待预测芯片的版图参数进行处理,得到所述待预测芯片的形貌参数。
具体的,所述形貌预测模型是利用所述待预测芯片的测试版图的版图参数和所述测试版图的形貌参数配置出的模型。其中,测试版图的版图参数是进行CMP处理之前的芯片的参数;测试版图的形貌参数是按照预设的工艺参数进行了CMP处理之后的芯片的参数,所述测试版图的形貌参数能够表征CMP处理之后的测试版图的形貌;所述测试版图的版图参数和所述测试版图的形貌参数均可以测量得到。
由于所述测试版图是按照待预测芯片的设计规则文件制造的,满足待预测芯片的设计要求,因此,如果使用相同的CMP工艺参数,分别对所述测试版图和所述待预测芯片进行CMP处理,会呈现出近似一致的CMP处理效果。也就是说,利用测试版图的参数配置得到的形貌预测模型,以版图参数为输入变量,以形貌参数为输出变量,可以仿真出预设的工艺参数的CMP工艺对满足所述设计规则文件的芯片的处理效果。
步骤S103、根据所述待预测芯片的形貌参数,确定所述待预测芯片的形貌信息。
上述的芯片形貌预测方法,利用预先配置的形貌预测模型,对待预测芯片的版图参数进行处理,得到所述待预测芯片的形貌参数,而后根据所述待预测芯片的形貌参数,确定所述待预测芯片的形貌信息。由于待预测芯片的测试版图是按照所述待预测芯片的设计规则文件设计的,在相同的CMP工艺参数下,所述测试版图的CMP处理效果和所述待预测芯片的CMP处理效果一致,因此,利用所述测试版图的版图参数和所述测试版图的形貌参数,可以配置出能够表征所述待预测芯片的版图参数和对应的形貌参数之间映射关系的形貌预测模型,利用所述形貌预测模型可以确定出所述待预测芯片的CMP处理之后的形貌参数,从而实现预测CMP处理之后的芯片形貌的任务。
需要说明的是,CMP处理之后的芯片形貌是与CMP处理之前的芯片形貌有关的,并且,在进行CMP处理之前,通常会进行电镀处理。因此,影响CMP处理之前的芯片形貌的因素包括:本层的电镀金属,以及下层的形貌特征。对于本层的电镀金属,CMP工艺可以去除沟槽外的大块金属,因此,本层的电镀金属对CMP处理之前的芯片形貌的影响可以忽略不计,并且电镀处理后的芯片形貌是也由芯片的版图参数决定,也就是说,在以版图参数为输入变量进行建模时,已经考虑了电镀处理对芯片形貌的影响。对于下层的形貌特征,本方案可以预测出单层的CMP处理之后的芯片形貌,因此,可以在预测出将会形貌起伏较大的热点区域的情况下,调整本层的芯片设计,以使本层的芯片平整,从而避免下一层的形貌特征影响上一层的CMP处理之前的芯片形貌。也就是说,芯片的版图参数可以表征CMP处理之前的芯片形貌。
CMP处理后的芯片的不平整问题,可能是金属碟形问题(dishing),表现为金属互连线的高度低于所述金属互连线的沟槽边沿的高度,也可能是介质腐蚀问题(erosion),表现为阵列区域的氧化物介质的高度低于非阵列区域的氧化物介质的高度,所述非阵列区域指的是不包含金属互连线的全介质区域。需要说明的是,如果CMP处理后的芯片不存在金属碟形问题和介质腐蚀问题,则可以表征所述CMP处理后的芯片是平坦的。
基于上述内容,在本申请提供的一些实施例中,所述形貌参数可以包括:表征金属碟形的第一高度差和表征介质腐蚀情况的第二高度差。
其中,图2示例了第一高度差和第二高度差,结合图2所示,所述第一高度差指的是沟槽边沿的高度与所述沟槽中的金属互连线的高度的差值,所述金属互连线可以位于阵列区域,所述第二高度差指的是与阵列区域相邻的非阵列区域的高度和所述阵列区域中的氧化物介质的高度的差值。所述测试版图的第一高度差和所述测试版图的第二高度差均可以利用原子力显微镜(Atomic Force Microscope,AFM)测量得到。
通过分析芯片制造工艺可以得知,在进行金属互连线的布线操作时,在相邻的两个布线层中,通常一个布线层布设有垂直方向的走线,另一个布线层布设有水平方向的走线,也就是说,在各个布线层内部,布线方向通常是一致的,各个金属线相互平行。因此,可以用金属线宽和金属间距来描述版图,所述金属线宽和金属间距可以利用AFM测量得到。此外,经研究发现,芯片在经过CMP处理之后的不平整程度,与芯片内的金属互连线的线宽和芯片密度强相关,所述芯片密度指的是芯片内的金属和氧化物介质的密度。
基于上述内容,在本申请提供的一些实施例中,所述版图参数可以包括:金属线宽和金属密度。
其中,所述金属密度是由所述金属线宽和金属间距计算得到的,计算公式为:
Figure BDA0004188323170000061
式中,参数Density表示的是金属密度,参数Width表示的是金属线宽,参数Space表示的金属间距。
示例性的,假设所述待预测芯片的设计规则文件规定了所述待预测芯片的金属线宽Width的范围为0.1μm≤Width≤10μm,规定了所述待预测芯片的金属密度Density的范围为50%≤Density≤95%,则在设计所述待预测芯片的测试版图时,可以在[0.1μm,10μm]的范围内随机均匀采样,得到各个规格的金属线宽,而后对于每一种金属线宽,在[50%,95%]的范围内随机均匀采样,得到各个测试版图的金属密度,从而计算得到各个测试版图的金属线宽,按照上述的各个金属线宽和各个金属密度设计测试版图中的阵列区域,以使测试版图中的阵列规格能够均匀的覆盖所述设计规则文件。示例性的,图3示例了测试版图中的四种阵列区域,每一种规格的阵列区域分别对应一组版图参数。
需要说明的是,在进行大规模版图的CMP处理之后的芯片形貌预测时,可以将待预测芯片平均的划分为若干个网格,以网格为单位进行形貌参数的预测。为解决网格内金属分布不均匀的问题,可以基于所述网格的版图参数计算所述网格的等效版图参数,所述等效版图参数用于表征所述网格内的金属分布的平均情况,所述等效版图参数可以包括等效金属线宽、等效金属间距和等效金属密度,后续利用所述网格的等效版图参数进行形貌预测。
在上述的基础上,所述形貌预测模型可以包括:金属碟形预测模型和介质腐蚀情况预测模型。
其中,所述金属碟形预测模型是,以版图参数为输入变量且以表征金属碟形的第一高度差为输出变量的模型;所述介质腐蚀情况预测模型是,以版图参数为输入变量且以表征介质腐蚀情况的第二高度差为输出变量的模型。
在本申请提供的一些实施例中,所述形貌预测模型可以是预训练的神经网络模型,训练所述神经网络模型的样本数据为所述测试版图的版图参数,样本数据的标签为所述测试版图的形貌参数。
在本申请提供的一些实施例中,所述形貌预测模型可以是多项式。
具体的,所述形貌预测模型的配置过程,可以包括下述的步骤A-B:
步骤A、根据所述测试版图的版图参数和所述测试版图的形貌参数,确定标准模型的模型参数。
其中,所述标准模型是以版图参数为自变量、以形貌参数为因变量且包含有若干个待配置的模型参数的多项式,对于所述多项式中的每一个单项式,所述单项式可以表示为,待配置取值的系数乘以所述版图参数的数学运算式,所述待配置的模型参数包括各个单项式的系数,所述待配置的模型参数可以根据所述测试版图的版图参数和所述测试版图的形貌参数计算得到。
步骤B、将所述确定的模型参数配置给所述标准模型,得到所述形貌预测模型。
在利用形如多项式的形貌预测模型,计算待预测芯片的形貌参数时,只需进行预设数量次乘加计算,即可实现由版图参数到形貌参数的映射,也就是说只进行一次计算,无需不断迭代。并且,由于组成所述多项式的各个单项式的计算过程互不依赖,因此,可以并行计算各个单项式,以提高计算速度,实现快速预测CMP处理之后的芯片形貌的任务。
综合考虑模型准确率和计算复杂度,所述金属碟形预测模型可以是以版图参数为自变量,且以表征金属碟形的第一高度差为因变量的一阶多项式。
具体的,所述金属碟形预测模型的表达式为:
Dishing=∑a,b∈{0,1}αi·xa·yb=α1xy+α2x+α3y+α4
其中,参数αi(i=1,2,3,4)为根据所述测试版图的版图参数和所述测试版图的形貌参数确定的所述金属碟形预测模型的模型参数,参数x为金属线宽,参数y为金属密度。
在上述的基础上,根据m组版图参数(xj,yj)和对应的第一高度差dj,j=1,2,…,m,确定参数αi(i=1,2,3,4)的取值的过程,可以包括:
第一步、构建第一版图参数矩阵X1,其表达式为:
Figure BDA0004188323170000081
第二步、构建第一高度差矩阵Y1,其表达式为:
Y1=[d1,d2,..,dm]-1
第三步、利用最小二乘法,计算第一模型参数矩阵Ω1,其表达式为:
Ω1=(X1 TX1)-1X1 TY1
在得到与所述测试版图的第一模型参数矩阵Ω1之后,可以将所述第一模型参数矩阵Ω1存储到文件或内存,以便利用所述第一模型参数矩阵Ω1配置得到金属碟形预测模型。
为验证上述的金属碟形预测模型的准确性,在28nm的测试版图上进行了测试。示例性的,一共收集了120组测试版图的量测数据,所述量测数据包括测试版图的版图参数和测试版图的形貌参数。在120组量测数据中随机获取40组量测数据,利用所述40组量测数据确定金属碟形预测模型的模型参数,并配置得到金属碟形预测模型,利用所述金属碟形预测模型,预测剩余的80组版图参数各自对应的第一高度差,计算预测得到的第一高度差和测量得到的所述形貌参数中的第一高度差的相关性,得到的相关性系数R1 2=0.991,综上,所述金属碟形预测模型的预测准确性较高。
在本申请提供的一些实施例中,所述介质腐蚀情况预测模型可以是,以版图参数为自变量,且以表征介质腐蚀情况的第二高度差为因变量的二阶多项式。
具体的,所述介质腐蚀情况预测模型的表达式为:
Erosion=∑c,d∈{0,1,2}βi·xc·yd
=β1x2y22x2y+β3x24xy25xy+β6x+β7y28y+β9其中,参数βi(i=1,2,…,9)为根据所述测试版图的版图参数和所述测试版图的形貌参数确定的所述介质腐蚀情况预测模型的模型参数,参数x为金属线宽,参数y为金属密度。
在上述的基础上,根据n组版图参数(xk,yk)和对应的第二高度差ek,k=1,2,…,n,确定参数βi(i=1,2,…,9)的取值的过程,可以包括:
第一步、构建第二版图参数矩阵X2,其表达式为:
Figure BDA0004188323170000091
第二步、构建第二高度差矩阵Y2,其表达式为:
Y2=[e1,e2,..,en]-1
第三步、利用最小二乘法,计算第二模型参数矩阵Ω2,其表达式为:
Ω2=(X2 TX2)-1X2 TY2
在得到与所述测试版图的第二模型参数矩阵Ω2之后,可以将所述第二模型参数矩阵Ω2存储到文件或内存,以便利用所述第二模型参数矩阵Ω2配置得到介质腐蚀情况预测模型。
为验证上述的介质腐蚀情况预测模型的准确性,在28nm的测试版图上进行了测试。示例性的,一共收集了120组测试版图的量测数据,所述量测数据包括测试版图的版图参数和测试版图的形貌参数。在120组量测数据中随机获取60组量测数据,利用所述60组量测数据确定介质腐蚀情况预测模型的模型参数,并配置得到介质腐蚀情况预测模型,利用所述介质腐蚀情况预测模型,预测剩余的60组版图参数各自对应的第二高度差,计算预测得到的第二高度差和测量得到的所述形貌参数中的第二高度差的相关性,得到的相关性系数R2 2=0.778,综上,所述介质腐蚀情况预测模型的预测准确性较高。
需要说明的是,增加多项式的项数,能够提高形貌预测模型的预测准确性,但是会增加确定模型参数和进行形貌预测阶段的计算复杂度。上述阶次的金属碟形预测模型和介质腐蚀情况预测模型已经可以实现较高的预测准确性。此外,在多项式的项数确定的情况下,增加用于确定模型参数的测试版图的量测数据的组数,能够确定出更准确的模型参数,从而提高形貌预测模型的准确性,但是会增加模型参数确定阶段的计算复杂度。因此,需要综合考虑计算复杂度和预测准确性,来确定所要利用的量测数据的组数,示例性的,根据在28nm硅数据上的验证测试结果可以得知,为保证形貌预测模型的准确性,至少需要利用30组不同规格的测试版图的量测数据来确定模型参数。
在本申请提供的一些实施例中,上述的步骤S103、根据所述待预测芯片的形貌参数,确定所述待预测芯片的形貌信息,可以包括:
步骤C、计算所述测试版图中的非阵列区域的标准高度和所述待预测芯片的第二高度差的差,得到氧化物介质的高度。
其中,所述测试版图中的非阵列区域的标准高度可以是指,所述测试版图上的若干个非阵列区域处的氧化物介质的高度的平均值,所述非阵列区域处的氧化物介质的高度表征的是,当前的CMP工艺研磨后剩余的非阵列区域的高度,可以利用扫描电子显微镜(Scanning Electron Microscope,SEM)测量得到所述非阵列区域的高度。
步骤D、计算所述氧化物介质的高度和所述待预测芯片的第一高度差的差,得到金属互连线的高度。
具体的,图4示出了形貌信息的示意图,结合图4所示,可以由所述待预测芯片的第一高度差、所述待预测芯片的第二高度差、所述氧化物介质的高度和所述金属互连线的高度,构成所述待预测芯片的形貌信息。
其中,所述氧化物介质的高度和金属互连线的高度可以用于提取寄生参数,所述寄生参数用于表征所述待预测芯片的寄生效应。所述形貌信息可以用于指导所述待预测芯片的可制造性设计(Design For Manufacturability,DFM),示例性的,若预测出的第一高度差或第二高度差超过预设范围,则说明所述待预测芯片在经过CMP处理之后,可能会存在部分区域起伏过大的风险,需要根据所述待预测芯片的版图信息确定起伏过大的原因,例如,如果是由金属密度过低造成的,则向所述待预测芯片中插入冗余金属(dummy fill),如果是由金属密度过高造成的,则删除冗余金属或将金属线向周围疏导,以调整金属密度,而后基于调整后的待预测芯片的版图参数,重新预测CMP处理之后的芯片形貌,直至预测出符合要求的CMP处理之后的芯片形貌。
也就是说,对于同一个待预测芯片,可能会进行若干次的形貌预测,但是在上述的过程中,所使用的CMP工艺的工艺参数并未变化,所利用的测试版图的量测数据并未变化,如果在每次预测时,都重新根据测试版图的版图参数和测试版图的形貌参数配置所述形貌预测模型,既增加了预测时间,又造成了计算资源的浪费。因此,可以在根据一组测试版图的量测数据配置出对应的形貌预测模型之后,将所述形貌预测模型或所述形貌预测模型的存储地址,存储到所述测试版图的量测数据的存储目录下。
在本申请提供的一些实施例中,在上述的步骤S102、利用预先配置的形貌预测模型,对待预测芯片的版图参数进行处理之前,所述方法还可以包括:
步骤E、判断是否存储有与所述测试版图匹配的形貌预测模型,若是,则执行步骤F,若否,则执行步骤G。
步骤F、调用与所述测试版图匹配的形貌预测模型。
步骤G、利用所述待预测芯片的测试版图的版图参数和所述测试版图的形貌参数进行模型配置,得到所述形貌预测模型。
示例性的,可以在确定了待预测芯片的信息的之后,确定与所述待预测芯片对应的测试版图,获取所述测试版图的配置文件,所述配置文件包括所述测试版图的量测数据的存储地址和用于存储所述待预测芯片的形貌信息的地址;访问所述配置文件中的所述存储地址,以确定是否存储有与所述测试版图匹配的形貌预测模型,若已存储,则调用所述形貌预测模型,若未存储,则读取所述测试版图的量测数据,以便配置出对应的形貌预测模型。
下面对本申请实施例提供的芯片形貌预测装置进行描述,下文描述的芯片形貌预测装置与上文描述的芯片形貌预测方法可相互对应参照。
参见图5,图5为本申请实施例公开的一种芯片形貌预测装置的结构示意图。
如图5所示,该装置可以包括:
版图参数获取单元11,用于获取待预测芯片的版图参数;
形貌参数计算单元12,用于利用预先配置的形貌预测模型,对所述待预测芯片的版图参数进行处理,得到所述待预测芯片的形貌参数,其中,所述形貌预测模型是利用所述待预测芯片的测试版图的版图参数和所述测试版图的形貌参数配置出的模型;
形貌信息确定单元13,用于根据所述待预测芯片的形貌参数,确定所述待预测芯片的形貌信息。
在本申请提供的一些实施例中,所述形貌预测模型的配置过程,可以包括:根据所述测试版图的版图参数和所述测试版图的形貌参数,确定标准模型的模型参数,所述标准模型是以版图参数为自变量、以形貌参数为因变量且包含有若干个待配置的模型参数的多项式,将所述确定的模型参数配置给所述标准模型,得到所述形貌预测模型。
在本申请提供的一些实施例中,所述版图参数可以包括:金属线宽和金属密度,所述金属密度是由所述金属线宽和金属间距计算得到的;
所述形貌参数可以包括:表征金属碟形的第一高度差和表征介质腐蚀情况的第二高度差。
在上述的基础上,所述形貌预测模型可以包括:金属碟形预测模型和介质腐蚀情况预测模型,所述金属碟形预测模型是,以版图参数为自变量且以表征金属碟形的第一高度差为因变量的模型,所述介质腐蚀情况预测模型是,以版图参数为自变量且以表征介质腐蚀情况的第二高度差为因变量的模型。
在本申请提供的一些实施例中,所述金属碟形预测模型的表达式为Dishing=∑a,b∈{0,1}αi·xa·yb,i=1,2,3,4,其中,参数αi为根据所述测试版图的版图参数和所述测试版图的形貌参数确定的所述金属碟形预测模型的模型参数,参数x为金属线宽,参数y为金属密度。
在本申请提供的一些实施例中,所述介质腐蚀情况预测模型的表达式为Erosion=∑c,d∈{0,1,2}βi·xc·yd,i=1,2,…,9,其中,参数βi为根据所述测试版图的版图参数和所述测试版图的形貌参数确定的所述介质腐蚀情况预测模型的模型参数,参数x为金属线宽,参数y为金属密度。
在本申请提供的一些实施例中,所述形貌信息确定单元13根据所述待预测芯片的形貌参数,确定所述待预测芯片的形貌信息的过程,可以包括:
计算所述测试版图中的非阵列区域的标准高度和所述待预测芯片的第二高度差的差,得到氧化物介质的高度;
计算所述氧化物介质的高度和所述待预测芯片的第一高度差的差,得到金属互连线的高度;
由所述待预测芯片的第一高度差、所述待预测芯片的第二高度差、所述氧化物介质的高度和所述金属互连线的高度构成所述待预测芯片的形貌信息。
在本申请提供的一些实施例中,所述装置还包括模型配置单元,用于在所述利用预先配置的形貌预测模型,对待预测芯片的版图参数进行处理之前,判断是否存储有与所述测试版图匹配的形貌预测模型,若是,则调用与所述测试版图匹配的形貌预测模型,若否,则利用所述待预测芯片的测试版图的版图参数和所述测试版图的形貌参数进行模型配置,得到所述形貌预测模型。
本申请实施例提供的芯片形貌预测装置可应用于芯片形貌预测设备,如终端:手机、电脑等。可选的,图6示出了芯片形貌预测设备的硬件结构框图,参照图6,芯片形貌预测设备的硬件结构可以包括:至少一个处理器1,至少一个通信接口2,至少一个存储器3和至少一个通信总线4;
在本申请实施例中,处理器1、通信接口2、存储器3、通信总线4的数量为至少一个,且处理器1、通信接口2、存储器3通过通信总线4完成相互间的通信;
处理器1可能是一个中央处理器CPU,或者是特定集成电路ASIC(ApplicationSpecific Integrated Circuit),或者是被配置成实施本发明实施例的一个或多个集成电路等;
存储器3可能包含高速RAM存储器,也可能还包括非易失性存储器(non-volatilememory)等,例如至少一个磁盘存储器;
其中,存储器存储有程序,处理器可调用存储器存储的程序,所述程序用于:
获取待预测芯片的版图参数;
利用预先配置的形貌预测模型,对所述待预测芯片的版图参数进行处理,得到所述待预测芯片的形貌参数;
根据所述待预测芯片的形貌参数,确定所述待预测芯片的形貌信息;
其中,所述形貌预测模型是利用所述待预测芯片的测试版图的版图参数和所述测试版图的形貌参数配置出的模型。
可选的,所述程序的细化功能和扩展功能可参照上文描述。
本申请实施例还提供一种存储介质,该存储介质可存储有适于处理器执行的程序,所述程序用于:
获取待预测芯片的版图参数;
利用预先配置的形貌预测模型,对所述待预测芯片的版图参数进行处理,得到所述待预测芯片的形貌参数;
根据所述待预测芯片的形貌参数,确定所述待预测芯片的形貌信息;
其中,所述形貌预测模型是利用所述待预测芯片的测试版图的版图参数和所述测试版图的形貌参数配置出的模型。
可选的,所述程序的细化功能和扩展功能可参照上文描述。
最后,还需要说明的是,在本文中,诸如第一和第二等之类的关系术语仅仅用来将一个实体或者操作与另一个实体或操作区分开来,而不一定要求或者暗示这些实体或操作之间存在任何这种实际的关系或者顺序。而且,术语“包括”、“包含”或者其任何其他变体意在涵盖非排他性的包含,从而使得包括一系列要素的过程、方法、物品或者设备不仅包括那些要素,而且还包括没有明确列出的其他要素,或者是还包括为这种过程、方法、物品或者设备所固有的要素。在没有更多限制的情况下,由语句“包括一个……”限定的要素,并不排除在包括所述要素的过程、方法、物品或者设备中还存在另外的相同要素。
本说明书中各个实施例采用递进的方式描述,每个实施例重点说明的都是与其他实施例的不同之处,各个实施例之间可以根据需要进行组合,且相同相似部分互相参见即可。
对所公开的实施例的上述说明,使本领域专业技术人员能够实现或使用本申请。对这些实施例的多种修改对本领域的专业技术人员来说将是显而易见的,本文中所定义的一般原理可以在不脱离本申请的精神或范围的情况下,在其它实施例中实现。因此,本申请将不会被限制于本文所示的这些实施例,而是要符合与本文所公开的原理和新颖特点相一致的最宽的范围。

Claims (10)

1.一种芯片形貌预测方法,其特征在于,所述方法包括:
获取待预测芯片的版图参数;
利用预先配置的形貌预测模型,对所述待预测芯片的版图参数进行处理,得到所述待预测芯片的形貌参数;
根据所述待预测芯片的形貌参数,确定所述待预测芯片的形貌信息;
其中,所述形貌预测模型是利用所述待预测芯片的测试版图的版图参数和所述测试版图的形貌参数配置出的模型。
2.根据权利要求1所述的方法,其特征在于,所述形貌预测模型的配置过程,包括:
根据所述测试版图的版图参数和所述测试版图的形貌参数,确定标准模型的模型参数,所述标准模型是以版图参数为自变量、以形貌参数为因变量且包含有若干个待配置的模型参数的多项式;
将所述确定的模型参数配置给所述标准模型,得到所述形貌预测模型。
3.根据权利要求2所述的方法,其特征在于,所述版图参数包括:金属线宽和金属密度,所述金属密度是由所述金属线宽和金属间距计算得到的;
所述形貌参数包括:表征金属碟形的第一高度差和表征介质腐蚀情况的第二高度差;
所述形貌预测模型包括:金属碟形预测模型和介质腐蚀情况预测模型,所述金属碟形预测模型是,以版图参数为自变量且以表征金属碟形的第一高度差为因变量的模型,所述介质腐蚀情况预测模型是,以版图参数为自变量且以表征介质腐蚀情况的第二高度差为因变量的模型。
4.根据权利要求3所述的方法,其特征在于,所述金属碟形预测模型的表达式为Dishing=∑a,b∈{0,1}αi·xa·yb,i=1,2,3,4,其中,参数αi为根据所述测试版图的版图参数和所述测试版图的形貌参数确定的所述金属碟形预测模型的模型参数,参数x为金属线宽,参数y为金属密度。
5.根据权利要求3所述的方法,其特征在于,所述介质腐蚀情况预测模型的表达式为Erosion=∑c,d∈{0,1,2}βi·xc·yd,i=1,2,…,9,其中,参数βi为根据所述测试版图的版图参数和所述测试版图的形貌参数确定的所述介质腐蚀情况预测模型的模型参数,参数x为金属线宽,参数y为金属密度。
6.根据权利要求3-5中任一项所述的方法,其特征在于,所述根据所述待预测芯片的形貌参数,确定所述待预测芯片的形貌信息,包括:
计算所述测试版图中的非阵列区域的标准高度和所述待预测芯片的第二高度差的差,得到氧化物介质的高度;
计算所述氧化物介质的高度和所述待预测芯片的第一高度差的差,得到金属互连线的高度;
由所述待预测芯片的第一高度差、所述待预测芯片的第二高度差、所述氧化物介质的高度和所述金属互连线的高度,构成所述待预测芯片的形貌信息。
7.根据权利要求1-5中任一项所述的方法,其特征在于,在所述利用预先配置的形貌预测模型,对待预测芯片的版图参数进行处理之前,所述方法还包括:
判断是否存储有与所述测试版图匹配的形貌预测模型;
若是,则调用与所述测试版图匹配的形貌预测模型;
若否,则利用所述待预测芯片的测试版图的版图参数和所述测试版图的形貌参数进行模型配置,得到所述形貌预测模型。
8.一种芯片形貌预测装置,其特征在于,包括:
版图参数获取单元,用于获取待预测芯片的版图参数;
形貌参数计算单元,用于利用预先配置的形貌预测模型,对所述待预测芯片的版图参数进行处理,得到所述待预测芯片的形貌参数,其中,所述形貌预测模型是利用所述待预测芯片的测试版图的版图参数和所述测试版图的形貌参数配置出的模型;
形貌信息确定单元,用于根据所述待预测芯片的形貌参数,确定所述待预测芯片的形貌信息。
9.一种芯片形貌预测设备,其特征在于,包括:存储器和处理器;
所述存储器,用于存储程序;
所述处理器,用于执行所述程序,实现如权利要求1-7中任一项所述的芯片形貌预测方法的各个步骤。
10.一种存储介质,其上存储有计算机程序,其特征在于,所述计算机程序被处理器执行时,实现如权利要求1-7中任一项所述的芯片形貌预测方法的各个步骤。
CN202310423025.1A 2023-04-19 2023-04-19 芯片形貌预测方法、装置、设备及存储介质 Pending CN116432596A (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202310423025.1A CN116432596A (zh) 2023-04-19 2023-04-19 芯片形貌预测方法、装置、设备及存储介质

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202310423025.1A CN116432596A (zh) 2023-04-19 2023-04-19 芯片形貌预测方法、装置、设备及存储介质

Publications (1)

Publication Number Publication Date
CN116432596A true CN116432596A (zh) 2023-07-14

Family

ID=87082965

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202310423025.1A Pending CN116432596A (zh) 2023-04-19 2023-04-19 芯片形貌预测方法、装置、设备及存储介质

Country Status (1)

Country Link
CN (1) CN116432596A (zh)

Similar Documents

Publication Publication Date Title
US6484300B1 (en) Systems, methods and computer program products for obtaining an effective pattern density of a layer in an integrated circuit, and for simulating a chemical-mechanical polishing process using the same
US7363099B2 (en) Integrated circuit metrology
US6889177B1 (en) Large area pattern erosion simulator
US7363207B2 (en) Simulator for a chemical mechanical polishing
US7689968B2 (en) Proximity effect correction with regard to a semiconductor circuit design pattern
TW200907733A (en) Robust design using manufacturability models
CN110276470A (zh) 探索装置、探索方法以及等离子处理装置
JP2010102680A (ja) レイアウト評価装置、レイアウト評価プログラム、ダミールール生成装置及びダミールール生成プログラム
US20140303765A1 (en) Semiconductor Processing Dispatch Control
WO2011078965A1 (en) Methods and systems for high sigma yield estimation using reduced dimensionality
CN106997401B (zh) 提取芯片版图特征的方法、cmp仿真方法及系统
US6883153B2 (en) Minimization of microelectronic interconnect thickness variations
CN107346350B (zh) 集成电路版图数据处理任务的分配方法、装置和集群系统
CN112818632B (zh) 芯片的图形密度的分析方法、装置及电子设备
US8464192B2 (en) Lithography verification apparatus and lithography simulation program
Chen et al. A novel wire-density-driven full-chip routing system for CMP variation control
Ouyang et al. An analytical model of multiple ILD thickness variation induced by interaction of layout pattern and CMP process
CN116432596A (zh) 芯片形貌预测方法、装置、设备及存储介质
US20080178142A1 (en) Hotspot detection method for design and validation of layout for semiconductor device
US11443095B2 (en) Hotspot avoidance method for manufacturing integrated circuits
US8627243B1 (en) Methods for optimizing conductor patterns for ECP and CMP in semiconductor processing
JP5087864B2 (ja) 膜厚予測プログラム、記録媒体、膜厚予測装置および膜厚予測方法
US10943051B1 (en) Metal fill shape removal from selected nets
US8667433B2 (en) Polishing estimation/evaluation device, overpolishing condition calculation device, and computer-readable non-transitory medium thereof
US20180144073A1 (en) Modeling Deformation Due To Surface Oxidation In Integrated Circuits

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination