CN116306451A - 一种碳基集成电路设计自动化平台构建方法及相关装置 - Google Patents

一种碳基集成电路设计自动化平台构建方法及相关装置 Download PDF

Info

Publication number
CN116306451A
CN116306451A CN202310357732.5A CN202310357732A CN116306451A CN 116306451 A CN116306451 A CN 116306451A CN 202310357732 A CN202310357732 A CN 202310357732A CN 116306451 A CN116306451 A CN 116306451A
Authority
CN
China
Prior art keywords
carbon
silicon
model
layer
integrated circuit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202310357732.5A
Other languages
English (en)
Inventor
尹明会
李志强
张卫华
刘宏伟
周欢欢
王晨
尤云霞
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Institute of Microelectronics of CAS
Original Assignee
Institute of Microelectronics of CAS
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Institute of Microelectronics of CAS filed Critical Institute of Microelectronics of CAS
Priority to CN202310357732.5A priority Critical patent/CN116306451A/zh
Publication of CN116306451A publication Critical patent/CN116306451A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Architecture (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

本申请公开了一种碳基集成电路设计自动化平台构建方法,可用于集成电路领域,该方法包括:基于碳基MOS器件的测量参数,对经典MOS器件模型进行参数拟合得到碳基MOS器件对应的碳基器件模型;基于碳基工艺流程和硅基工艺流程,建立碳基工艺设计工具包;基于碳基版图层,构建与硅基布局布线工具兼容的碳基标准单元库模型;基于碳基器件模型、碳基工艺设计工具包、碳基标准单元库模型以及硅基集成电路设计自动化系统,构建碳基集成电路设计自动化平台。由此,可以借助现有的硅基集成电路设计自动化系统构建碳基集成电路设计自动化平台,实现碳基集成电路设计的自动化,以较低的成本解决了碳基集成电路设计效率低下等问题。

Description

一种碳基集成电路设计自动化平台构建方法及相关装置
技术领域
本申请涉及集成电路技术领域,特别是涉及一种碳基集成电路设计自动化平台构建方法及相关装置。
背景技术
碳基CMOS器件具有高迁移率和超薄体特性,在速度、功耗和宽温度工作范围等方面相较于硅基CMOS器件具有天然的优势,当前,理论和实验都证实了碳基CMOS器件具有广阔的应用前景。
随着集成电路设计规模的不断扩大,对于集成电路仿真和验证工具在容量、速度和精度等方面的要求也越来越高,集成电路芯片设计者难以依赖手工的方式采用碳基CMOS器件设计集成电路,而需要使用碳基集成电路设计自动化(Electronic DesignAutomatic,EDA)工具。然而,由于碳基工艺起步较晚,且硅基和碳基的器件制造及集成电路设计工艺之间存在差异,现有的EDA工具只能用于硅基集成电路设计,而无法用于碳基集成电路设计。而单独开发碳基集成电路专用的EDA工具又需要耗费巨额的经济成本和时间成本,这导致目前碳基集成电路设计者只能依赖于人工进行碳基集成电路芯片的设计和检查,设计效率低下。
由此,如何提高碳基集成电路设计效率,成为目前亟待解决的问题。
发明内容
基于上述问题,本申请提供了一种碳基集成电路设计自动化平台构建方法及相关装置,可以实现碳基集成电路设计的自动化,提供碳基集成电路设计效率。
本申请实施例公开了如下技术方案:
第一方面,本申请提供了一种碳基集成电路设计自动化平台构建方法,所述方法包括:
基于碳基MOS器件的测量参数,对预选的经典MOS器件模型进行参数拟合,得到所述碳基MOS器件对应的碳基器件模型;所述经典MOS器件模型存储于预选的硅基集成电路设计自动化系统中;
基于所述碳基MOS器件对应的碳基工艺流程以及硅基MOS器件对应的硅基工艺流程,建立碳基工艺设计工具包;所述碳基工艺设计工具包至少包括与所述硅基工艺流程对应的硅基工艺图层存在第一映射关系的碳基工艺图层,所述碳基工艺图层包括碳基工艺基础图层和碳基工艺辅助图层;
基于所述硅基集成电路设计自动化系统中的硅基布局布线工具对应的硅基版图层以及所述碳基工艺流程,构建与所述硅基布局布线工具兼容的碳基标准单元库模型;所述碳基标准单元库至少包括双重库抽象版图库模型,所述双重库抽象版图库模型包括符合所述碳基工艺流程的掩膜的第一碳基抽象版图库模型和可用于所述硅基布局布线工具的第二碳基抽象版图库模型;
基于所述碳基器件模型、所述碳基工艺设计工具包、所述碳基标准单元库模型以及所述硅基集成电路设计自动化系统,构建碳基集成电路设计自动化平台;所述碳基集成电路设计自动化平台用于进行碳基集成电路设计。
可选地,所述基于碳基MOS器件的测量参数,对预选的硅基MOS器件对应的经典MOS器件模型进行参数拟合,得到所述碳基MOS器件对应的碳基器件模型,包括:
基于第一碳基MOS器件的测量参数,对预选的经典MOS器件模型进行参数拟合,得到碳基MOS器件对应的第一模型;所述经典MOS器件模型存储于预选的硅基集成电路设计自动化系统中;
比对第二碳基MOS器件的表征参数测量值与基于所述第一模型得到的所述第二碳基MOS器件的表征参数预测值的相似度;所述第二碳基MOS器件与所述第一碳基MOS器件的制造工艺相同;
若所述相似度小于预设的相似阈值,则基于所述第二碳基MOS器件的测量参数对所述第一模型进行参数拟合,得到碳基器件模型;第三碳基MOS器件的表征参数测量值与基于所述碳基器件模型得到的所述第三碳基MOS器件的表征参数预测值的相似度大于或等于所述相似阈值,所述第三碳基MOS器件与所述第一碳基MOS器件的制造工艺相同;
若所述相似度大于或等于所述相似阈值,则输出所述第一模型作为碳基器件模型。
可选地,所述基于所述碳基MOS器件对应的碳基工艺流程以及所述硅基MOS器件对应的硅基工艺流程,建立碳基工艺设计工具包,包括:
基于所述碳基MOS器件对应的碳基工艺流程以及硅基MOS器件对应的硅基工艺流程,建立与所述硅基工艺流程对应的硅基工艺图层存在第一映射关系的碳基工艺图层;所述碳基工艺图层包括碳基工艺基础图层和碳基工艺辅助图层;
基于所述第一映射关系以及所述碳基工艺图层,建立第一工艺设计工具包;
基于所述第一工艺设计工具包,验证预设的碳基全定制集成电路设计流程;
若所述验证结果为所述碳基工艺图层中的至少一层图层无法在所述碳基全定制集成电路设计流程中执行,则重新执行建立与所述硅基工艺流程对应的硅基工艺图层存在第一映射关系的碳基工艺图层的步骤;
若所述验证结果为所述碳基工艺图层中的全部图层均可以在所述碳基全定制集成电路设计流程中执行,则输出所述第一工艺设计工具包作为碳基工艺设计工具包。
可选地,所述基于硅基集成电路设计自动化系统中的硅基布局布线工具对应的硅基版图层以及所述碳基工艺流程,构建与所述硅基布局布线工具兼容的碳基标准单元库模型,包括:
基于硅基集成电路设计自动化系统中的硅基布局布线工具对应的硅基版图层以及所述碳基工艺流程,建立双重图层映射文件;所述双重图层映射文件包括符合所述碳基工艺流程的掩膜的第一图层映射文件以及携带所述碳基工艺流程对应的碳基版图层与所述硅基版图层的第二映射关系的第二图层映射文件;
基于所述双重图层映射文件,构建双重库抽象版图库模型;所述双重抽象版图库模型包括符合所述碳基工艺流程的掩膜的第一抽象版图库模型以及携带所述第二映射关系的第二抽象版图库模型;
基于所述双重库抽象版图库模型以及所述碳基工艺流程,得到碳基标准单元库模型;所述碳基标准单元库模型可用于所述硅基布局布线工具。
可选地,所述碳基工艺设计工具包,包括:
所述碳基工艺图层、碳基参数化单元和碳基工艺文件;所述碳基参数化单元和碳基工艺文件基于所述第一映射关系和所述碳基工艺图层建立。
第二方面,本申请提供了一种碳基集成电路设计自动化平台构建装置,所述装置包括:碳基器件模型建立模块;碳基工艺设计工具包建立模块;碳基标准单元库模型建立模块以及平台构建模块;
所述碳基器件模型建立模块,用于基于碳基MOS器件的测量参数,对预选的经典MOS器件模型进行参数拟合,得到所述碳基MOS器件对应的碳基器件模型;所述经典MOS器件模型存储于预选的硅基集成电路设计自动化系统中;
所述碳基工艺设计工具包建立模块,用于基于所述碳基MOS器件对应的碳基工艺流程以及硅基MOS器件对应的硅基工艺流程,建立碳基工艺设计工具包;所述碳基工艺设计工具包至少包括与所述硅基工艺流程对应的硅基工艺图层存在第一映射关系的碳基工艺图层,所述碳基工艺图层包括碳基工艺基础图层和碳基工艺辅助图层;
所述碳基标准单元库模型建立模块,用于基于所述硅基集成电路设计自动化系统中的硅基布局布线工具对应的硅基版图层以及所述碳基工艺流程,构建与所述硅基布局布线工具兼容的碳基标准单元库模型;所述碳基标准单元库至少包括双重库抽象版图库模型,所述双重库抽象版图库模型包括符合所述碳基工艺流程的掩膜的第一碳基抽象版图库模型和可用于所述硅基布局布线工具的第二碳基抽象版图库模型;
所述平台构建模块,用于基于所述碳基器件模型、所述碳基工艺设计工具包、所述碳基标准单元库模型以及所述硅基集成电路设计自动化系统,构建碳基集成电路设计自动化平台;所述碳基集成电路设计自动化平台用于进行碳基集成电路设计。
可选地,所述碳基器件模型建立模块,包括:参数拟合单元,比对单元以及碳基器件模型输出单元;
所述拟合单元,用于基于第一碳基MOS器件的测量参数,对预选的硅基MOS器件对应的经典MOS器件模型进行参数拟合,得到碳基MOS器件对应的第一模型;所述经典MOS器件模型存储于预选的硅基集成电路设计自动化系统中;
所述比对单元,用于比对第二碳基MOS器件的表征参数测量值与基于所述第一模型得到的所述第二碳基MOS器件的表征参数预测值的相似度;所述第二碳基MOS器件与所述第一碳基MOS器件的制造工艺相同;
所述碳基器件模型输出单元,用于当所述相似度小于预设的相似阈值时,基于所述第二碳基MOS器件的测量参数对所述第一模型进行参数拟合,得到碳基器件模型;第三碳基MOS器件的表征参数测量值与基于所述碳基器件模型得到的所述第三碳基MOS器件的表征参数预测值的相似度大于或等于所述相似阈值,所述第三碳基MOS器件与所述第一碳基MOS器件的制造工艺相同;当所述相似度大于或等于所述相似阈值时,输出所述第一模型作为碳基器件模型。
可选地,所述碳基工艺设计工具包建立模块,包括:碳基工艺图层建立单元,工艺设计工具包建立单元,流程验证单元以及碳基工艺设计工具包输出单元;
所述碳基工艺图层建立单元,用于基于所述碳基MOS器件对应的碳基工艺流程以及硅基MOS器件对应的硅基工艺流程,建立与所述硅基工艺流程对应的硅基工艺图层存在第一映射关系的碳基工艺图层;所述碳基工艺图层包括碳基工艺基础图层和碳基工艺辅助图层;
所述工艺设计工具包建立单元,用于基于所述第一映射关系以及所述碳基工艺图层,建立第一工艺设计工具包;
所述流程验证单元,用于基于所述第一工艺设计工具包,验证预设的碳基全定制集成电路设计流程;
所述碳基工艺设计工具包输出单元,用于当所述验证结果为所述碳基工艺图层中的至少一层图层无法在所述碳基全定制集成电路设计流程中执行时,重新执行建立与所述硅基工艺流程对应的硅基工艺图层存在第一映射关系的碳基工艺图层的步骤;当所述验证结果为所述碳基工艺图层中的全部图层均可以在所述碳基全定制集成电路设计流程中执行时,输出所述第一工艺设计工具包作为碳基工艺设计工具包。
可选地,所述碳基标准单元库模型建立模块,包括:双重图层映射文件建立单元,双重库抽象版图库模型构建单元以及碳基标准单元库模型建立单元;
所述双重图层映射文件建立单元,用于基于硅基集成电路设计自动化系统中的硅基布局布线工具对应的硅基版图层以及所述碳基工艺流程,建立双重图层映射文件;所述双重图层映射文件包括符合所述碳基工艺流程的掩膜的第一图层映射文件以及携带所述碳基工艺流程对应的碳基版图层与所述硅基版图层的第二映射关系的第二图层映射文件;
所述双重库抽象版图库模型构建单元,用于基于所述双重图层映射文件,构建双重库抽象版图库模型;所述双重抽象版图库模型包括符合所述碳基工艺流程的掩膜的第一抽象版图库模型以及携带所述第二映射关系的第二抽象版图库模型;
所述碳基标准单元库模型建立单元,用于基于所述双重库抽象版图库模型以及所述碳基工艺流程,得到碳基标准单元库模型;所述碳基标准单元库模型可用于所述硅基布局布线工具。
第三方面,本申请提供了一种碳基集成电路设计自动化平台,所述碳基集成电路设计自动化平台包括:碳基器件模型,碳基工艺设计工具包,碳基标准单元库模型,碳基集成电路设计流程包以及硅基集成电路设计自动化系统;
所述碳基集成电流设计流程包包括碳基集成电路全定制设计流程包和碳基集成电路数字设计流程包;所述碳基集成电路设计自动化平台基于上述碳基集成电路设计自动化平台构建方法得到。
相较于现有技术,本申请具有以下有益效果:
本申请提供了一种碳基集成电路设计自动化平台构建方法,该方法包括:基于碳基MOS器件的测量参数,对预选的经典MOS器件模型进行参数拟合,得到碳基MOS器件对应的碳基器件模型;基于碳基MOS器件对应的碳基工艺流程以及硅基MOS器件对应的硅基工艺流程,建立碳基工艺设计工具包;基于硅基集成电路设计自动化系统中的硅基布局布线工具对应的硅基版图层以及碳基工艺流程,构建与硅基布局布线工具兼容的碳基标准单元库模型;基于碳基器件模型、碳基工艺设计工具包、碳基标准单元库模型以及硅基集成电路设计自动化系统,构建碳基集成电路设计自动化平台。由此,通过建立的碳基器件模型、碳基工艺设计工具包以及碳基标准单元库模型,可以借助现有的硅基集成电路设计自动化系统构建碳基集成电路设计自动化平台,实现碳基集成电路设计的自动化,以较低的成本解决了碳基集成电路设计效率低下、成品率低等问题。
附图说明
为了更清楚地说明本申请实施例或现有技术中的技术方案,下面将对实施例或现有技术描述中所需要使用的附图作简单地介绍,显而易见地,下面描述中的附图仅仅是本申请的一些实施例,对于本领域普通技术人员来讲,在不付出创造性劳动性的前提下,还可以根据这些附图获得其他的附图。
图1为本申请实施例提供的一种碳基集成电路设计自动化平台构建方法流程图;
图2为本申请实施例提供的一种碳基器件模型建立方法流程图;
图3为本申请实施例提供的一种碳基工艺设计工具包建立方法流程图;
图4为本申请实施例提供的一种碳基标准单元库模型建立方法流程图;
图5为碳基工艺需要增加的两类碳基辅助版图层信息示意图;
图6为碳基版图层与硅基版图层的映射关系示意图;
图7为本申请实施例提供的一种碳基集成电路设计自动化平台构建装置示意图;
图8为本申请实施例提供的一种碳基集成电路设计自动化平台示意图。
具体实施方式
正如前文描述,由于碳基工艺和硅基工艺在器件材料、结构、工艺步骤等方面均存在差异,硅基集成电路设计自动化系统无法直接用于进行碳基集成电路设计,而单独开发碳基集成电路专用的EDA工具又需要耗费巨额的经济成本和时间成本,这导致目前碳基集成电路设计者只能依赖于人工进行碳基集成电路芯片的设计和检查,而无法进行自动化的物理设计规则检查(Design Rule Checking,DRC)和电气规则检查(Layout VersusSchematic,LVS),不仅设计效率低下,而且碳基集成电路芯片的成品率极低。
有鉴于此,本申请提供了一种碳基集成电路设计自动化平台构建方法,该方法包括:基于碳基MOS器件的测量参数,对预选的经典MOS器件模型进行参数拟合,得到碳基MOS器件对应的碳基器件模型;基于碳基MOS器件对应的碳基工艺流程以及硅基MOS器件对应的硅基工艺流程,建立碳基工艺设计工具包;基于硅基集成电路设计自动化系统中的硅基布局布线工具对应的硅基版图层以及碳基工艺流程,构建与硅基布局布线工具兼容的碳基标准单元库模型;基于碳基器件模型、碳基工艺设计工具包、碳基标准单元库模型以及硅基集成电路设计自动化系统,构建碳基集成电路设计自动化平台。
为了使本技术领域的人员更好地理解本申请方案,下面将结合本申请实施例中的附图,对本申请实施例中的技术方案进行清楚、完整地描述,显然,所描述的实施例仅是本申请一部分实施例,而不是全部的实施例。基于本申请中的实施例,本领域普通技术人员在没有做出创造性劳动前提下所获得的所有其他实施例,都属于本申请保护的范围。
参见图1,该图为本申请实施例提供的一种碳基集成电路设计自动化平台构建方法流程图,该方法包括:
S101:基于碳基MOS器件的测量参数,对预选的经典MOS器件模型进行参数拟合,得到碳基MOS器件对应的碳基器件模型。
EDA(Electronic Design Automatic,电子设计自动化)是电子设计与制造技术发展中的核心,而EDA工具是电路设计过程中必需的软件工具,从硬件描述语言(HardwareDeion Language,HDL)到逻辑仿真工具(Logic Simulation);从逻辑综合(LogicSynthesis)到自动布局布线系统(Auto Place&Route);从物理设计规则检查(design rulecheck/DRC&electrical rule check/ERC)到电路图版图比对(Layout versus Schematic,LVS)再到芯片的制造测试,EDA工具几乎涵盖了集成电路的方方面面。目前,设计人员可以在计算机上利用EDA软件,自动地完成硅基集成电路的逻辑编译、化简、分割、综合、布局布线、逻辑优化以及仿真测试等工作。
硅基集成电路设计自动化系统包括现有的EDA工具和硅基集成电路设计所需的多种数据包,其中,数据包包括但不限于例如SPICE器件模型以及BSIM器件模型等硅基MOS器件模型、硅基工艺设计工具包(Process Design Kits,PDK)以及硅基标准单元库(StandardCell Library,SC lib)等。
预选的硅基MOS器件可以选自硅基经典MOS器件模型对应的硅基MOS器件,其中,硅基经典MOS器件模型可以包括例如SPICE(Simulation Program with Integrated CircuitEmphasis)器件模型以及BSIM(Berkeley Short-channel IGFET Model)器件模型等。
预选的经典MOS器件与碳基MOS器件具有较高的相似度。作为示例,可以基于硅基MOS器件与碳基MOS器件的特征尺寸、器件结构、工艺特点以及应用场景等信息,多维度的对比二者之间的相似度。其中,硅基集成电路设计自动化系统中存储的多个经典MOS器件模型对应的硅基MOS器件;特征尺寸包括线宽等;器件结构包括顶栅、底栅、环栅、绝缘体上硅等;工艺特点包括逻辑、存储、高压等;应用目标包括数字电路、模拟电路、射频电路等。
由此,可以选择出与碳基MOS器件的工艺节点和器件结构等方面相似度较高的一个或多个硅基MOS器件,以选择出的经典MOS器件模型为基础进行参数拟合,能够高效地得到该碳基MOS器件对应的收敛性较好的碳基器件模型。可以理解的是,该碳基MOS器件指特征尺寸、器件结构、工艺特点以及应用场景等信息完全相同的一类碳基MOS器件,而非特定的某一个碳基MOS器件。
可选地,在参数拟合的过程中,所涉及的参数包括但不限于:例如迁移率、电容和噪声模型等控制参数;例如计算阈值和电流等直流参数;例如与器件电容相关的几何尺寸和介电常数等交流参数及电容;例如沟道长度和宽度、尺寸偏差等器件尺寸参数;例如氧化层厚度、掺杂浓度、温度等工艺参数。对碳基MOS器件的上述参数的测量值,也即测量参数,进行提取,并与经典MOS器件模型通过例如数据拟合(Data Fitting)算法进行参数拟合,即可得到碳基MOS器件对应的碳基器件模型。
可选地,对于较为复杂的经典MOS器件模型,可以在模型精度和计算效率两个方面进行折中,例如,可以在精度允许的情况下,舍弃经典MOS器件模型中部分对复杂的高阶效应的计算,以提高模型的计算效率。通常,精确的经典MOS器件模型中考虑了较多复杂的物理效应和寄生参数,在建立碳基器件模型的过程中舍弃对这一部分的计算,可以平衡模型精度和计算效率,使最终得到的碳基器件模型具有较高的电路晶体管级仿真速度。
S102:基于碳基MOS器件对应的碳基工艺流程以及硅基MOS器件对应的硅基工艺流程,建立碳基工艺设计工具包。
工艺设计工具包(Process Design Kits,PDK)可以将器件的工艺信息迁移到集成电路设计平台。PDK中包含但不限于工艺图层、参数化单元以及工艺文件等内容,其中,参数化单元(Parameterized Cell,PCell)和工艺文件(techfile/dispiay/laymap)是PDK中的核心组件。由于碳基MOS器件和硅基MOS器件各自对应的工艺流程之间的差异,直接设计的碳基工艺设计包与现有的硅基EDA工具存在兼容性问题,无法直接应用于现有的硅基EDA工具。
示例性地,可以基于碳基MOS器件和硅基MOS器件各自对应的工艺流程之间的差异,进行相应的对比等效处理,得到相较于硅基MOS器件对应的硅基工艺流程而言,碳基MOS器件对应的碳基工艺流程多出或缺少的工序,在碳基工艺基础图层的基础上构建碳基工艺辅助图层。碳基工艺基础图层和碳基工艺辅助图层共同组成了碳基工艺图层,碳基工艺图层可以通过第一映射关系与硅基工艺流程对应的硅基工艺图层对应起来。基于碳基工艺图层以及第一映射关系,可以建立碳基工艺流程对应的碳基PDK中的参数化单元(Parameterized Cell,PCell)和工艺文件(techfile/dispiay/laymap),从而得到包括碳基工艺图层、对应的参数化单元和对应的工艺文件的碳基工艺设计工具包。
碳基工艺辅助图层用于辅助现有的硅基EDA工具正确提取和识别碳基器件和电路,是不进行实际制作的版图图层。可选地,碳基工艺辅助图层可以包括常规辅助层和版图辅助层,其中,常规辅助层用于方便现有的硅基EDA系统中包含的硅基EDA工具对器件和电路的版图进行布尔运算处理,版图辅助层为碳基工艺流程中缺少的工序或掩膜对应的版图图层,用于解决现有的硅基EDA工具无法提取和识别器件电路的版图而出现的兼容性问题。
由此,基于碳基工艺流程和硅基工艺流程之间的差异,通过构建碳基工艺辅助图层,使碳基工艺图层与硅基工艺图层可以通过第一映射关系对应起来,进而建立了可用于硅基EDA系统的碳基工艺工具包,从而实现了以硅基EDA系统对碳基器件电路的图层进行识别和提取。
S103:基于硅基集成电路设计自动化系统中的硅基布局布线工具对应的硅基版图层以及碳基工艺流程,构建与硅基布局布线工具兼容的碳基标准单元库模型。
标准单元库是支持大规模集成电路自动化设计的基础单元,具有多种形式的库模型,例如包括单元Verilog模型、Liberty模型、CDL网表、符号库、版图库以及抽象版图库模型(LEF/Milkway/NDM)等,用于支持数字电路的逻辑综合、功能仿真、静态时序分析和布局布线等自动化设计步骤。
由于碳基工艺流程与硅基工艺流程在器件结构和制作工序等方面的差异,硅基集成电路设计自动化系统中的硅基布局布线工具(硅基布局布线EDA工具)无法正确提取碳基器件电路版图图层的连接关系(Connection),造成版图自动布局布线不能正常完成或者布线结果无法达到设计意图,甚至布线结果错误,导致流片失败。
有鉴于此,本申请实施例基于硅基集成电路设计自动化系统中的硅基布局布线工具对应的硅基版图层以及碳基工艺流程,建立了符合碳基工艺流程的掩膜的第一图层映射文件,以及携带碳基工艺流程对应的碳基版图层与硅基版图层的第二映射关系的第二图层映射文件,第一映射文件与第二映射文件共同组成双重图层映射文件。其中,碳基版图层可以包括碳基辅助版图层和碳基基础版图层,第二图层映射文件用于将碳基版图层映射到现有的硅基布局布线EDA工具对应的硅基版图层。
而后,可以基于双重图层映射文件,构建双重库抽象版图库模型,其中,双重抽象版图库模型包括符合碳基工艺流程的掩膜的第一抽象版图库模型以及携带第二映射关系的第二抽象版图库模型。具体地,第一抽象版图库模型用于生产制造碳基器件电路,但由于其完全符合碳基工艺流程,无法用于现有的硅基布局布线EDA工具;而第二抽象版图库模型经过了图层映射,可以用于现有的硅基布局布线EDA工具。
最后,对照碳基工艺流程完成单元Verilog模型、Liberty模型、CDL网表、符号库以及版图库等部分的构建,即可得到包括双重抽象版图库模型的碳基标准单元库模型,得到的碳基标准单元库模型可用于硅基布局布线工具。
由此,可以基于碳基标准单元库模型,应用现有的硅基EDA系统进行碳基数字集成电路设计流程验证。具体地,可以基于碳基工艺图层的布局布线工艺文件、第二图层映射文件以及第二抽象版图库模型,应用现有的例如硅基布局布线EDA工具等硅基版图设计EDA工具,进行碳基集成电路的布局布线;而在导出版图阶段,则应用第一图层映射文件以及第一抽象版图库模型对第二图层映射文件以及第二抽象版图库模型进行替换,得到可用于芯片生产制造的、完全符合碳基工艺流程的制程掩膜。其中,碳基工艺图层的布局布线工艺文件基于碳基版图层建立,包括但不限于图层信息以及设计规则等工艺信息。
S104:基于碳基器件模型、碳基工艺设计工具包、碳基标准单元库模型以及硅基集成电路设计自动化系统,构建碳基集成电路设计自动化平台。
具体地,可以基于碳基器件模型、碳基工艺设计工具包、碳基标准单元库模型以及在硅基集成电路设计自动化系统中建立的碳基集成电路设计流程,开发脚本使碳基器件模型、碳基工艺设计工具包以及碳基标准单元库模型可用于现有的硅基集成电路设计自动化系统,构建出碳基集成电路设计自动化平台,实现碳基集成电路设计的自动化。其中,碳基集成电路设计流程可以包括碳基集成电路全定制设计流程和碳基集成电路数字设计流程。
由此,本申请实施例通过建立碳基器件模型、碳基工艺设计工具包以及碳基标准单元库模型,可以借助现有的硅基集成电路设计自动化系统构建碳基集成电路设计自动化平台,实现碳基集成电路设计的自动化,以较低的成本解决了碳基集成电路设计效率低下、成品率低等问题。
参见图2,该图为本申请实施例提供的一种碳基器件模型建立方法流程图,该方法包括:
S201:基于第一碳基MOS器件的测量参数,对预选的经典MOS器件模型进行参数拟合,得到碳基MOS器件对应的第一模型。
经典MOS器件模型存储于预选的硅基集成电路设计自动化系统中,可以是硅基经典MOS器件模型。预选的硅基MOS器件与第一碳基MOS器件具有较高的相似度,硅基MOS器件与碳基MOS器件的相似度可以基于特征尺寸、器件结构、工艺特点以及应用场景等信息进行计算。
作为示例,在参数拟合的过程中,所涉及的参数包括但不限于:例如迁移率、电容和噪声模型等控制参数;例如计算阈值和电流等直流参数;例如与器件电容相关的几何尺寸和介电常数等交流参数及电容;例如沟道长度和宽度、尺寸偏差等器件尺寸参数;例如氧化层厚度、掺杂浓度、温度等工艺参数。对第一碳基MOS器件的上述参数的测量值,也即测量参数,进行提取,并与经典MOS器件模型通过例如数据拟合(Data Fitting)算法进行参数拟合,即可得到第一碳基MOS器件对应的第一模型。
S202:比对第二碳基MOS器件的表征参数测量值与基于第一模型得到的第二碳基MOS器件的表征参数预测值的相似度,若相似度小于预设的相似阈值,则执行S203的步骤;若相似度大于或等于预设的相似阈值,则执行S204的步骤。
示例性地,第二碳基MOS器件与所述第一碳基MOS器件的制造工艺相同,也即二者是特征尺寸、器件结构、工艺特点以及应用场景等信息完全相同的同一类碳基MOS器件。比对第二碳基MOS器件的表征参数测量值与基于第一模型得到的第二MOS器件的表征参数预测值的相似度,可以判断使用第一模型得到的模型参数的准确度。
可选地,可以将第二碳基MOS器件抽象为主要表征参数的黑盒子,重点比对第二碳基MOS器件的以下主要表征参数的测量值和预测值:开态电流Ion、关态电流Ioff、亚阈值斜率SS、阈值电压Vt、漏致势垒降低系数DIBL、沟道电阻Rch、饱和电压Vdsat、输出电阻Ro以及跨导gm,也可以比对更多表征参数的测量值和预测值。
若比对结果为相似度小于预设的相似阈值,则表示测量值和预测值偏差较大,基于第一模型得到的预测值无法达到所需的准确度,需执行S203的步骤;若相似度大于或等于预设的相似阈值,则表示测量值和预测值偏差较小,基于第一模型得到的预测值已经达到所需的准确度,执行S204的步骤。
S203:基于第二碳基MOS器件的测量参数对第一模型进行参数拟合,得到碳基器件模型。
具体地,得到的碳基器件模型可用于预测与第一碳基MOS器件的制造工艺相同的第三碳基MOS器件的表征参数预测值,且第三碳基MOS器件的表征参数测量值与基于碳基器件模型得到的第三碳基MOS器件的表征参数预测值的相似度大于或等于预设的相似阈值。
可选地,本步骤可多次对第一模型进行参数拟合,直至得到所需的碳基器件模型;也可以每执行一次参数拟合后,基于得到的新的器件模型比对碳基MOS器件的表征参数预测值和测量值的相似度,重复执行直至得到相似度大于或等于预设的相似阈值的碳基器件模型。
S204:输出第一模型作为碳基器件模型。
由此,本申请实施例基于测量值与预测值的对比验证结果,多次执行参数拟合,可以得到收敛性较好的碳基器件模型,并有效解决了碳基集成电路设计自动化平台在被长期使用后,碳基器件模型的预测值与实际的测量值偏差过大而无法调节的问题。
参见图3,该图为本申请实施例提供的一种碳基工艺设计工具包建立方法流程图,该方法包括:
S301:基于碳基MOS器件对应的碳基工艺流程以及硅基MOS器件对应的硅基工艺流程,建立与硅基工艺流程对应的硅基工艺图层存在第一映射关系的碳基工艺图层。
示例性地,可以基于碳基MOS器件和硅基MOS器件各自对应的工艺流程之间的差异,进行相应的对比等效处理,得到相较于硅基MOS器件对应的硅基工艺流程而言,碳基MOS器件对应的碳基工艺流程多出或缺少的工序,在碳基工艺基础图层的基础上构建碳基工艺辅助图层。碳基工艺基础图层和碳基工艺辅助图层共同组成了碳基工艺图层,碳基工艺图层可以通过第一映射关系与硅基工艺流程对应的硅基工艺图层对应起来。
具体地,碳基工艺流程与硅基工艺流程存在工艺步骤、工艺顺序、器件材料以及器件结构等方面的差异,二者之间的差异包括但不限于:碳基工艺可以不进行离子掺杂,即没有如硅基工艺的阱和扩散层;碳基工艺的有源区是碳纳米管层,而硅基工艺的有源区是中掺杂离子注入的区域;碳基工艺的源漏端直接是金属材料,即没有如硅基工艺的接触孔和金属等。
基于上述差异以及遵循碳基工艺流程的碳基工艺基础图层,可以构建碳基工艺辅助图层。碳基工艺辅助图层包括两种类型:一类是常规的辅助层,用于方便硅基EDA工具对碳基器件电路的版图进行布尔运算处理;另一类是对照硅基工艺流程而言需要添加的工序,碳基工艺流程相比于硅基工艺流程缺少的工序会导致现有硅基EDA工具无法提取和识别碳基器件电路而出现兼容性问题。针对两种情况,分别构建不进行生产制作的碳基工艺辅助图层,以便硅基EDA工具能够正确提取和识别碳基器件电路,例如碳基MOS器件增加源漏区的接触孔这一碳基工艺辅助图层,但实际制作过程中并不在碳基MOS器件上制作源漏区的接触孔。
碳基工艺图层可以通过第一映射关系与硅基工艺流程对应的硅基工艺图层对应起来,具体地,可以根据碳基工艺流程的器件材料、工艺顺序和器件特性等工艺特征,将碳基工艺图层与硅基工艺图层中的相同结构一一对应,建立第一映射关系,例如,可以将碳基工艺图层中的碳纳米管层(CNT)与硅基工艺图层中的有源区(AA/ACT)对应映射,使其符合现有的全定制设计硅基EDA工具对版图图层的基本处理规则。
为了更好地理解该步骤,以下以碳基工艺图层中的部分图层进行说明,以下示例中,碳基工艺基础图层包括金属一层M1、N型碳纳米管晶体管栅NG、P型碳纳米管晶体管栅PG、碳纳米管层CNT、P型碳纳米管晶体管源漏区域PSD、N型碳纳米管晶体管源漏区域NSD、金属二层M2、N型碳纳米管晶体管钝化区N_P、P型碳纳米管晶体管钝化区P_P等;一类碳基工艺辅助图层包括金属文本层、布线阻挡层BLOCK、标签层Label、单元边界层CELLBNDY等;另一类碳基工艺辅助图层包括接触孔层CT和通孔一层Via1等。可以理解的是,此处仅是用于示例,碳基工艺基础图层和碳基工艺辅助图层并不限于此。参见下表1,该表为本申请实施例提供的碳基工艺图层中部分图层的实例化层名示例表。
表1碳基工艺图层中的部分图层的实例化层名示例表
Figure BDA0004163929920000161
Figure BDA0004163929920000171
S302:基于第一映射关系以及碳基工艺图层,建立第一工艺设计工具包。
具体地,建立碳基工艺流程对应的碳基PDK中的参数化单元(ParameterizedCell,PCell)和工艺文件(techfile/dispiay/laymap),从而得到包括碳基工艺图层、对应的参数化单元和对应的工艺文件的碳基工艺设计工具包,得到的碳基工艺设计工具包可用于硅基EDA系统。
S303:基于第一工艺设计工具包,验证预设的碳基全定制集成电路设计流程,若验证结果为碳基工艺图层中的至少一层图层无法在碳基全定制集成电路设计流程中执行,则重新执行S301所述的步骤;若验证结果为碳基工艺图层中的全部图层均可以在碳基全定制集成电路设计流程中执行,则输出第一工艺设计工具包作为碳基工艺设计工具包。
由此,本申请实施例通过增加碳基工艺辅助图层,使得碳基工艺图层和硅基工艺图层可以通过第一映射关系对应起来,得到可以用于硅基EDA系统且通过碳基全定制集成电路设计流程的碳基工艺设计工具包。
参见图4,该图为本申请实施例提供的一种碳基标准单元库模型建立方法流程图,该方法包括:
S401:基于硅基集成电路设计自动化系统中的硅基布局布线工具对应的硅基版图层以及碳基工艺流程,建立双重图层映射文件。
基于碳基工艺流程及其与硅基工艺流程之间的差异,可以构建碳基版图层,其中,碳基版图层可以包括碳基辅助版图层和碳基基础版图层。碳基辅助版图层包括两种类型:一类是常规的布尔运算识别层,方便硅基EDA工具对碳基版图层做运算处理;另一类是对照硅基工艺流程而言需要添加的工序,碳基工艺流程相比于硅基工艺流程缺少工序,导致现有硅基EDA工具无法提取和识别碳基器件电路而出现兼容性问题。针对两种情况,分别构建不进行生产制作的碳基版图层,以便硅基EDA工具能够正确提取和识别碳基器件电路,得到完整的碳基版图层,并基于碳基版图层建立碳基布局布线工艺文件(techfile)。
为了更好地理解该步骤,以下以碳基工艺laymap文件为例进行碳基辅助版图层介绍,可以理解的是,此处仅是用于示例,碳基版图层并不限于此。如图5所示,该图为碳基工艺需要增加的两类碳基辅助版图层信息示意图,其中,左图为硅基版图层信息示意图,右图为碳基版图层信息示意图,右图中,阻挡层(Block)、标签层(Lable)、边界层(CELLBNDY)、文本层(M1TEXT/M2TEXT/M3TEXT)是一类辅助层,也即常规运算辅助版图层;碳基MOS器件的源漏区(NSD/PSD)与金属(M1/M2)之间不需要接触孔/通孔,但硅基布局布线EDA工具需要识别接触孔或通孔层才能正确提取电气关系和识别电路器件,图5中虚线框示例的接触孔(CT/Contact)、通孔层(Via1),是二类辅助层,也即碳基器件电路提取所需的辅助版图层。
双重图层映射文件包括符合碳基工艺流程的掩膜的第一图层映射文件以及携带碳基工艺流程对应的碳基版图层与硅基版图层的第二映射关系的第二图层映射文件。具体地,第一图层映射文件完全遵循碳基工艺掩膜层,主要针对全定制设计EDA工具与数字布局布线工具常规层(或保留层)的差异问题,进行不同图层的转换映射,便于真实碳基工艺版图的制版和流片;第二图层映射文件将碳基版图层映射到现有硅基布局布线EDA工具对应的硅基版图层,针对碳基工艺材料、工艺顺序和器件特性等方面的特征,可以将碳基基础版图层的多层映射到EDA工具的一层,也可以将碳基基础版图层的一层映射到EDA工具的多层,还可以直接增加碳基辅助版图层,从而将碳基版图层逐层与相同结构的硅基版图层对应,使其符合现有硅基EDA工具对版图层处理的基本规则,以便进行碳基芯片版图的自动化设计。
为了更好地理解该步骤,以下以碳基工艺laymap文件为例进行碳基版图层与硅基版图层的映射介绍,可以理解的是,此处仅是用于示例,碳基版图层并不限于此。如图6所示,该图为碳基版图层与硅基版图层的映射关系示意图,其中,左图为硅基版图层信息示意图,右图为碳基版图层信息示意图,例如,边界层(CELLBNDY/prBndry)在全定制设计EDA工具中是156:4,代表层号是156,层目标是4;而在数字布局布线EDA工具中,边界层(CELLBNDY/prBndry)是保留层,其层号是255层,由此,需要进行不同图层属性的转换映射。另一种类型是基于碳基工艺流程的特征,例如碳基金属一(M1)、N型碳纳米管晶体管栅(NG)、P型碳纳米管晶体管栅(PG)在同一水平面,采用同一种工艺材料(如Au),所以需要进行多到一的图层映射,以便现有硅基EDA工具进行处理。同理,还有一到多的图层映射。
S402:基于双重图层映射文件,构建双重库抽象版图库模型。
具体地,双重抽象版图库模型包括符合碳基工艺流程的掩膜的第一抽象版图库模型以及携带第二映射关系的第二抽象版图库模型,其中,第一抽象版图库模型可用于生产制造碳基器件电路,但由于其完全符合碳基工艺流程,无法用于现有的硅基布局布线EDA工具;而第二抽象版图库模型经过了图层映射,可以用于现有的硅基布局布线EDA工具。
S403:基于双重库抽象版图库模型以及碳基工艺流程,得到碳基标准单元库模型。
具体地,可以对照碳基工艺流程完成单元Verilog模型、Liberty模型、CDL网表、符号库以及版图库等部分的构建,从而得到包括双重抽象版图库模型的碳基标准单元库模型,得到的碳基标准单元库模型可用于硅基布局布线工具。
示例性地,得到碳基标准单元库模型之后,可以基于双重库抽象版图库模型中的第二抽象版图库模型以及第二图层映射文件进行碳基集成电路的自动布局布线并进行相应物理验证(DRC/LVS/XRC),基于双重库抽象版图库模型中的第一抽象版图库模型以及第一图层映射文件进行版图的映射和导出,从而使最后用于实际生产时显示的版图完全符合碳基工艺流程的制程掩膜。
由此,本申请实施例通过增加碳基辅助版图层,建立了双重库抽象版图库模型,使得第一抽象版图库模型用于实际的生产制造,第二抽象版图库模型用于使现有的硅基布局布线EDA工具可以识别和处理,得到了可以用于硅基EDA系统的碳基标准单元库模型。
参见图7,该图为本申请实施例提供的一种碳基集成电路设计自动化平台构建装置示意图,该装置包括:碳基器件模型建立模块701、碳基工艺设计工具包建立模块702、碳基标准单元库模型建立模块703以及平台构建模块704
碳基器件模型建立模块701,用于基于碳基MOS器件的测量参数,对预选的经典MOS器件模型进行参数拟合,得到碳基MOS器件对应的碳基器件模型;经典MOS器件模型存储于预选的硅基集成电路设计自动化系统中。
碳基工艺设计工具包建立模块702,用于基于碳基MOS器件对应的碳基工艺流程以及硅基MOS器件对应的硅基工艺流程,建立碳基工艺设计工具包;碳基工艺设计工具包至少包括与硅基工艺流程对应的硅基工艺图层存在第一映射关系的碳基工艺图层,碳基工艺图层包括碳基工艺基础图层和碳基工艺辅助图层。
碳基标准单元库模型建立模块703,用于基于硅基集成电路设计自动化系统中的硅基布局布线工具对应的硅基版图层以及碳基工艺流程,构建与硅基布局布线工具兼容的碳基标准单元库模型;碳基标准单元库至少包括双重库抽象版图库模型,双重库抽象版图库模型包括符合碳基工艺流程的掩膜的第一碳基抽象版图库模型和可用于硅基布局布线工具的第二碳基抽象版图库模型。
平台构建模块704,用于基于碳基器件模型、碳基工艺设计工具包、碳基标准单元库模型以及硅基集成电路设计自动化系统,构建碳基集成电路设计自动化平台;碳基集成电路设计自动化平台用于进行碳基集成电路设计。
可选地,碳基器件模型建立模块,包括:参数拟合单元,比对单元以及碳基器件模型输出单元。
拟合单元,用于基于第一碳基MOS器件的测量参数,对预选的经典MOS器件模型进行参数拟合,得到碳基MOS器件对应的第一模型;经典MOS器件模型存储于预选的硅基集成电路设计自动化系统中。
比对单元,用于比对第二碳基MOS器件的表征参数测量值与基于第一模型得到的第二碳基MOS器件的表征参数预测值的相似度;第二碳基MOS器件与第一碳基MOS器件的制造工艺相同。
碳基器件模型输出单元,用于当相似度小于预设的相似阈值时,基于第二碳基MOS器件的测量参数对第一模型进行参数拟合,得到碳基器件模型;第三碳基MOS器件的表征参数测量值与基于碳基器件模型得到的第三碳基MOS器件的表征参数预测值的相似度大于或等于相似阈值,第三碳基MOS器件与第一碳基MOS器件的制造工艺相同;当相似度大于或等于相似阈值时,输出第一模型作为碳基器件模型。
可选地,碳基工艺设计工具包建立模块,包括:碳基工艺图层建立单元,工艺设计工具包建立单元,流程验证单元以及碳基工艺设计工具包输出单元。
碳基工艺图层建立单元,用于基于碳基MOS器件对应的碳基工艺流程以及硅基MOS器件对应的硅基工艺流程,建立与硅基工艺流程对应的硅基工艺图层存在第一映射关系的碳基工艺图层;碳基工艺图层包括碳基工艺基础图层和碳基工艺辅助图层。
工艺设计工具包建立单元,用于基于第一映射关系以及碳基工艺图层,建立第一工艺设计工具包。
流程验证单元,用于基于第一工艺设计工具包,验证预设的碳基全定制集成电路设计流程。
碳基工艺设计工具包输出单元,用于当验证结果为碳基工艺图层中的至少一层图层无法在碳基全定制集成电路设计流程中执行时,重新执行建立与硅基工艺流程对应的硅基工艺图层存在第一映射关系的碳基工艺图层的步骤;当验证结果为碳基工艺图层中的全部图层均可以在碳基全定制集成电路设计流程中执行时,输出第一工艺设计工具包作为碳基工艺设计工具包。
可选地,碳基标准单元库模型建立模块,包括:双重图层映射文件建立单元,双重库抽象版图库模型构建单元以及碳基标准单元库模型建立单元。
双重图层映射文件建立单元,用于基于硅基集成电路设计自动化系统中的硅基布局布线工具对应的硅基版图层以及碳基工艺流程,建立双重图层映射文件;双重图层映射文件包括符合碳基工艺流程的掩膜的第一图层映射文件以及携带碳基工艺流程对应的碳基版图层与硅基版图层的第二映射关系的第二图层映射文件。
双重库抽象版图库模型构建单元,用于基于双重图层映射文件,构建双重库抽象版图库模型;双重抽象版图库模型包括符合碳基工艺流程的掩膜的第一抽象版图库模型以及携带第二映射关系的第二抽象版图库模型。
碳基标准单元库模型建立单元,用于基于双重库抽象版图库模型以及碳基工艺流程,得到碳基标准单元库模型;碳基标准单元库模型可用于硅基布局布线工具。
参见图8,该图为本申请实施例提供的一种碳基集成电路设计自动化平台示意图,该碳基集成电路设计自动化平台包括:碳基器件模型,碳基工艺设计工具包,碳基标准单元库模型,碳基集成电路设计流程包以及硅基集成电路设计自动化系统。
其中,碳基集成电流设计流程包包括碳基集成电路全定制设计流程包和碳基集成电路数字设计流程包;碳基集成电路设计自动化平台基于本申请提供的碳基集成电路设计自动化平台构建方法得到。
需要说明的是,本说明书中的各个实施例均采用递进的方式描述,各个实施例之间相同相似的部分互相参见即可,每个实施例重点说明的都是与其他实施例的不同之处。尤其,对于装置及平台实施例而言,由于其基本相似于方法实施例,所以描述得比较简单,相关之处参见方法实施例的部分说明即可。以上所描述的装置及平台实施例仅仅是示意性的,其中作为分离部件说明的单元可以是或者也可以不是物理上分开的,作为单元提示的部件可以是或者也可以不是物理单元,即可以位于一个地方,或者也可以分布到多个网络单元上。可以根据实际的需要选择其中的部分或者全部模块来实现本实施例方案的目的。本领域普通技术人员在不付出创造性劳动的情况下,即可以理解并实施。
以上所述,仅为本申请的一种具体实施方式,但本申请的保护范围并不局限于此,任何熟悉本技术领域的技术人员在本申请揭露的技术范围内,可轻易想到的变化或替换,都应涵盖在本申请的保护范围之内。因此,本申请的保护范围应该以权利要求的保护范围为准。

Claims (10)

1.一种碳基集成电路设计自动化平台构建方法,其特征在于,所述方法包括:
基于碳基MOS器件的测量参数,对预选的经典MOS器件模型进行参数拟合,得到所述碳基MOS器件对应的碳基器件模型;所述经典MOS器件模型存储于预选的硅基集成电路设计自动化系统中;
基于所述碳基MOS器件对应的碳基工艺流程以及硅基MOS器件对应的硅基工艺流程,建立碳基工艺设计工具包;所述碳基工艺设计工具包至少包括与所述硅基工艺流程对应的硅基工艺图层存在第一映射关系的碳基工艺图层,所述碳基工艺图层包括碳基工艺基础图层和碳基工艺辅助图层;
基于所述硅基集成电路设计自动化系统中的硅基布局布线工具对应的硅基版图层以及所述碳基工艺流程,构建与所述硅基布局布线工具兼容的碳基标准单元库模型;所述碳基标准单元库至少包括双重库抽象版图库模型,所述双重库抽象版图库模型包括符合所述碳基工艺流程的掩膜的第一碳基抽象版图库模型和可用于所述硅基布局布线工具的第二碳基抽象版图库模型;
基于所述碳基器件模型、所述碳基工艺设计工具包、所述碳基标准单元库模型以及所述硅基集成电路设计自动化系统,构建碳基集成电路设计自动化平台;所述碳基集成电路设计自动化平台用于进行碳基集成电路设计。
2.根据权利要求1所述的方法,其特征在于,所述基于碳基MOS器件的测量参数,对预选的经典MOS器件模型进行参数拟合,得到所述碳基MOS器件对应的碳基器件模型,包括:
基于第一碳基MOS器件的测量参数,对预选的经典MOS器件模型进行参数拟合,得到碳基MOS器件对应的第一模型;所述经典MOS器件模型存储于预选的硅基集成电路设计自动化系统中;
比对第二碳基MOS器件的表征参数测量值与基于所述第一模型得到的所述第二碳基MOS器件的表征参数预测值的相似度;所述第二碳基MOS器件与所述第一碳基MOS器件的制造工艺相同;
若所述相似度小于预设的相似阈值,则基于所述第二碳基MOS器件的测量参数对所述第一模型进行参数拟合,得到碳基器件模型;第三碳基MOS器件的表征参数测量值与基于所述碳基器件模型得到的所述第三碳基MOS器件的表征参数预测值的相似度大于或等于所述相似阈值,所述第三碳基MOS器件与所述第一碳基MOS器件的制造工艺相同;
若所述相似度大于或等于所述相似阈值,则输出所述第一模型作为碳基器件模型。
3.根据权利要求1所述的方法,其特征在于,所述基于所述碳基MOS器件对应的碳基工艺流程以及硅基MOS器件对应的硅基工艺流程,建立碳基工艺设计工具包,包括:
基于所述碳基MOS器件对应的碳基工艺流程以及硅基MOS器件对应的硅基工艺流程,建立与所述硅基工艺流程对应的硅基工艺图层存在第一映射关系的碳基工艺图层;所述碳基工艺图层包括碳基工艺基础图层和碳基工艺辅助图层;
基于所述第一映射关系以及所述碳基工艺图层,建立第一工艺设计工具包;
基于所述第一工艺设计工具包,验证预设的碳基全定制集成电路设计流程;
若所述验证结果为所述碳基工艺图层中的至少一层图层无法在所述碳基全定制集成电路设计流程中执行,则重新执行建立与所述硅基工艺流程对应的硅基工艺图层存在第一映射关系的碳基工艺图层的步骤;
若所述验证结果为所述碳基工艺图层中的全部图层均可以在所述碳基全定制集成电路设计流程中执行,则输出所述第一工艺设计工具包作为碳基工艺设计工具包。
4.根据权利要求1所述的方法,其特征在于,所述基于硅基集成电路设计自动化系统中的硅基布局布线工具对应的硅基版图层以及所述碳基工艺流程,构建与所述硅基布局布线工具兼容的碳基标准单元库模型,包括:
基于硅基集成电路设计自动化系统中的硅基布局布线工具对应的硅基版图层以及所述碳基工艺流程,建立双重图层映射文件;所述双重图层映射文件包括符合所述碳基工艺流程的掩膜的第一图层映射文件以及携带所述碳基工艺流程对应的碳基版图层与所述硅基版图层的第二映射关系的第二图层映射文件;
基于所述双重图层映射文件,构建双重库抽象版图库模型;所述双重抽象版图库模型包括符合所述碳基工艺流程的掩膜的第一抽象版图库模型以及携带所述第二映射关系的第二抽象版图库模型;
基于所述双重库抽象版图库模型以及所述碳基工艺流程,得到碳基标准单元库模型;所述碳基标准单元库模型可用于所述硅基布局布线工具。
5.根据权利要求1所述的方法,其特征在于,所述碳基工艺设计工具包,包括:
所述碳基工艺图层、碳基参数化单元和碳基工艺文件;所述碳基参数化单元和碳基工艺文件基于所述第一映射关系和所述碳基工艺图层建立。
6.一种碳基集成电路设计自动化平台构建装置,其特征在于,所述装置包括:碳基器件模型建立模块;碳基工艺设计工具包建立模块;碳基标准单元库模型建立模块以及平台构建模块;
所述碳基器件模型建立模块,用于基于碳基MOS器件的测量参数,对预选的经典MOS器件模型进行参数拟合,得到所述碳基MOS器件对应的碳基器件模型;所述经典MOS器件模型存储于预选的硅基集成电路设计自动化系统中;
所述碳基工艺设计工具包建立模块,用于基于所述碳基MOS器件对应的碳基工艺流程以及硅基MOS器件对应的硅基工艺流程,建立碳基工艺设计工具包;所述碳基工艺设计工具包至少包括与所述硅基工艺流程对应的硅基工艺图层存在第一映射关系的碳基工艺图层,所述碳基工艺图层包括碳基工艺基础图层和碳基工艺辅助图层;
所述碳基标准单元库模型建立模块,用于基于所述硅基集成电路设计自动化系统中的硅基布局布线工具对应的硅基版图层以及所述碳基工艺流程,构建与所述硅基布局布线工具兼容的碳基标准单元库模型;所述碳基标准单元库至少包括双重库抽象版图库模型,所述双重库抽象版图库模型包括符合所述碳基工艺流程的掩膜的第一碳基抽象版图库模型和可用于所述硅基布局布线工具的第二碳基抽象版图库模型;
所述平台构建模块,用于基于所述碳基器件模型、所述碳基工艺设计工具包、所述碳基标准单元库模型以及所述硅基集成电路设计自动化系统,构建碳基集成电路设计自动化平台;所述碳基集成电路设计自动化平台用于进行碳基集成电路设计。
7.根据权利要求6所述的装置,其特征在于,所述碳基器件模型建立模块,包括:参数拟合单元,比对单元以及碳基器件模型输出单元;
所述拟合单元,用于基于第一碳基MOS器件的测量参数,对预选的经典MOS器件模型进行参数拟合,得到碳基MOS器件对应的第一模型;所述经典MOS器件模型存储于预选的硅基集成电路设计自动化系统中;
所述比对单元,用于比对第二碳基MOS器件的表征参数测量值与基于所述第一模型得到的所述第二碳基MOS器件的表征参数预测值的相似度;所述第二碳基MOS器件与所述第一碳基MOS器件的制造工艺相同;
所述碳基器件模型输出单元,用于当所述相似度小于预设的相似阈值时,基于所述第二碳基MOS器件的测量参数对所述第一模型进行参数拟合,得到碳基器件模型;第三碳基MOS器件的表征参数测量值与基于所述碳基器件模型得到的所述第三碳基MOS器件的表征参数预测值的相似度大于或等于所述相似阈值,所述第三碳基MOS器件与所述第一碳基MOS器件的制造工艺相同;当所述相似度大于或等于所述相似阈值时,输出所述第一模型作为碳基器件模型。
8.根据权利要求6所述的装置,其特征在于,所述碳基工艺设计工具包建立模块,包括:碳基工艺图层建立单元,工艺设计工具包建立单元,流程验证单元以及碳基工艺设计工具包输出单元;
所述碳基工艺图层建立单元,用于基于所述碳基MOS器件对应的碳基工艺流程以及硅基MOS器件对应的硅基工艺流程,建立与所述硅基工艺流程对应的硅基工艺图层存在第一映射关系的碳基工艺图层;所述碳基工艺图层包括碳基工艺基础图层和碳基工艺辅助图层;
所述工艺设计工具包建立单元,用于基于所述第一映射关系以及所述碳基工艺图层,建立第一工艺设计工具包;
所述流程验证单元,用于基于所述第一工艺设计工具包,验证预设的碳基全定制集成电路设计流程;
所述碳基工艺设计工具包输出单元,用于当所述验证结果为所述碳基工艺图层中的至少一层图层无法在所述碳基全定制集成电路设计流程中执行时,重新执行建立与所述硅基工艺流程对应的硅基工艺图层存在第一映射关系的碳基工艺图层的步骤;当所述验证结果为所述碳基工艺图层中的全部图层均可以在所述碳基全定制集成电路设计流程中执行时,输出所述第一工艺设计工具包作为碳基工艺设计工具包。
9.根据权利要求6所述的装置,其特征在于,所述碳基标准单元库模型建立模块,包括:双重图层映射文件建立单元,双重库抽象版图库模型构建单元以及碳基标准单元库模型建立单元;
所述双重图层映射文件建立单元,用于基于硅基集成电路设计自动化系统中的硅基布局布线工具对应的硅基版图层以及所述碳基工艺流程,建立双重图层映射文件;所述双重图层映射文件包括符合所述碳基工艺流程的掩膜的第一图层映射文件以及携带所述碳基工艺流程对应的碳基版图层与所述硅基版图层的第二映射关系的第二图层映射文件;
所述双重库抽象版图库模型构建单元,用于基于所述双重图层映射文件,构建双重库抽象版图库模型;所述双重抽象版图库模型包括符合所述碳基工艺流程的掩膜的第一抽象版图库模型以及携带所述第二映射关系的第二抽象版图库模型;
所述碳基标准单元库模型建立单元,用于基于所述双重库抽象版图库模型以及所述碳基工艺流程,得到碳基标准单元库模型;所述碳基标准单元库模型可用于所述硅基布局布线工具。
10.一种碳基集成电路设计自动化平台,其特征在于,所述碳基集成电路设计自动化平台包括:碳基器件模型,碳基工艺设计工具包,碳基标准单元库模型,碳基集成电路设计流程包以及硅基集成电路设计自动化系统;
所述碳基集成电流设计流程包包括碳基集成电路全定制设计流程包和碳基集成电路数字设计流程包;所述碳基集成电路设计自动化平台基于权利要求1-5任一项所述的碳基集成电路设计自动化平台构建方法得到。
CN202310357732.5A 2023-04-04 2023-04-04 一种碳基集成电路设计自动化平台构建方法及相关装置 Pending CN116306451A (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202310357732.5A CN116306451A (zh) 2023-04-04 2023-04-04 一种碳基集成电路设计自动化平台构建方法及相关装置

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202310357732.5A CN116306451A (zh) 2023-04-04 2023-04-04 一种碳基集成电路设计自动化平台构建方法及相关装置

Publications (1)

Publication Number Publication Date
CN116306451A true CN116306451A (zh) 2023-06-23

Family

ID=86820527

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202310357732.5A Pending CN116306451A (zh) 2023-04-04 2023-04-04 一种碳基集成电路设计自动化平台构建方法及相关装置

Country Status (1)

Country Link
CN (1) CN116306451A (zh)

Similar Documents

Publication Publication Date Title
US11188699B2 (en) Placement and routing of cells using cell-level layout-dependent stress effects
US8578316B1 (en) Methodologies for automatic 3-D device structure synthesis from circuit layouts for device simulation
US10311200B2 (en) Pre-silicon design rule evaluation
TWI470461B (zh) 修改佈局檔的方法、資料處理系統、及其製造物品與積體電路
CN107533576A (zh) 针对使用电路模板的电路设计的提取的布局依赖效应的重用
US10740525B2 (en) Semiconductor device simulation
US8914760B2 (en) Electrical hotspot detection, analysis and correction
US10482212B2 (en) Automated resistance and capacitance extraction and netlist generation of logic cells
US11334705B2 (en) Electrical circuit design using cells with metal lines
US8601430B1 (en) Device matching tool and methods thereof
US8762921B2 (en) Apparatus and method for optimized power cell synthesizer
US8627247B1 (en) Systems and methods for fixing pin mismatch in layout migration
Fasching et al. Technology CAD systems
CN116306451A (zh) 一种碳基集成电路设计自动化平台构建方法及相关装置
US11966678B2 (en) Modelling timing behavior using augmented sensitivity data for physical parameters
US20060190863A1 (en) Method for improving accuracy of MOSFET models used in circuit simulation integrated circuits
US10706209B2 (en) Estimation of effective channel length for FinFETs and nano-wires
CN117751364A (zh) 用于电子设计自动化的方法和设备
US20160162625A1 (en) Mapping Intermediate Material Properties To Target Properties To Screen Materials
US11972191B2 (en) System and method for providing enhanced net pruning
CN107016146A (zh) 用于布局相关变动分析的系统
US8397183B2 (en) Generation of asymmetric circuit devices
KR20230038538A (ko) 상보형 전계 효과 트랜지스터(cfet)의 소스 또는 드레인 에피택셜 성장을 cfet의 매립된 전력 레일(bpr)에 접속하기 위한 랩-어라운드 콘택트의 형성
CN102142045A (zh) 集成电路设计的漏电流分布的模拟方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination