CN116018512A - 用于确定半导体测量的质量的方法及系统 - Google Patents

用于确定半导体测量的质量的方法及系统 Download PDF

Info

Publication number
CN116018512A
CN116018512A CN202180053903.4A CN202180053903A CN116018512A CN 116018512 A CN116018512 A CN 116018512A CN 202180053903 A CN202180053903 A CN 202180053903A CN 116018512 A CN116018512 A CN 116018512A
Authority
CN
China
Prior art keywords
features
measurement
values
interest
parameters
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202180053903.4A
Other languages
English (en)
Inventor
D·桑科
文瑉瑛
S·潘戴夫
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Tencor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Tencor Corp filed Critical KLA Tencor Corp
Publication of CN116018512A publication Critical patent/CN116018512A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B21/00Measuring arrangements or details thereof, where the measuring technique is not covered by the other groups of this subclass, unspecified or not relevant
    • G01B21/02Measuring arrangements or details thereof, where the measuring technique is not covered by the other groups of this subclass, unspecified or not relevant for measuring length, width, or thickness
    • G01B21/04Measuring arrangements or details thereof, where the measuring technique is not covered by the other groups of this subclass, unspecified or not relevant for measuring length, width, or thickness by measuring coordinates of points
    • G01B21/045Correction of measurements
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/24Measuring arrangements characterised by the use of optical techniques for measuring contours or curvatures
    • G01B11/25Measuring arrangements characterised by the use of optical techniques for measuring contours or curvatures by projecting a pattern, e.g. one or more lines, moiré fringes on the object
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B15/00Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons
    • G01B15/02Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons for measuring thickness
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B15/00Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons
    • G01B15/04Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons for measuring contours or curvatures
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/25Colour; Spectral properties, i.e. comparison of effect of material on the light at two or more different wavelengths or wavelength bands
    • G01N21/27Colour; Spectral properties, i.e. comparison of effect of material on the light at two or more different wavelengths or wavelength bands using photo-electric detection ; circuits for computing concentration
    • G01N21/274Calibration, base line adjustment, drift correction
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2210/00Aspects not specifically covered by any group under G01B, e.g. of wheel alignment, caliper-like sensors
    • G01B2210/56Measuring geometric parameters of semiconductor structures, e.g. profile, critical dimensions or trench depth
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8851Scan or image signal processing specially adapted therefor, e.g. for scan signal adjustment, for detecting different kinds of defects, for compensating for structures, markings, edges
    • G01N2021/8883Scan or image signal processing specially adapted therefor, e.g. for scan signal adjustment, for detecting different kinds of defects, for compensating for structures, markings, edges involving the calculation of gauges, generating models

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Electromagnetism (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Analytical Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Theoretical Computer Science (AREA)
  • Mathematical Physics (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Investigating Or Analysing Materials By Optical Means (AREA)

Abstract

本文中呈现用于估计指示半导体测量的一或多个性能特性的质量度量的值的方法及系统。将所述质量度量的所述值正规化以确保跨越宽广范围的测量方案的适用性。在一些实施例中,在测量推断期间确定每一测量样品的质量度量的值。在一些实施例中,采用经训练质量度量模型来确定缺陷分类的不确定性。在一些实施例中,采用经训练质量度量模型来确定例如几何、色散、过程及电参数等所估计所关注参数的不确定性。在一些实例中,质量度量被用作过滤器以检测测量离群值。在一些其它实例中,质量度量被用作触发器以调整半导体过程。

Description

用于确定半导体测量的质量的方法及系统
技术领域
所描述实施例涉及计量系统及方法,且更特定来说,涉及用于半导体结构的经改进测量的方法及系统。
背景技术
通常通过适用于样本的一序列处理步骤来制作例如逻辑及存储器装置的半导体装置。通过这些处理步骤来形成半导体装置的各种特征及多个结构层级。举例来说,其它处理步骤当中的光刻是一种涉及在半导体晶片上产生图案的半导体制作过程。半导体制作过程的额外实例包含但不限于化学机械抛光、蚀刻、沉积及离子植入。可在单个半导体晶片上制作多个半导体装置,并且然后将所述多个半导体装置分离成个别半导体装置。
在半导体制造过程期间,在各个步骤处使用计量工艺来检测晶片上的缺陷以促成较高合格率。光学计量技术及基于X射线的计量技术提供高吞吐量而不具有样品损毁的风险的可能性。通常使用包含散射测量、反射测量及椭圆偏光测量实施方案及相关联分析算法的若干种基于计量的技术来表征临界尺寸、膜厚度、组合物、叠对及纳米尺度结构的其它参数。
许多计量技术是测量被测量样本的物理性质的间接方法。在大多数情形中,原始测量信号无法用来直接确定样本的物理性质。而是,基于原始测量信号,采用测量模型来估计一或多个所关注参数的值。举例来说,椭圆偏光测量是测量被测量样本的物理性质的间接方法。一般来说,基于原始测量信号(例如,αmeas及βmeas),需要基于物理的测量模型或基于机器学习的测量模型来确定样本的物理性质。
在一些实例中,基于一或多个模型参数的所假定值,创建试图预测原始测量信号(例如,αmeas及βmeas)的基于物理的测量模型。如方程式(1)及(2)中所图解说明,测量模型包含与计量工具自身相关联的参数(例如,机器参数(Pmachine))及与被测量样本相关联的参数。当对所关注参数进行求解时,一些样本参数被视为固定值(Pspec-fixed)且其它所关注样本参数是浮动的(Pspec-float),即,基于原始测量信号进行解析。
amodel=f(Pmachine,Pspec-fixed,Pspec-float)           (1)
βmodel=g(Pmachine,Pspec-fixed,Pspec-float)    (2)
机器参数是用来表征计量工具(例如,椭圆偏光计101)的参数。示范性机器参数包含入射角度(AOI)、分析器角度(A0)、偏光器角度(P0)、照射波长、数值孔径(NA)、补偿器或波板(如果存在)等。样本参数是用来表征样本的参数(例如,表征被测量结构的材料及几何参数)。对于薄膜样本,示范性样本参数包含折射率、介电函数张量、所有层的标称层厚度、层序列等。对于CD样本,示范性样本参数包含与不同层相关联的几何参数值、与不同层相关联的折射率等。出于测量目的,机器参数及样本参数中的许多者被视为已知固定值参数。然而,样本参数中的一或多者的值被视为未知的所关注浮动参数。
在一些实例中,通过产生理论预测与实验数据之间的最佳拟合的迭代过程(例如,回归)来解析所关注浮动参数的值。未知的所关注浮动参数的值会发生变化,并且模型输出值(例如,αmodel及βmodel)经计算并以迭代方式与原始测量数据进行比较,直到确定导致模型输出值与以试验方式测量的值(例如,αmeas及βmeas)之间的充分接近匹配的一组样本参数值为止。在一些其它实例中,通过在经预运算解决方案库中进行搜索以找出最接近匹配来解析浮动参数。
在一些其它实例中,基于原始测量数据,采用基于经训练机器学习的测量模型来直接估计所关注参数的值。在这些实例中,基于机器学习的测量模型采取原始测量信号作为模型输入并产生所关注参数的值作为模型输出。
必须训练基于物理的测量模型及基于机器学习的测量模型两者,以产生针对特定测量应用的所关注参数的有用估计。一般来说,模型训练是基于从具有所关注参数的已知值的样本收集的原始测量信号(即,实验设计(DOE)数据)。
通过若干个权重参数将基于机器学习的测量模型参数化。传统上,通过回归过程(例如,普通最小二乘回归)来训练基于机器学习的测量模型。基于所测量原始测量信号,迭代地调整权重参数的值以最小化所关注参数的已知参考值与所关注参数的通过基于机器学习的测量模型估计的值之间的差。
如上文中所描述,通过若干个机器参数及样本参数将基于物理的测量模型参数化。传统上,也通过回归过程(例如,普通最小二乘回归)来训练基于物理的测量模型。迭代地调整机器参数及样本参数中的一或多者以最小化原始测量数据与模型化测量数据之间的差。对于每一迭代,使所关注特定样本参数的值维持在已知DOE值下。
基于测量数据,基于经训练机器学习的测量模型及基于物理的模型两者对所关注参数值进行估计。估计的准确度取决于测量数据。举例来说,在模型训练阶段期间观察到的测量数据的域之外的测量数据可由于外推法而导致大的预测误差。
传统上,已探索出数种技术来量化与每一测量位点相关联的输出不确定性。这些技术包含使用变分推断及马尔可夫链蒙特卡罗(Markov Chain Monte Carlo(MCMC))方法的贝叶斯(Bayesian)回归(例如,线性过程回归、高斯过程回归等)、作为贝叶斯神经网络的蒙特卡罗丢弃(Monte Carlo dropout)、自助重抽采样(bootstrap sampling)、来自不同超参数组合的输出差异、估计样品到训练集的中心的距离等。
不幸地,这些传统技术已遭遇有限的实际成功。前文所提及技术中的每一者表示未连接到经训练测量模型的系综平均值预测。系综平均值预测与经最佳训练的测量模型的预测不同。因此,系综平均值预测未能准确估计测量不确定性且未能直接测量出测量模型的质量。另外,贝叶斯回归及自助重抽采样是计算上昂贵的且实际上不适合于作为高容量半导体制作设施的一部分的实施方案。最终,未将前文所提及技术正规化。在未进行正规化的情况下,无法跨越不同测量变因或被测量结构来一致地解释结果。
归因于越来越小的分辨率需要、多参数相关性、越来越复杂的几何结构及不透明材料的越来越多的使用,未来计量应用针对计量提出挑战。因此,期望用于经改进测量质量评估的方法及系统。
发明内容
本文中呈现用于估计指示半导体测量的一或多个性能特性的质量度量的值的方法及系统。质量度量是依据基于实际测量数据、所模拟测量数据或者两者及参考测量数据训练的质量度量模型来确定的。一旦经训练,质量度量模型就在不使用参考计量的情况下使得能够基于在每一测量位点处从直排式晶片收集的测量数据而计算指示特定测量变因的测量质量的定量质量度量。将质量度量的值正规化以确保跨越宽广范围的测量方案的适用性。
在一些实施例中,在测量推断期间确定每一测量样品的质量度量的值。在一些实施例中,经训练测量模型是经训练以对来自测量数据的缺陷进行检测及分类的缺陷分类模型。
一般来说,质量度量可用于各种其它目的。具体来说,可采用质量度量来表征与所测量所关注参数、缺陷分类等相关联的不确定性。在一些其它实例中,质量度量被用作过滤器以检测测量离群值。在一些其它实例中,质量度量被用作触发器以调整半导体过程。类似地,在一些实例中,采用质量度量值来检测不在制造规范内的晶片。在这些实例中的一些实例中,采用质量度量值来检测测量工具偏差何时已漂离规范。在其它实例中,采用质量度量值来检测测量误差偏差何时已漂离规范。
在一个方面中,通过训练数据重构模型的参数来训练质量度量模型。数据重构模型基于测量数据集的其它特征来重构测量数据集的每一特征并基于测量数据集来重构通过经训练测量模型估计的一或多个所关注参数的值。
在另一方面中,基于所述量的测量数据,采用经训练质量度量模型来确定与特定测量相关联的质量度量的值。
在又一方面中,将所述原始质量度量值正规化以放宽所述质量度量值对多种变因、工具、层、使用情形等的所述适用性。以这一方式,所述经正规化质量度量是宽泛范围的测量方案的测量性能指标。
在另一方面中,从晶片上位于紧密接近处的多个目标,对用来训练并使用如本文中所描述的质量度量模型的训练数据及测量数据进行模拟,从实际测量收集所述训练数据及测量数据,或者进行两者。在一些实施例中,如本文中所描述的被测量的测量目标可以是实际装置结构而非专用计量目标。
在另一方面中,通过多个不同计量系统,从一或多个目标收集用来训练并使用如本文中所描述的质量度量模型的训练数据及测量数据。
在一些实施例中,用来收集测量数据以估计所关注参数的计量系统与用来收集测量数据以估计质量度量的值的计量系统不同。
在再一方面中,测量多个不同所关注参数,并且评估每一不同所关注参数的对应质量度量。在一些实施例中,采用与多个不同所关注参数相关联的质量度量来更清楚地识别与特定测量变因相关联的测量不确定性、更清楚地识别何时需要进行过程参数的改变,或者两者。
在另一方面中,采用与紧密接近于测量目标的结构相关联的所关注参数的值、对应质量度量值或者两者来更清楚地识别何时需要进行过程参数的改变。
在再一方面中,本文中所描述的测量结果可用来提供对过程工具(例如,光刻工具、蚀刻工具、沉积工具等)的主动反馈。
前述内容是发明内容且因此必然含有细节的简化、概述及省略;因此,所属领域的技术人员将了解,发明内容仅是说明性的且不以任何方式为限制性的。在本文中所陈述的非限制性具体实施方式中,本文中所描述的装置及/或过程的其它方面、发明性特征及优点将变得显而易见。
附图说明
图1描绘根据本文中所呈现的示范性方法的用于监测对晶片执行的测量的测量质量的晶片计量系统100的图解说明。
图2是图解说明一个实施例中示范性测量质量模型训练引擎150的图式。
图3是图解说明另一实施例中示范性测量质量引擎160的图式。
图4A-4F是图解说明通过测量模型确定的所关注参数的值的绝对误差与同每一测量相关联的对应质量度量值之间的相关性的曲线图。
图5是指示针对若干个晶片中的每一者上的若干个测量位点计算的质量度量值的分布的曲线图。
图6图解说明用于估计指示半导体测量的一或多个性能特性的质量度量的值的方法300的流程图。
具体实施方式
现将详细参考背景技术实例及本发明的一些实施例,在附图中图解说明本发明的实例。
本文中呈现用于估计指示半导体测量的一或多个性能特性的质量度量的值的方法及系统。质量度量是依据基于实际测量数据、所模拟测量数据或者两者及参考测量数据而训练的质量度量模型来确定的。一旦经训练,质量度量模型就在不使用参考计量的情况下使得能够基于在每一测量位点处从直排式晶片收集的测量数据来计算指示特定测量变因的测量质量的定量质量度量。将质量度量的值正规化以确保跨越宽广范围的测量方案的适用性。
一般来说,质量度量的值指示测量不确定性、测量数据与训练数据相比的异常度、使用经训练测量模型来估计的所关注参数的值的置信度、在晶片级或晶片批次级下调整过程变量的需要等。
在一些实施例中,在测量推断期间确定每一测量样品的质量度量的值。在这些实施例中的一些实施例中,半导体测量涉及使用经训练测量模型来推断来自测量数据的一或多个所关注参数的值。在这些实施例中的一些实施例中,经训练测量模型是基于物理的。在一些其它实施例中,经训练测量模型是基于机器学习的测量模型(例如,线性模型、神经网络模型、卷积网络模型等)。如果质量度量的所估计值指示测量质量是不充足的,那么就对测量进行标记。在一些实例中,不充分测量质量的重复例子指示测量模型是过期的并需要进行重新训练。
在一些实施例中,经训练测量模型是经训练以对来自测量数据的缺陷进行检测及分类的缺陷分类模型。在这些实施例中,采用经训练质量度量模型来确定缺陷分类的不确定性。在这些实施例中的一些实施例中,测量数据是图像数据,并且采用经训练质量度量模型来确定来自图像数据的缺陷检测的不确定性。
在一些实施例中,经训练测量模型是经训练以估计以下各项的值的计量模型:所关注几何参数(例如,临界尺寸、叠对等)、所关注色散参数(例如,折射率等)、所关注过程参数(例如,光刻聚焦、剂量等)、所关注电性质(例如,带隙等)或其任一组合。在这些实施例中,采用经训练质量度量模型来确定所估计所关注参数的不确定性。
具体来说,可采用质量度量来表征与所测量所关注参数、缺陷分类等相关联的不确定性。然而,一般来说,质量度量可用于各种其它目的。在一些实例中,质量度量被用作过滤器以检测测量离群值。在一个实例中,将与特定测量相关联的质量度量的值与预定阈值进行比较。如果质量度量值超出预定阈值,那么就将测量视为离群值。在一些实例中,质量度量被用作触发器以调整半导体过程。举例来说,如果各自与相同测量的不同例子相关联的若干个质量度量的平均值超出所预定阈值,那么就调整过程以将质量度量的平均值带回到所期望范围中。
类似地,在一些实例中,采用质量度量值来检测不在制造规范内的晶片。在这些实例中的一些实例中,采用质量度量值来检测测量工具偏差何时已漂离规范。在其它实例中,采用质量度量值来检测测量误差偏差何时已漂离规范。
图1图解说明根据本文中所呈现的示范性方法的用于测量样本的特性及评估与每一测量相关联的质量度量的系统100。如图1中所展示,系统100可用来执行结构101的光谱椭圆偏光测量。在这一方面中,系统100可包含配备有照射器102及光谱仪104的光谱椭圆偏光计。系统100的照射器102经配置以产生所选择波长范围(例如,100-2500nm)的照射并将所述照射引导到安置在其上制作有结构101的样本的表面上的结构。继而,光谱仪104经配置以接收从结构101反射的照射。应进一步注意,使用偏光状态产生器107对从照射器102射出的光进行偏光以产生经偏光照射光束106。将由结构101反射的辐射传送穿过偏光状态分析器109并到达光谱仪104。关于偏光状态对收集光束108中由光谱仪104接收的辐射进行分析,从而允许光谱仪对通过分析器的辐射进行光谱分析。将这些光谱111传送到运算系统130以便分析如本文中所描述的结构。
如图1中所描绘,系统100包含单种测量技术(即,SE)。然而,一般来说,系统100可包含任何数目的不同测量技术。通过非限制性实例的方式,系统100可被配置为光谱椭圆偏光计(包含米勒矩阵椭圆偏光术)、光谱反射计、光谱散射计、叠对散射计、经角度解析的光束轮廓反射计、经偏光解析的光束轮廓反射计、光束轮廓反射计、光束轮廓椭圆偏光计、任何单波长或多波长椭圆偏光计,或者其任一组合。此外,一般来说,可从多个工具、集成多种技术的单个工具或其一组合收集通过不同测量技术收集且根据本文中所描述的方法分析的测量数据。
在又一实施例中,系统100可包含用来根据本文中所描述的方法对结构的执行测量并确定质量度量值的一或多个运算系统130。一或多个运算系统130可通信地耦合到光谱仪104。在一个方面中,一或多个运算系统130经配置以接收与被测量结构(例如,结构101)的测量相关联的测量数据111。
在一个方面中,运算系统130被配置为测量质量模型训练引擎以训练与被测量结构的测量相关联的质量度量模型。图2是图解说明一个实施例中示范性测量质量模型训练引擎150的图式。如图2中所描绘,测量质量模型训练引擎150包含任选数据变换模块151、经训练测量模型模块153及数据重构模型训练模块155。
基于一定量的训练数据及参考数据来训练质量度量模型。训练数据包含实际测量数据、所模拟测量数据或者两者。在一些实施例中,训练数据包含来自通过特定测量工具对特定目标的测量的模拟的所模拟测量数据。在一些实施例中,训练数据包含来自通过特定测量工具对特定目标的测量的实际测量数据。在这些实施例中的一些实施例中,测量数据包含对标称晶片上的目标的实际测量。在这些实施例中的一些实施例中,测量数据包含对具有所关注参数的已知经编程值的实验设计(DOE)晶片上的目标的实际测量。
可对各种测量目标中的任一者的训练数据进行模拟、收集,或者进行两者。在一些实施例中,测量目标是测量准确度、测量精度跟踪、工具-工具匹配、晶片-晶片差异等。
如前文中所描述,需要训练数据来训练测量模型。用来训练质量度量模型的训练数据可以是用来训练对应测量模型的相同训练数据集、用来训练对应测量模型的训练数据集的子集或与用来训练对应测量模型的训练数据集不同的数据集。在优选实施例中,用来训练质量度量模型的训练数据是相同数据集或用来训练对应测量模型的数据集的子集。
用来训练质量度量模型的参考数据包含与测量相关联的一或多个所关注参数的受信任值。在一些实施例中,从参考计量系统(即,受信任以提供所关注参数的适合测量的计量系统)获得参考数据。在一些实施例中,测量系统的用户基于经验提供参考数据。在一些实施例中,将参考数据提供为与所测量DOE晶片相关联的所关注参数的已知经编程值。在一些实施例中,通过多种不同测量技术从所关注参数的测量的统计分析导出参考数据。在一些实施例中,从已知物理约束导出参考数据。
一般来说,参考数据以例如精度、准确度(例如,偏差)、跟踪(例如,与参考的相关性)、工具-工具匹配、晶片内差异、晶片平均值、晶片图征、晶片-晶片差异等的各种术语表达一或多个所关注参数的值。
在一些实施例中,训练数据集的特征被直接用作输入数据以训练质量度量模型。然而,在一些其它实施例中,将训练数据集变换为经缩减维度,并且经缩减训练数据集的特征被直接用来训练质量度量模型。在许多实际情况中,优选的是,缩减训练数据集的维度以减小运算负担。在一个实例中,用作训练数据的一组所测量光谱可包含数千个特征(例如,15,000个所测量信号)。然而,使用数据缩减,训练数据集的维度可通过一或多个数量级(例如,200个信号)来缩减。
在一些实施例中,通过主分量分析来实现数据特征维度缩减,其中将所测量数据集解析成小得多的主分量集。一般来说,可采用例如傅里叶分析、小波分析、离散余弦变换分析等任何适合数据缩减技术。
如图2中所描绘,数据变换模块151接收训练数据集157。在一个实例中,数据变换模块151将训练数据集157的特征变换为具有如方程式(1)中所描绘的n个分量的主分量集152,其中PCT是传递到经训练测量模型模块153及数据重构模型训练模块155的训练数据集152的主分量的矢量。
Figure BDA0004102874590000081
在一个方面中,通过训练数据重构模型的参数来训练质量度量模型。数据重构模型基于测量数据集的其它特征来重构测量数据集的每一特征并基于测量数据集来重构通过经训练测量模型估计的一或多个所关注参数的值。
在一个实例中,在方程式(2)中说明数据重构模型,其中,PCi是测量数据集的第i个特征,PCi *是PCi的经重构值,y是所测量所关注参数,gi是与测量数据集的第i个特征相关联的重构函数,并且Pi是与第i个重构函数相关联的重构模型常数。
Figure BDA0004102874590000082
在方程式(2)中说明的实例中,测量数据集的每一特征是主分量。一般来说,重构函数g可以是任何适合参数化模型,例如,线性模型、神经网络模型等。在方程式(2)中说明的实例中,每一主分量重构是高达4阶多项式基的所测量所关注参数、测量数据集的除经重构的主分量之外的主分量及每一重构函数g的常数参数的函数。
基于训练数据集(具有或不具有数据缩减)以及所关注参数的值y来训练数据重构模型,所关注参数的值y是从参考测量知晓或使用经训练测量模型、依据训练数据集来计算的。训练导致经调谐组模型参数,例如,Pi。如图2中所描绘,将经训练数据重构模型156存储在存储器132中。
如图2中所描绘,将主分量集152传递到经训练测量模型模块153。经训练测量模型模块153使用经训练测量模型、基于主分量集152来确定一或多个所关注参数的值。将所关注参数154的所计算值传递到数据重构模型训练模块155。在这一实例中,使用经训练测量模型、依据训练数据集来计算所关注参数的值y。在替代实例中,将所关注参数154的值直接传递到数据重构模型训练模块155。
在一些实施例中,通过最小化训练数据集的每一特征与每一对应经重构特征之间的差的和来训练数据重构模型;通常表达为最小平方最小化。通过非限制性实例的方式,方程式(3)说明数据重构模型训练。
Figure BDA0004102874590000091
在一些其它实施例中,基于训练数据相关联的特定域知识来训练数据重构模型。将域知识被表达为一或多个概率分布,继而采用所述概率分布将训练期间所采用的优化过程规则化。以这一方式,通过基于物理的概率分布的一或多个表达式将优化过程物理地规则化。通过非限制性实例的方式,采用与测量精度、工具-工具匹配、跟踪、晶片内差异等相关联的概率分布来将优化过程物理地规则化。以这一方式,以驱动数据重构模型的优化的目标函数直接表达从经验、测量数据及物理性质获取的域知识。作为结果,域知识在质量度量模型开发过程中得以充分利用。
在另一方面中,基于所述量的测量数据,采用经训练质量度量模型来确定与特定测量相关联的质量度量的值。
测量数据包含实际测量数据、所模拟测量数据或者两者。在一些实施例中,测量数据包含对来自一或多个测量目标的测量的模拟的所模拟测量数据,所述一或多个测量目标与用作训练数据集的一部分的测量目标在结构上有所不同。
在一些实施例中,测量数据包含来自通过特定测量工具对特定目标的测量的实际测量数据。通常,测量数据包含对标称晶片上的目标的实际测量。在一些实施例中,从多个晶片收集测量数据以估计晶片-晶片差异。在一些实施例中,从DOE晶片收集测量数据以估计测量准确度、精度跟踪或者两者。在一些实施例中,通过多个测量系统收集测量数据以估计工具-工具匹配。
在一些实施例中,测量数据集的特征被直接用作到经训练质量度量模型的输入数据。然而,在一些其它实施例中,将测量数据集变换为经缩减维度,并且经缩减测量数据集的特征被直接用作到经训练质量度量模型的输入数据。在许多实际情况中,优选地,缩减测量数据集的维度以减小计算负担。一般来说,也采用用来缩减训练数据集的相同数据特征维度缩减技术来缩减测量数据集。
在一些实施例中,通过主分量分析来实现数据特征维度缩减,其中将所测量数据集解析成小得多的主分量集。一般来说,可采用例如傅里叶分析、小波分析、离散余弦变换分析等任何适合数据缩减技术。
如图3中所描绘,数据变换模块161接收测量数据集173。在一个实例中,数据变换模块161将训练数据集173的特征变换为具有如方程式(4)中所描绘的n个分量的主分量集162,其中PC是传递到经训练测量模型模块163及经训练数据重构模块165的测量数据集162的主分量的矢量。
PC=[PC1,PC2,...,PCn]  (4)
如图3中所描绘,将主分量集162传递到经训练测量模型模块163。经训练测量模型模块163使用经训练测量模型、基于主分量集162来确定一或多个所关注参数的值。将所关注参数164的所计算值传递到经训练数据重构模块165。示范性所关注参数包含几何参数、色散参数、过程参数或表征所测量结构的电参数。
另一选择是,测量数据集173通过经训练测量模型进行处理以估计一或多个所关注参数的表征所测量结构的值,例如,y。
数据重构模型基于测量数据集的其它特征并通过经训练测量模型基于测量数据集估计的一或多个所关注参数的值来重构测量数据集(或经缩减测量数据集)的每一特征。在一个实例中,在方程式(2)中说明数据重构模型。在这一实例中,PCi测量数据集的第i个特征,PCi *是PCi的经重构值,y是所测量所关注参数,gi是与测量数据集的第i个特征相关联的重构函数,并且Pi是与第i个重构函数相关联的重构模型常数的矢量。
如图3中所描绘,主分量集162以及所关注参数164的所计算值被传递到经训练数据重构模块165。重构函数及重构模型常数是已知的。通过非限制性实例的方式,经训练数据重构模块165使用如方程式(2)中所说明的经训练数据重构模型来确定经重构测量数据集(例如,经重构主分量166)的值。
一般来说,如果经评估的测量数据接近训练空间,那么每一数据特征(例如,PCi)就将类似于其对应经重构数据特征(例如,PCi *)。
如图3中所描绘,经训练测量模型模块167使用经训练测量模型来接收经重构测量数据集166并估计表征所测量结构的一或多个所关注参数168的值,例如,y*
如图3中所描绘,质量度量模块169接收基于经重构测量数据集确定的一或多个所关注参数168及基于测量数据集确定的一或多个所关注参数164的值。将原始质量度量值170确定为所关注参数的所测量值(例如,y)与使用经重构测量数据估计的所关注参数的值(例如,y*)之间的绝对差,如由方程式(5)所说明,其中QMraw是原始质量度量的值。所述差指示使用测量模型估计的所关注参数的值的不确定性。
QMraw=|y-y*|  (5)
如图3中所描绘,正规化模块171将原始质量度量值170正规化以产生存储在存储器132中的经正规化质量度量值172。原始质量度量值的尺度取决于层、工具、测量变因、使用情形等而发生变化。在又一方面中,将原始质量度量值正规化以放宽质量度量值对多个变因、工具、层、使用情形等的适用性。以这一方式,经正规化质量度量是宽泛范围的测量方案的测量性能指标。
在一些实例中,经正规化质量度量值被定界为从0到1。以这一方式,经正规化质量度量值经标准化且是已知的。较小值指示高置信度测量,且反之亦然。
在一些实施例中,以迭代方式实现原始质量度量值的正规化。第一,基于原始质量度量值及正规化因子的初始值α0来确定经正规化质量度量值。通过非限制性实例的方式,方程式(6)说明原始质量度量值的正规化的第一迭代,其中QMnorm是经正规化质量度量值,QMraw是原始质量度量值,α0是正规化因子的初始值α,并且QMcritical是原始质量度量值QMraw的值分布的95%分位数值。如方程式(6)中所说明,QMnorm的值由值0及1定界。
Figure BDA0004102874590000111
第二,基于经正规化质量度量值的分布及正规化因子的初始值α0来更新正规化因子α。
通过非限制性实例的方式,方程式(7)说明正规化因子的值的更新,其中α是正规化因子的经更新值,α0是正规化因子α的初始值,阈值是恒定值(例如0.8),且QMnorm-98%是经正规化质量度量值QMnorm的值分布的98%分位数值。
Figure BDA0004102874590000121
第三,基于原始质量度量值及正规化因子α的经更新值来确定经正规化质量度量值。通过非限制性实例的方式,方程式(8)说明原始质量度量值的正规化的第二迭代,其中QMnorm是经正规化质量度量值,QMraw是原始质量度量值,α是正规化因子的经更新值,并且QMcritical是原始质量度量值QMraw的值分布的95%分位数值。如方程式(8)中所说明,QMnorm的值由值0及1定界。
Figure BDA0004102874590000122
图4A-4F是图解说明通过测量模型确定的所关注参数的值的绝对误差与同每一测量相关联的对应质量度量值之间的相关性的曲线图。
在这些实例中,依据每一所模拟测量光谱,将所关注参数的所测量值的绝对误差Errorabs确定为所关注参数的已知参考值yref与所关注参数的通过测量模型估计的值y之间的绝对差。
Errorabs=|y-yref|  (9)
将与每一测量相关联的原始质量度量值QMraw确定为所关注参数的所测量值(例如,y)与所关注参数的使用经重构测量数据估计的值(例如,y*)之间的绝对差,如由方程式(10)所说明。
QMraw=|y-y*|  (10)
图4A-4F中的每一者图解说明针对不同聚焦值、不同过程条件及不同测量条件的绝对误差与对应质量度量值之间的相关性。
图4A图解说明曲线图210,曲线图210图解说明针对一百个不同测量的绝对误差与对应质量度量值之间的相关性,所述一百个不同测量包含5%的下层厚度的变化范围及用来训练测量模型及质量度量模型的训练数据集内的聚焦值范围。如图4A中所图解说明,曲线211图解说明绝对误差,并且曲线212图解说明对应质量度量值。如图4A中所图解说明,绝对误差相当低,针对所有测试条件,质量度量的对应值也相当低。基于与图4A中所描绘的测量类似的训练数据来训练基于机器学习的测量模型及质量度量模型两者,因此绝对误差的小的值及对应质量度量值图解说明本文中所描述的质量度量方法在训练数据的域内是有效的。
图4B图解说明曲线图220,曲线图220图解说明针对一百个不同测量的绝对误差与对应质量度量值之间的相关性,所述一百个不同测量包含10%的下层厚度的变化范围及在用来训练测量模型及质量度量模型的训练数据集以内及以外的聚焦值范围。如图4B中所图解说明,曲线221图解说明绝对误差,并且曲线222图解说明对应质量度量值。如图4B中所图解说明,绝对误差取决于测试条件以及质量度量的对应值而发生变化。另外,针对所有测试条件,质量度量值与绝对误差良好相关。
图4C图解说明曲线图230,曲线图230图解说明针对一百个不同测量的绝对误差与对应质量度量值之间的相关性,所述一百个不同测量包含5%的下层厚度的变化范围、一个层达10%的平均移位及在用来训练测量模型及质量度量模型的训练数据集以内及以外的聚焦值范围。如图4C中所图解说明,曲线231图解说明绝对误差,并且曲线232图解说明对应质量度量值。如图4C中所图解说明,绝对误差取决于测试条件以及质量度量的对应值而发生变化。而且,针对所有测试条件,质量度量值与绝对误差良好相关。
图4D图解说明曲线图240,曲线图240图解说明针对一百个不同测量的绝对误差与对应质量度量值之间的相关性,所述一百个不同测量包含5%的下层厚度的变化范围及在用来训练测量模型及质量度量模型的训练数据集以内及以外的聚焦值范围。如图4D中所图解说明,曲线241图解说明绝对误差,并且曲线242图解说明对应质量度量值。如图4D中所图解说明,绝对误差取决于测试条件以及质量度量的对应值而发生变化。而且,针对所有测试条件,质量度量值与绝对误差良好相关。
图4E图解说明曲线图250,曲线图250图解说明针对一百个不同测量的绝对误差与对应质量度量值之间的相关性,所述一百个不同测量包含5%的下层厚度的变化范围、0.1度的入射角移位及在用来训练测量模型及质量度量模型的训练数据集以内及以外的聚焦值范围。如图4E中所图解说明,曲线251图解说明绝对误差,并且曲线252图解说明对应质量度量值。如图4E中所图解说明,绝对误差取决于测试条件以及质量度量的对应值而发生变化。而且,针对所有测试条件,质量度量值与绝对误差良好相关。
图4F图解说明曲线图260,曲线图260图解说明针对一百个不同测量的绝对误差与对应质量度量值之间的相关性,所述一百个不同测量包含5%的下层厚度的变化范围、测量系统噪声的三倍增加及在用来训练测量模型及质量度量模型的训练数据集以内或以外的聚焦值范围。如图4F中所图解说明,曲线261图解说明绝对误差,并且曲线262图解说明对应质量度量值。如图4F中所图解说明,绝对误差取决于测试条件以及质量度量的对应值而发生变化。而且,针对所有测试条件,质量度量值与绝对误差良好相关。
基于具有小于图4B-4F中所描绘的测量的域的训练数据来训练基于机器学习的测量模型及质量度量模型两者。无论如何,绝对误差值与对应质量度量值良好相关。这图解说明本文中所描述的质量度量方法在训练数据的域之外是有效的。因此,本文中所描述的质量度量方法可用来检测所关注参数的所测量值的不确定性。
图5是指示针对若干个晶片中的每一者上的若干个测量位点计算的质量度量值的分布的曲线图270。如图5中所描绘,每一垂直条表示针对每一特定晶片上的大数目的测量位点计算的质量度量值的分布的3σ值。如图5中所描绘,每一分布的3σ值是相当小的,指示相对紧密的分布。作为结果,具有相对大的值质量度量(例如,大于0.8)的任一测量有可能是离群值。
在另一方面中,从晶片上位于紧密接近处的多个目标,对用来训练并使用如本文中所描述的质量度量模型的训练数据及测量数据进行模拟、收集,或者进行两者。
在一些实施例中,如本文中所描述的被测量的测量目标可以是实际装置结构而非专用计量目标。
在另一方面中,通过多个不同计量系统从一或多个目标收集用来训练并使用如本文中所描述的质量度量模型的训练数据及测量数据。在一个实例中,可通过光谱椭圆偏光计来收集来自第一目标的测量数据,可通过小角度的x射线散射测量(SAXS)系统来收集来自相同目标或不同目标的测量数据,并且可通过基于成像的计量系统来收集来自相同目标或不同目标的测量数据。
在一些实施例中,用来收集测量数据以估计所关注参数的计量系统与用来收集测量数据以估计质量度量的值的计量系统不同。
在另一方面中,测量多个不同所关注参数,并且评估每一不同所关注参数的对应质量度量。在一些实施例中,采用与多个不同所关注参数相关联的质量度量来更清楚地识别与特定测量变因相关联的测量不确定性、更清楚地识别何时需要进行过程参数的改变,或者进行两者。
在再一方面中,采用与紧密接近于测量目标的结构相关联的所关注参数的值、对应质量度量值或者两者来更清楚地识别何时需要进行过程参数的改变。
一般来说,可从任何适合半导体测量系统收集用来训练并使用如本文中所描述的质量度量模型的训练数据及测量数据。通过非限制性实例的方式,适合系统包含光谱椭圆偏光计、光谱反射计、基于软x射线的计量系统、小角度的x射线散射测量系统、成像系统、高光谱成像系统等。
在再一方面中,本文中所描述的测量结果可用来提供对过程工具(例如,光刻工具、蚀刻工具、沉积工具等)的主动反馈。举例来说,可将基于本文中所描述的测量方法确定的所测量参数的值传递到蚀刻工具以调整蚀刻时间从而实现所期望蚀刻深度。以类似方式,蚀刻参数(例如,蚀刻时间、扩散率等)或沉积参数(例如,时间、浓度等)可包含于测量模型中以分别提供对蚀刻工具或沉积工具的主动反馈。在一些实例中,可将基于所测量装置参数值确定的对过程参数的校正传递给过程工具。在一个实施例中,运算系统130确定一或多个所关注参数的值。另外,运算系统130基于一或多个所关注参数的所确定值将控制命令传递给过程控制器。控制命令致使过程控制器改变过程的状态(例如,停止蚀刻过程、改变扩散率等)。在一个实例中,控制命令致使过程控制器调整光刻系统的聚焦、光刻系统的剂量,或者进行两者。在另一实例中,控制命令138致使过程控制器改变蚀刻速率以改进CD参数的所测量晶片均匀性。
在一些实例中,将测量模型实施为可从美国加利福尼亚州苗必达市(Milpitas,California,USA)KLA-Tencor公司购得的
Figure BDA0004102874590000151
光学临界尺寸计量系统的元件。以这一方式,模型经创建且准备好供在由系统收集光谱之后立即使用。
在一些其它实例中,测量模型是(举例来说)由实施从美国加利福尼亚州苗必达市KLA-Tencor公司购得的
Figure BDA0004102874590000152
软件的运算系统离线实施。所得经训练模型可并入为可由执行测量的计量系统存取的
Figure BDA0004102874590000153
库的元件。
图6图解说明至少一个新颖方面中用于估计指示半导体测量的一或多个性能特性的质量度量的值的方法300。方法300适合于由例如本发明的图1中所图解说明的计量系统100的计量系统实施。在一个方面中,应认识到,可经由经预编程算法执行方法300的数据处理块,所述经预编程算法由运算系统130的一或多个处理器或者任何其它一般用途运算系统执行。本文中应认识到,计量系统100的特定结构方面不表示限制且仅应解释为说明性的。
在框301中,将一定量的照射辐射引导到半导体晶片的表面上的第一测量位点。
在框302中,对响应于所述量的照射辐射而从第一测量位点收集的一定量的辐射进行检测。
在框303中,产生表征来自第一测量位点的所检测量的辐射的第一多个特征。
在框304中,对一或多个所关注参数的值进行估计。一或多个参数基于经训练测量模型、依据所检测量的辐射的第一多个特征的值来表征测量位点。
在框305中,确定第一多个特征中的每一者的经重构值。第一多个特征中的特征的经重构值是基于经训练数据重构模型及第一多个特征中的每一者的除特征的值之外的值、依据一或多个所关注参数来确定的。
在框306中,基于经训练测量模型,依据多个经重构特征的值来估计表征测量位点的一或多个所关注参数的经重构值。
在框307中,质量度量的值是基于一或多个所关注参数的依据第一多个特征的值确定的值与一或多个所关注参数的依据第一多个特征的经重构值确定的值之间的差来确定的。
在又一实施例中,系统100包含一或多个运算系统130,运算系统130用来基于根据本文中所描述的方法收集的光谱测量数据来执行半导体结构的测量。一或多个运算系统130可通信地耦合到一或多个光谱仪、主动光学元件、过程控制器等。在一个方面中,一或多个运算系统130经配置以接收与晶片104的结构的光谱测量相关联的测量数据。
应认识到,本发明通篇所描述的一或多个步骤可由单计算机系统130或(另一选择是)多计算机系统130执行。此外,系统100的不同子系统可包含适合于执行本文中所描述的步骤的至少一部分的计算机系统。因此,前文所提及的说明不应解释为对本发明的限制而仅为图解说明。
另外,计算机系统130可以所属领域中已知的任何方式通信地耦合到光谱仪。举例来说,一或多个运算系统130可耦合到与光谱仪相关联的运算系统。在另一实例中,光谱仪可由耦合到计算机系统130的单计算机系统直接控制。
系统100的计算机系统130可经配置以通过可包含有线及/或无线部分的传输媒体从系统的子系统(例如,光谱仪等等)接收及/或获取数据或信息。以这一方式,传输媒体可用作计算机系统130与系统100的其它子系统之间的数据链路。
系统100的计算机系统130可经配置以通过可包含有线及/或无线部分的传输媒体从其它系统接收及/或获取数据或信息(例如,测量结果、模型化输入、模型化结果、参考测量结果等)。以这一方式,传输媒体可用作计算机系统130与其它系统(例如,存储器板上系统100、外部存储器或其它外部系统)之间的数据链路。举例来说,运算系统130可经配置以经由数据链路从存储媒体(即,存储器132或外部存储器)接收测量数据。举例来说,可将使用本文中所描述的光谱仪获得的光谱结果存储在永久性或半永久性存储器装置(例如,存储器132或外部存储器)中。就这一点来说,光谱结果可从板上存储器或从外部存储器系统传入。此外,计算机系统130可经由传输媒体将数据发送到其它系统。举例来说,由计算机系统130确定的测量模型或所估计参数值可经传递并存储在外部存储器中。就这一点来说,可将测量结果传出到另一系统。
运算系统130可包含但不限于个人计算机系统、大型计算机系统、工作站、图像计算机、并行处理器或所属领域中已知的任何其它装置。一般来说,术语“运算系统”可宽广地定义为涵盖具有执行来自存储器媒体的指令的一或多个处理器的任何装置。
实施例如本文中所描述的那些方法的方法的程序指令134可经由传输媒体(例如导线、电缆或无线传输链路)传输。举例来说,如图1中所图解说明,存储在存储器132中的程序指令134经由总线133传输到处理器131。将程序指令134存储在计算机可读媒体(例如,存储器132)中。示范性计算机可读媒体包含只读存储器、随机存取存储器、磁盘或光盘或者磁带。
如本文中所描述,术语“临界尺寸”包含结构的任何临界尺寸(例如,底部临界尺寸、中间临界尺寸、顶部临界尺寸、侧壁角度、光栅高度等)、任何两个或更多个结构之间的临界尺寸(例如,两个结构之间的距离)以及两个或更多个结构之间的位移(例如,覆叠光栅结构之间的叠对位移等)。结构可包含三维结构、经图案化结构、叠对结构等。
如本文中所描述,术语“临界尺寸应用”或“临界尺寸测量应用”包含任何临界尺寸测量。
如本文中所描述,术语“计量系统”包含至少部分地用来在任何方面(包含测量应用,例如临界尺寸计量、叠对计量、聚焦/剂量计量及组合物计量)中表征样本的任何系统。然而,这些术语并不限制如本文中所描述的术语“计量系统”的范围。另外,系统100可经配置以用于测量经图案化晶片及/或未经图案化晶片。计量系统可配置为一LED检验工具、边缘检验工具、背面检验工具、宏观检验工具或多模式检验工具(涉及同时来自一或多个平台的数据)以及从基于临界尺寸数据来校准系统参数获益的任何其它计量或检验工具。
本文中描述可用于在任一半导体处理工具内测量样本的半导体测量系统(例如,检验系统或光刻系统)的各种实施例。术语“样本”在本文中用来指代晶片、光罩或可通过所属领域中已知的手段处理(例如,印刷或检验缺陷)的任何其它样品。
如本文中所使用,术语“晶片”一般来说指代由半导体或非半导体材料形成的衬底。实例包含但不限于单晶硅、砷化镓及磷化铟。此类衬底通常可发现于及/或处理于半导体制作设施中。在一些情形中,晶片可仅包含衬底(即,裸晶片)。另一选择是,晶片可包含在衬底上形成的一个或多个不同材料层。在晶片上形成的一或多个层可以是“经图案化的”或“未经图案化的”。举例来说,晶片可包含具有可重复图案特征的多个裸片。
“光罩”可以是在光罩制作过程的任何阶段的光罩或者是可被释放或不可被释放以供在半导体制作设施中使用的已完成光罩。一般来说,光罩或“掩模”被定义为其上形成有且配置成图案的大致不透明区域的大致透明衬底。衬底可包含(举例来说)例如非晶SiO2的玻璃材料。光罩可在光刻过程的曝光步骤期间沉积在光致抗蚀剂覆盖的晶片上面,使得可将光罩上的图案转印到光致抗蚀剂。
晶片上形成的一或多个层可以是经图案化的或未经图案化的。举例来说,晶片可包含各自具有可重复图案特征的多个裸片。此类材料层的形成及处理最终可产生已完成装置。可在晶片上形成许多不同类型的装置,并且如本文中所使用的术语晶片旨在涵盖上面制作有所属领域中已知的任何类型的装置的晶片。
在一或多个示范性实施例中,所描述的功能可以硬件、软件、固件或其任一组合实施。如果以软件实施,那么所述功能可作为一或多个指令或代码存储在计算机可读媒体上或者经由计算机可读媒体传输。计算机可读媒体包含计算机存储媒体及通信媒体两者,通信媒体包含促进将计算机程序从一个地方传送到另一地方的任何媒体。存储媒体可以是可由一般用途或特殊用途计算机存取的任何可用媒体。通过实例而非限制的方式,此种计算机可读媒体可包括:RAM、ROM、EEPROM、CD-ROM或其它光盘存储装置、磁盘存储装置或其它磁性存储装置或者可用于以指令或数据结构的形式携载或存储所期望程序代码构件且可由一般用途或特殊用途计算机或者一般用途或特殊用途处理器存取的任何其它媒体。并且,可将任何连接恰当地称为计算机可读媒体。举例来说,如果使用同轴电缆、光纤电缆、双绞线、数字用户线(DSL)或无线技术(例如红外线、无线电及微波)从网站、服务器或其它远程源传输软件,那么所述同轴电缆、光纤电缆、双绞线、DSL或无线技术(例如红外线、无线电及微波)都包含于媒体的定义内。如本文中所使用,磁盘及盘片包含光盘(CD)、激光光盘、光学光盘、数字多功能光盘(DVD)、软磁盘及蓝光光盘,其中磁盘通常以磁性方式再现数据,而光盘借助激光以光学方式再现数据。上文的组合也应包含于计算机可读媒体的范围内。
尽管上文出于指导性目的描述一些特定实施例,但本专利文件的教导内容具有一般适用性且不限于上文所描述的特定实施例。因此,可在不违背如权利要求书中所陈述的本发明的范围的情况下实践对所描述实施例的各种特征的各种修改、改动及组合。

Claims (20)

1.一种系统,其包括:
计量子系统,其包括:
照射源,其经配置以提供引导到半导体晶片的表面上的第一测量位点的一定量的照射辐射;
检测器,其经配置以检测响应于所述量的照射辐射而从所述第一测量位点收集的一定量的辐射;及
运算系统,其经配置以:
产生表征来自所述第一测量位点的所述所检测量的辐射的第一多个特征;
基于经训练测量模型,依据所述所检测量的辐射的所述第一多个特征的值来估计一或多个所关注参数的表征所述测量位点的值;
确定所述第一多个特征中的每一者的经重构值,其中所述第一多个特征中的特征的经重构值是基于经训练数据重构模型、依据所述一或多个所关注参数以及所述第一多个特征中的每一者的除所述第一多个特征中的所述特征的所述值之外的所述值来确定的;
基于所述经训练测量模型,依据多个经重构特征的所述值来估计所述一或多个所关注参数的表征所述测量位点的经重构值;及
基于所述一或多个所关注参数的依据所述第一多个特征的所述值确定的所述值与所述一或多个所关注参数的依据所述第一多个特征的所述经重构值确定的所述值之间的差来确定质量度量的值。
2.根据权利要求1所述的系统,其中所述运算系统进一步经配置以将所述质量度量的所述值正规化。
3.根据权利要求1所述的系统,所述运算系统进一步经配置以:
通过最小化不同于所述第一测量位点的第二测量位点的测量的第二多个特征与所述第二多个特征的经重构值之间的差来训练所述数据重构模型的一或多个参数,其中所述第二多个特征中的特征的经重构值是依据所述一或多个所关注参数的表征所述第二测量位点的值及所述第二多个特征的除所述特征之外的所述值来确定的。
4.根据权利要求3所述的系统,其中对所述第二位点的所述测量的所述第二多个特征进行模拟。
5.根据权利要求3所述的系统,其中所述一或多个所关注参数的表征所述第二测量位点的所述值是基于所述经训练测量模型、依据所述第二多个特征的值来估计的。
6.根据权利要求3所述的系统,其中所述一或多个所关注参数的表征所述第二测量位点的所述值是已知参考值。
7.根据权利要求1所述的系统,其中所述第一多个特征是响应于来自所述第一测量位点的所述所检测量的辐射而测量的多个检测器信号。
8.根据权利要求1所述的系统,其中所述产生表征来自所述第一测量位点的所述所检测量的辐射的所述第一多个特征涉及缩减响应于来自所述第一测量位点的所述所检测量的辐射而测量的多个检测器信号的维度。
9.根据权利要求8所述的系统,其中所述缩减所述多个检测器信号的所述维度涉及主分量分析、傅里叶分析、小波分析及离散余弦变换分析中的任一者。
10.根据权利要求1所述的系统,其中所述照射源及所述检测器被配置为光谱椭圆偏光计、光谱反射计、软x射线反射计、小角度x射线散射计、成像系统及高光谱成像系统中的任一者的一部分。
11.根据权利要求1所述的系统,其中所述经训练测量模型是经训练缺陷分类模型及经训练计量模型中的任一者。
12.根据权利要求1所述的系统,其中所述一或多个所关注参数包含所关注几何参数、所关注过程参数、所关注电参数及所关注色散参数中的任一者。
13.根据权利要求1所述的系统,其中所述一或多个所关注参数包含叠对尺寸、临界尺寸、光刻聚焦及光刻剂量中的任一者。
14.一种方法,其包括:
提供引导到半导体晶片的表面上的第一测量位点的一定量的照射辐射;
检测响应于所述量的照射辐射而从所述第一测量位点收集的一定量的辐射;
产生表征来自所述第一测量位点的所述所检测量的辐射的第一多个特征;
基于经训练测量模型,依据所述所检测量的辐射的所述第一多个特征的值来估计一或多个所关注参数的表征所述测量位点的值;
确定所述第一多个特征中的每一者的经重构值,其中所述第一多个特征中的特征的经重构值是基于经训练数据重构模型、依据所述一或多个所关注参数以及所述第一多个特征中的每一者的除所述第一多个特征中的所述特征的所述值之外的所述值来确定的;
基于所述经训练测量模型,依据多个经重构特征的所述值来估计所述一或多个所关注参数的表征所述测量位点的经重构值;及
基于所述一或多个所关注参数的依据所述第一多个特征的所述值确定的所述值与所述一或多个所关注参数的依据所述第一多个特征的所述经重构值确定的所述值之间的差来确定质量度量的值。
15.根据权利要求14所述的方法,其进一步包括:
将所述质量度量的所述值正规化。
16.根据权利要求14所述的方法,其进一步包括:
通过最小化不同于所述第一测量位点的第二测量位点的测量的第二多个特征与所述第二多个特征的经重构值之间的差来训练所述数据重构模型的一或多个参数,其中所述第二多个特征中的特征的经重构值是依据所述一或多个所关注参数的表征所述第二测量位点的值及所述第二多个特征的除所述特征之外的所述值来确定的。
17.根据权利要求14所述的方法,其中所述产生表征来自所述第一测量位点的所述所检测量的辐射的所述第一多个特征涉及缩减响应于来自所述第一测量位点的所述所检测量的辐射而测量的多个检测器信号的维度。
18.根据权利要求17所述的方法,其中所述缩减所述多个检测器信号的所述维度涉及主分量分析、傅里叶分析、小波分析及离散余弦变换分析中的任一者。
19.一种系统,其包括:
照射源,其经配置以提供引导到半导体晶片的表面上的第一测量位点的一定量的照射辐射;
检测器,其经配置以检测响应于所述量的照射辐射而从所述第一测量位点收集的一定量的辐射;及
非暂时性计算机可读媒体,其存储指令,所述指令在由一或多个处理器执行时致使所述一或多个处理器进行以下操作:
产生表征来自所述第一测量位点的所述所检测量的辐射的第一多个特征;基于经训练测量模型,依据所述所检测量的辐射的所述第一多个特征的值来估计一或多个所关注参数的表征所述测量位点的值;
确定所述第一多个特征中的每一者的经重构值,其中所述第一多个特征中的特征的经重构值是基于经训练数据重构模型、依据所述一或多个所关注参数以及所述第一多个特征中的每一者的除所述第一多个特征中的所述特征的所述值之外的所述值来确定的;
基于所述经训练测量模型,依据多个经重构特征的所述值来估计所述一或多个所关注参数的表征所述测量位点的经重构值;
基于所述一或多个所关注参数的依据所述第一多个特征的所述值确定的所述值与所述一或多个所关注参数的依据所述第一多个特征的所述经重构值确定的所述值之间的差来确定质量度量的值;及
将所述质量度量的所述值正规化。
20.根据权利要求19所述的系统,所述非暂时性计算机可读媒体进一步存储指令,所述指令在由所述一或多个处理器执行时致使所述一或多个处理器进行以下操作:
通过最小化不同于所述第一测量位点的第二测量位点的测量的第二多个特征与所述第二多个特征的经重构值之间的差来训练所述数据重构模型的一或多个参数,其中所述第二多个特征中的特征的经重构值是依据所述一或多个所关注参数的表征所述第二测量位点的值及所述第二多个特征的除所述特征之外的所述值来确定的。
CN202180053903.4A 2020-09-24 2021-09-01 用于确定半导体测量的质量的方法及系统 Pending CN116018512A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17/030,690 2020-09-24
US17/030,690 US11530913B2 (en) 2020-09-24 2020-09-24 Methods and systems for determining quality of semiconductor measurements
PCT/US2021/048591 WO2022066378A1 (en) 2020-09-24 2021-09-01 Methods and systems for determining quality of semiconductor measurements

Publications (1)

Publication Number Publication Date
CN116018512A true CN116018512A (zh) 2023-04-25

Family

ID=80740165

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202180053903.4A Pending CN116018512A (zh) 2020-09-24 2021-09-01 用于确定半导体测量的质量的方法及系统

Country Status (7)

Country Link
US (1) US11530913B2 (zh)
EP (1) EP4200598A1 (zh)
JP (1) JP2023544534A (zh)
KR (1) KR20230073225A (zh)
CN (1) CN116018512A (zh)
TW (1) TW202213567A (zh)
WO (1) WO2022066378A1 (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11868119B2 (en) * 2021-09-24 2024-01-09 Tokyo Electron Limited Method and process using fingerprint based semiconductor manufacturing process fault detection
CN116973819B (zh) * 2023-09-22 2023-12-12 上海优立检测技术股份有限公司 一种微波电磁参数三维测试方法、系统及储存介质

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160109375A1 (en) * 2014-10-18 2016-04-21 Kla-Tencor Corporation Measurement Of Small Box Size Targets
CN106463429A (zh) * 2014-05-09 2017-02-22 科磊股份有限公司 用于基于散射术的重叠测量的信号响应度量
CN106663646A (zh) * 2014-07-07 2017-05-10 科磊股份有限公司 基于代理结构的测量的信号响应计量
US20180275525A1 (en) * 2017-03-24 2018-09-27 Nikon Research Corporation Of America Lithographic thermal distortion compensation with the use of machine learning
US20190104940A1 (en) * 2017-10-06 2019-04-11 Toshiba Medical Systems Corporation Apparatus and method for medical image reconstruction using deep learning for computed tomography (ct) image noise and artifacts reduction
US20200110341A1 (en) * 2018-10-09 2020-04-09 Asml Netherlands B.V. Method of Calibrating a Plurality of Metrology Apparatuses, Method of Determining a Parameter of Interest, and Metrology Apparatus
US20210241449A1 (en) * 2018-08-17 2021-08-05 Asml Netherlands B.V. Metrology data correction using image quality metric

Family Cites Families (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US6023338A (en) 1996-07-12 2000-02-08 Bareket; Noah Overlay alignment measurement of wafers
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US6787773B1 (en) 2000-06-07 2004-09-07 Kla-Tencor Corporation Film thickness measurement using electron-beam induced x-ray microanalysis
US7317531B2 (en) 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7068833B1 (en) 2000-08-30 2006-06-27 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US20030002043A1 (en) 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
US6716646B1 (en) 2001-07-16 2004-04-06 Advanced Micro Devices, Inc. Method and apparatus for performing overlay measurements using scatterometry
AU2002360738A1 (en) 2001-12-19 2003-07-09 Kla-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
US6778275B2 (en) 2002-02-20 2004-08-17 Micron Technology, Inc. Aberration mark and method for estimating overlay error and optical aberrations
SG120949A1 (en) 2002-09-20 2006-04-26 Asml Netherlands Bv Alignment system and methods for lithographic systems using at least two wavelengths
US6992764B1 (en) 2002-09-30 2006-01-31 Nanometrics Incorporated Measuring an alignment target with a single polarization state
US7842933B2 (en) 2003-10-22 2010-11-30 Applied Materials Israel, Ltd. System and method for measuring overlay errors
US6937337B2 (en) 2003-11-19 2005-08-30 International Business Machines Corporation Overlay target and measurement method using reference and sub-grids
US7321426B1 (en) 2004-06-02 2008-01-22 Kla-Tencor Technologies Corporation Optical metrology on patterned samples
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
JP4585926B2 (ja) 2005-06-17 2010-11-24 株式会社日立ハイテクノロジーズ パターンレイヤーデータ生成装置、それを用いたパターンレイヤーデータ生成システム、半導体パターン表示装置、パターンレイヤーデータ生成方法、及びコンピュータプログラム
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
JP4887062B2 (ja) 2006-03-14 2012-02-29 株式会社日立ハイテクノロジーズ 試料寸法測定方法、及び試料寸法測定装置
US7406153B2 (en) 2006-08-15 2008-07-29 Jordan Valley Semiconductors Ltd. Control of X-ray beam spot size
US7873585B2 (en) 2007-08-31 2011-01-18 Kla-Tencor Technologies Corporation Apparatus and methods for predicting a semiconductor parameter across an area of a wafer
US7929667B1 (en) 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
US8068662B2 (en) 2009-03-30 2011-11-29 Hermes Microvision, Inc. Method and system for determining a defect during charged particle beam inspection of a sample
JP5764380B2 (ja) 2010-04-29 2015-08-19 エフ イー アイ カンパニFei Company Sem画像化法
WO2012092132A2 (en) 2010-12-29 2012-07-05 Cognex Corporation Determining the uniqueness of a model for machine vision
EP2694983B1 (en) 2011-04-06 2020-06-03 KLA-Tencor Corporation Method and system for providing a quality metric for improved process control
US9046475B2 (en) 2011-05-19 2015-06-02 Applied Materials Israel, Ltd. High electron energy based overlay error measurement methods and systems
US10107621B2 (en) 2012-02-15 2018-10-23 Nanometrics Incorporated Image based overlay measurement with finite gratings
US10801975B2 (en) 2012-05-08 2020-10-13 Kla-Tencor Corporation Metrology tool with combined X-ray and optical scatterometers
US10013518B2 (en) 2012-07-10 2018-07-03 Kla-Tencor Corporation Model building and analysis engine for combined X-ray and optical metrology
US9129715B2 (en) 2012-09-05 2015-09-08 SVXR, Inc. High speed x-ray inspection microscope
WO2014062972A1 (en) 2012-10-18 2014-04-24 Kla-Tencor Corporation Symmetric target design in scatterometry overlay metrology
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
US9826614B1 (en) 2013-03-15 2017-11-21 Kla-Tencor Corporation Compac X-ray source for semiconductor metrology
US10101670B2 (en) 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
US9255877B2 (en) * 2013-05-21 2016-02-09 Kla-Tencor Corporation Metrology system optimization for parameter tracking
US9915522B1 (en) 2013-06-03 2018-03-13 Kla-Tencor Corporation Optimized spatial modeling for optical CD metrology
US9177873B2 (en) 2013-07-29 2015-11-03 GlobalFoundries, Inc. Systems and methods for fabricating semiconductor device structures
US10502694B2 (en) 2013-08-06 2019-12-10 Kla-Tencor Corporation Methods and apparatus for patterned wafer characterization
US9760018B2 (en) 2013-08-13 2017-09-12 Asml Netherlands B.V. Method and inspection apparatus and computer program product for assessing a quality of reconstruction of a value of a parameter of interest of a structure
US9846132B2 (en) 2013-10-21 2017-12-19 Kla-Tencor Corporation Small-angle scattering X-ray metrology systems and methods
US9885962B2 (en) 2013-10-28 2018-02-06 Kla-Tencor Corporation Methods and apparatus for measuring semiconductor device overlay using X-ray metrology
US20150285749A1 (en) 2014-04-03 2015-10-08 Massachusetts Institute Of Technology Compact X-Ray Source for CD-SAXS
US9494535B2 (en) 2014-04-21 2016-11-15 Kla-Tencor Corporation Scatterometry-based imaging and critical dimension metrology
US10152678B2 (en) 2014-11-19 2018-12-11 Kla-Tencor Corporation System, method and computer program product for combining raw data from multiple metrology tools
US10324050B2 (en) 2015-01-14 2019-06-18 Kla-Tencor Corporation Measurement system optimization for X-ray based metrology
US10365225B1 (en) * 2015-03-04 2019-07-30 Kla-Tencor Corporation Multi-location metrology
US10502549B2 (en) * 2015-03-24 2019-12-10 Kla-Tencor Corporation Model-based single parameter measurement
KR102512180B1 (ko) 2015-04-28 2023-03-20 케이엘에이 코포레이션 계산 효율적인 x 선 기반의 오버레이 측정
US10352695B2 (en) 2015-12-11 2019-07-16 Kla-Tencor Corporation X-ray scatterometry metrology for high aspect ratio structures
US10504759B2 (en) * 2016-04-04 2019-12-10 Kla-Tencor Corporation Semiconductor metrology with information from multiple processing steps
WO2018071716A1 (en) * 2016-10-13 2018-04-19 Kla-Tencor Corporation Metrology systems and methods for process control
US10775323B2 (en) 2016-10-18 2020-09-15 Kla-Tencor Corporation Full beam metrology for X-ray scatterometry systems
US10481111B2 (en) 2016-10-21 2019-11-19 Kla-Tencor Corporation Calibration of a small angle X-ray scatterometry based metrology system
US11073487B2 (en) 2017-05-11 2021-07-27 Kla-Tencor Corporation Methods and systems for characterization of an x-ray beam with high spatial resolution
US11333621B2 (en) 2017-07-11 2022-05-17 Kla-Tencor Corporation Methods and systems for semiconductor metrology based on polychromatic soft X-Ray diffraction
US11519869B2 (en) 2018-03-20 2022-12-06 Kla Tencor Corporation Methods and systems for real time measurement control
EP3547031A1 (en) 2018-03-29 2019-10-02 ASML Netherlands B.V. Method for evaluating control strategies in a semicondcutor manufacturing process

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106463429A (zh) * 2014-05-09 2017-02-22 科磊股份有限公司 用于基于散射术的重叠测量的信号响应度量
CN106663646A (zh) * 2014-07-07 2017-05-10 科磊股份有限公司 基于代理结构的测量的信号响应计量
US20160109375A1 (en) * 2014-10-18 2016-04-21 Kla-Tencor Corporation Measurement Of Small Box Size Targets
US20180275525A1 (en) * 2017-03-24 2018-09-27 Nikon Research Corporation Of America Lithographic thermal distortion compensation with the use of machine learning
US20190104940A1 (en) * 2017-10-06 2019-04-11 Toshiba Medical Systems Corporation Apparatus and method for medical image reconstruction using deep learning for computed tomography (ct) image noise and artifacts reduction
US20210241449A1 (en) * 2018-08-17 2021-08-05 Asml Netherlands B.V. Metrology data correction using image quality metric
US20200110341A1 (en) * 2018-10-09 2020-04-09 Asml Netherlands B.V. Method of Calibrating a Plurality of Metrology Apparatuses, Method of Determining a Parameter of Interest, and Metrology Apparatus

Also Published As

Publication number Publication date
TW202213567A (zh) 2022-04-01
KR20230073225A (ko) 2023-05-25
EP4200598A1 (en) 2023-06-28
US11530913B2 (en) 2022-12-20
US20220090912A1 (en) 2022-03-24
JP2023544534A (ja) 2023-10-24
WO2022066378A1 (en) 2022-03-31

Similar Documents

Publication Publication Date Title
CN107408519B (zh) 基于模型的单个参数测量的系统和方法
US10030965B2 (en) Model-based hot spot monitoring
KR102013483B1 (ko) 파라미터 추적을 위한 계측 시스템 최적화
US10354929B2 (en) Measurement recipe optimization based on spectral sensitivity and process variation
CN114830141B (zh) 基于概率性领域知识及物理实现的测量配方优化
NL2016614A (en) Metrology method and apparatus, computer program and lithographic system.
CN116018512A (zh) 用于确定半导体测量的质量的方法及系统
US10732520B1 (en) Measurement library optimization in semiconductor metrology
JP2023544761A (ja) 機械学習ベースの測定レシピ最適化の動的制御
TWI838588B (zh) 用於訓練及實施度量衡配方之系統及方法
US20220352041A1 (en) High Resolution Profile Measurement Based On A Trained Parameter Conditioned Measurement Model
US20230092729A1 (en) Semiconductor Profile Measurement Based On A Scanning Conditional Model
US20230228692A1 (en) Methods And Systems For Targeted Monitoring Of Semiconductor Measurement Quality

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination