CN115989574A - Chamber interface for linked processing tools - Google Patents

Chamber interface for linked processing tools Download PDF

Info

Publication number
CN115989574A
CN115989574A CN202180052189.7A CN202180052189A CN115989574A CN 115989574 A CN115989574 A CN 115989574A CN 202180052189 A CN202180052189 A CN 202180052189A CN 115989574 A CN115989574 A CN 115989574A
Authority
CN
China
Prior art keywords
transfer
chamber
tool
coupled
link
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202180052189.7A
Other languages
Chinese (zh)
Inventor
罗伯特·I·德科蒂尼斯
马雷克•拉德科
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN115989574A publication Critical patent/CN115989574A/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G47/00Article or material-handling devices associated with conveyors; Methods employing such devices
    • B65G47/74Feeding, transfer, or discharging devices of particular kinds or types
    • B65G47/90Devices for picking-up and depositing articles or materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance

Abstract

Embodiments described herein provide linking tools and linked processing systems having two or more processing tools connected by one or more linking tools. Each arrangement of linked processing systems includes processing tools coupled to a linked chamber at a transfer module at a back end of adjacent processing tools. The back-end coupling utilizes the backplane space away from the factory interface of the processing tool. The linked cavity has at least five facets. The system also includes two or more transfer penetrations. Each transfer feedthrough is coupled to one facet of the link chamber. The transfer shuttle is connectable to a transfer module of the processing tool. The system also includes a link robot disposed in the link chamber and operable to transfer one or more substrates between the transfer shuttle connectable to the transfer module of the processing tool.

Description

Chamber interface for linked processing tools
Background
Technical Field
Embodiments of the present disclosure relate to a link tool and a linked processing system having two or more processing tools connected by one or more link tools.
Background
The manufacture of semiconductor devices typically involves performing a series of processes with respect to a substrate or "wafer" (such as a silicon substrate, a glass plate, etc.). These steps may include polishing, deposition, etching, photolithography, thermal treatment, and the like. Typically, several different processing steps may be performed in a single processing system or "tool" that includes multiple processing chambers. However, there are often times when other processes are performed at other processing sites within a manufacturing facility, and thus it is necessary to transport substrates from one processing site to another processing site within the manufacturing facility. Depending on the type of semiconductor device being manufactured, there may be a relatively large number of processing steps to be performed at many different processing sites within a manufacturing facility.
Traditionally, substrates are transported from one processing site to another within substrate carriers, such as sealed pods (sealed pods), cassettes (cassettes), containers, and the like. Traditionally, automated substrate carrier transport devices, such as automatic guided vehicles (automated guided vehicles), overhead transport systems (overhead transport systems), substrate carrier handling robots (handling robots), and the like, are also utilized to move substrate carriers from one location to another within a manufacturing facility, or to transfer substrate carriers out of or to a substrate carrier transport device.
The transport of such substrates typically involves exposing the substrates to room air, or at least to non-vacuum conditions. Any of these may expose the substrate to undesirable environments (e.g., oxidizing species) and/or contaminants. Accordingly, there is a need in the art for ways to avoid exposing substrates to adverse environments when transferring substrates between processing systems.
Disclosure of Invention
In one embodiment, a system is provided. Such a system comprises a link chamber having at least five facets (facet). The system further comprises two or more transfer penetrations (via). Each transfer feedthrough is coupled to one facet of the link chamber. The transfer penetrations can be connected to a transfer module of the process tool. The system further includes a link robot disposed in the link chamber and operable to transfer one or more substrates between the transfer passes of the transfer modules connectable to the processing tools.
In another embodiment, a system is provided. Such a system includes a linking tool. The linking tool includes: a linked cavity having at least five facets; and a first transfer feedthrough and a second transfer feedthrough, the first transfer feedthrough coupled to the first facet of the link chamber and the second transfer feedthrough coupled to the second facet of the link chamber. The link tool further includes a link robot disposed in the link chamber. Such a system further comprises: a first processing tool coupled to the first transfer feedthrough; and a second processing tool coupled to the second transfer feedthrough. Each of the first and second processing tools includes a first transfer module. The first transfer module includes a load lock chamber coupled between a factory interface and a first transfer chamber of the first transfer module. The first transfer module further includes an accessory chamber and first, second, and third processing chambers coupled to the first transfer chamber. The first processing tool further includes a second transfer module. The second transfer module includes a pass-through chamber (pass-through chamber) connecting the first transfer module and the second transfer module. The second transfer module further includes fourth, fifth, sixth, and seventh processing chambers coupled to the second transfer chamber. The second transfer chamber is coupled to one of the first transfer via or the second transfer via.
In yet another embodiment, a system is provided. Such a system includes a first linking tool. The first linking means includes: a linked cavity having at least five facets; and a first transfer feedthrough coupled to the first facet of the link chamber, a second transfer feedthrough coupled to the second facet of the link chamber, and a third transfer feedthrough coupled to the third facet of the link chamber. The first link tool further includes a link robot disposed in the link chamber. Such a system further comprises: a first processing tool coupled to the first transfer feedthrough; a second processing tool coupled to the second transfer feedthrough; and a third processing tool coupled to the third transfer feedthrough. The first processing tool, the second processing tool, and the third processing tool each include a first transfer module. The first transfer module includes a load lock chamber coupled between a factory interface and a first transfer chamber of the first transfer module. The first transfer module further includes an accessory chamber and first, second, and third processing chambers coupled to the first transfer chamber. Each of the first processing tool, the second processing tool, and the third processing tool includes a second transfer module. The second transfer module includes a pass through chamber connecting the first transfer module and the second transfer module. The second transfer module further includes at least fourth, fifth, and sixth processing chambers coupled to a second transfer chamber coupled to one of the first transfer feedthrough, the second transfer feedthrough, or the third transfer feedthrough.
Drawings
So that the manner in which the above recited features of the present disclosure can be understood in detail, a more particular description of the disclosure, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only example embodiments and are therefore not to be considered limiting of the scope of the disclosure, for the invention may admit to other equally effective embodiments.
Fig. 1 is a schematic top view of a linking tool according to embodiments described herein.
Fig. 2A-2H are schematic top views of arrangements of linked processing systems according to embodiments described herein.
To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures. It is contemplated that elements and features of one embodiment may be beneficially incorporated in other embodiments without further recitation.
Detailed Description
Embodiments described herein relate to linking tools and linked processing systems having two or more processing tools connected by one or more linking tools. Each arrangement of such linked processing systems includes adjacent processing tools coupled to the linked chambers at transfer modules at the back ends of the processing tools. This back-end coupling utilizes floor space (floor space) off the factory interface of the process tool and allows substrates to be transferred in the generally higher vacuum level portion of the process tool. Transferring the substrate in a higher vacuum region, which is typically at the back end of the processing tool, and through the link tool of the linked processing system, will minimize the amount or probability of contaminants eventually on the substrate as it passes between the processing chambers in the two processing tools during the substrate processing flow. The linked cavity has at least five facets. The system further includes two or more transfer penetrations. Each transfer feedthrough is coupled to one facet of the link chamber. The transfer penetrations can be connected to a transfer module of the process tool. The system further includes a link robot disposed in the link chamber and operable to transfer one or more substrates between the transfer passthrough of the transfer module connectable to the processing tool.
Fig. 1 is a schematic top view of a link tool 100. The linking tool 100 feeds into the arrangements 200A, 200B, 200C, 200D, 200E, 200F, 200G and 200H of the linked processing system 200 shown in fig. 2A-2H. The link tool 100 includes a link cavity 102 having at least five facets 103. The facets 103 can each be connected to one of the transfer penetrations 104 or auxiliary chambers (auxiliary chambers) 214a, 214B (shown in fig. 2B and 2H) and 214c (shown in fig. 2G). The link chamber 102 includes a link robot 106 disposed in the link chamber 102. In one embodiment, which may be combined with other embodiments described herein, the link robot 106 is operable to transfer one or more substrates 101 between the second transfer modules 204b (shown in fig. 2A-2H) of the processing tools 202 (shown in fig. 2A-2H). In another embodiment, which may be combined with other embodiments described herein, the link robot 106 is operable to transfer one or more substrates 101 from the transfer shuttle 104 that may be coupled to a second transfer module 204b (shown in fig. 2A-2H) of the processing tool 202 (shown in fig. 2A-2H). In embodiments of the arrangements 200B and 200H, the link robot 106 is operable to transfer one or more substrates 101 to either of the auxiliary chambers 214a, 214B. In an embodiment of the arrangement 200G, the link robot 106 is operable to transfer one or more substrates 101 to the auxiliary chamber 214a, 214b, or 214c. The link robot 106 includes an extension (extension) of about 36 inches to about 50 inches. In one embodiment, the extent is about 50 inches. This extension of the robot 106 provides the capability for transferring substrates 101 between the second transfer module 204b, the link chamber 102, and the auxiliary chambers 214a, 214b, 214c. This extent enables the length 110 of the link chamber 102 to be about 105 inches to about 139 inches. In one embodiment, the length 110 is about 110 inches.
The link chamber 102 includes at least two transfer penetrations 104. Each transfer feedthrough 104 is coupled to the second transfer chamber 208b (shown in fig. 2A-2H) such that the corresponding processing tool 202 is coupled to the link chamber 102. Coupling adjacent processing tools 202 to the link chamber 102 at the second transfer module 204b provides for utilization of the floor space of the factory interface 215 exiting the processing tools 202. Further, the conveying penetrations 104 may each be angled (angled) at an angle θ with respect to the center of a temporary position (stopping position) 104a, 104b of the conveying penetrations 104. In embodiments of the transfer pass-throughs 104 having an offset angle, the respective angles θ of each transfer pass-through 104 are the same. In one example, the angle θ is about 90 degrees to about 180 degrees. In another example, the angle θ is about 30 degrees to about 50 degrees. The utilization of the floor space exiting the factory interface 215, and in some embodiments the same angle θ of each transfer pass-through 104, minimizes the floor space required by the linked processing system 200 and allows additional utilization of the empty space on the manufacturing facility. As shown in the arrangement 200B of the linked processing system 200, coupling adjacent processing tools 202 to the linked chamber 102 at the second transfer module 204B provides space for the auxiliary chambers 214a, 214B. As shown in the arrangements 200E, 200F, and 200H of the linked processing system 200, coupling adjacent processing tools 202 to the linked chamber 102 at the second transfer module 204b allows coupling a third processing tool 202c to the linked chamber 102 such that the linked processing system 200 includes three processing tools 202.
At least one transfer shuttle 104 of the two or more transfer shuttles 104 includes a substrate alignment device 109 located at one or more of the staging positions 104a, 104b (e.g., the left staging position 104a and/or the right staging position 104b in fig. 1). The substrate alignment device 109 is configured to orient features (i.e., wafer notches) on the substrate 101 in the correct orientation for processing in the process chambers of the first, second, or third process tools 202a, 202b, 202c. In one embodiment, which may be combined with other embodiments described herein, the substrate alignment device 109 of the transfer pass-through 104 includes one or more of a notch finder, a substrate aligner (aligner), or a re-orienter (re-orienter). The substrate alignment apparatus 109 may determine and/or adjust the alignment and/or orientation of the substrate 101 as the substrate 101 passes between the first, second, and third processing tools 202a, 202b, 202c.
Valves 107 are provided at the interface of the transfer pass-through 104 and the link chamber 102, at the interface of the auxiliary chambers 214a, 214b, 214c and the link chamber 102, and at the interface of the second transfer module 204b and the transfer pass-through 104. In one embodiment, which may be combined with other embodiments described herein, the valves are slit valves and/or gate valves. Thus, the link chambers 102 can be individually isolated from the transfer shuttle 104, the link chambers 102 can be individually isolated from the auxiliary chambers 214a, 214b, 214c, and the transfer shuttle 104 can be individually isolated from the second transfer module 204b of the processing tool 202. A vacuum pump 112, such as a cryopump, turbo pump, or the like, may be coupled to the transfer feedthrough 104 and the link chamber 120. The vacuum pump 112 is operable to maintain a vacuum level in one or more of the transfer feedthrough 104 or the link chamber 120. As one or more substrates 101 are transferred between the second transfer module 204b, the link chamber 102, and the auxiliary chambers 214a, 214b, 214c of the processing tool 202, the vacuum level may increase or decrease in each of the transfer shuttle 104 and the link chamber 120.
The link tool 100 includes a system controller 114, the system controller 114 including a substrate handling (handling) system. The substrate handling system is a centralized server that implements predictive modeling, such as machine learning, artificial intelligence, and/or deep learning, to improve the throughput of the linked processing systems 200. The system controller 114 is configured to communicate with a tool controller 203 of the processing tool 202. The system controller 114 is operable to receive data from the tool controller 203, apply predictive modeling to the data, and provide instructions to the tool controller 203 corresponding to process commands directed to processing in a process chamber of the process tool 202 and transferring one or more substrates from the process chamber of the process tool 202.
Fig. 2A-2H are schematic top views of arrangements 200A, 200B, 200C, 200D, 200E, 200F, 200G, and 200H of linked processing systems 200 according to embodiments described herein. The linked processing system 200 of arrangements 200A-200D and 200G includes a first processing tool 202a coupled to a second processing tool 202b through the linking tool 100 as described herein. The linked processing system 200 of arrangement 200E includes a first processing tool 202a, a second processing tool 202b, and a third processing tool 202c connected to each other by the linking tool 100. The linked processing system 200 of arrangement 200F includes first and second clusters 201a, 201b of first, second, and third processing tools 202a, 202b, 202c. The first cluster 201a includes a first processing tool 202a, a second processing tool 202b, and a third processing tool 202c coupled to the first link tool 100 a. The second cluster 201b includes a first processing tool 202a, a second processing tool 202b, and a third processing tool 202c coupled to the second link tool 100 b. The first cluster 201a and the second cluster 201b are connected to each other by a third linking means 100 c. The linked processing system 200 of arrangement 200H includes a first processing tool 202a and a second processing tool 202b connected to each other by a first linking tool 100 a. The second processing tool 202b and the third processing tool 202c are connected to each other by the second link tool 100 b.
In one or more embodiments of the linked processing tools 200 disclosed herein, the processing tools 202 (e.g., the first, second, and third processing tools 202a, 202b, 202 c) can include an Endura 2 host or an Endura 3 host, available from applied materials, inc., santa Clara, calif. Each processing tool 202 includes a first transfer module 204a, a second transfer module 204b, and a pass-through module (pass-through) 206 that connects a first transfer chamber 208a of the first transfer module 204a to a second transfer chamber 208b of the second transfer module 204 b. The first transfer module 204a includes process chambers 210a, 210b, 210c (first, second, and third), an accessory chamber 212, and a load lock chamber 213. The second transfer module 204b includes process chambers 210d, 210e, 210f, 210g (fourth, fifth, sixth, and seventh). In an embodiment of the arrangement 200F, the second transfer module 204b of one processing tool 202 of the first cluster 201a and the second transfer module 204b of another processing tool 202 of the second cluster 201b include process chambers 210d, 210e, 210F.
The first and second transfer modules 204a, 204b each include a substrate handling robot (not shown) in the first and second transfer chambers 208a, 208b. The substrate handling robot of the first transfer module 204a is operable to transfer substrates between the load lock chamber 213, the first transfer chamber 208a, the process chambers 210a-210c, the satellite chamber 212, and the pass-through module 206. The substrate handling robot of the second transfer module 204b is operable to transfer substrates between the pass-through module 206, the second transfer chamber 208b, the process chambers 210d-210g, and the transfer shuttle 104. Each processing tool 202 includes a load lock chamber 213 coupled to a factory interface 215. The factory interface 215 separately provides substrates 101 to respective processing tools 202 via one or more Factory Interface (FI) robots (not shown) and Front Opening Unified Pods (FOUPs) 217.
Valves 207 are disposed at the interfaces of the process chambers 210a, 210b, 210c, the satellite chamber 212, and the load lock chamber 213 with the first transfer chamber 208a of the first transfer module 204 a. The valve 207 is also disposed at the interface of the process chambers 210d, 210e, 210f, 210g and the second transfer chamber 208b of the second transfer module 204 b. In one embodiment, which can be combined with other embodiments described herein, the valves 207 are slit valves and/or gate valves. Thus, the process chambers 210a-210f can be isolated from the transfer feedthrough 104, respectively, and the transfer feedthrough 104 can be isolated from the first and second transfer chambers 208a, 208b, respectively. A vacuum pump 219, such as a cryopump, turbo pump, or the like, may be coupled to the transfer feedthrough 104 and the first and second transfer chambers 208a, 208b. The vacuum pump 219 is operable to maintain the vacuum level of the first and second transfer chambers 208a, 208b. As one or more substrates 101 are transferred between the first transfer chamber 208a and the second transfer chamber 208b, the vacuum level in each of the first and second transfer chambers 208a, 208b may increase or decrease. Also, the vacuum level may increase or decrease as one or more substrates 101 are transferred between the second transfer chamber 208b and the link tool 100.
In this configuration, when the substrate 101 is placed in a high vacuum environment (e.g., 10) -7 -10 -9 Torr), the transfer of the substrate 101 can be completed between the first processing tool 202a and the second processing tool 202b (and the third processing tool 202c in embodiments of the arrangements 200E, 200F, 200H) because the vacuum level in the second transfer chamber 208b is maintained at a higher level than the vacuum level maintained in the first transfer chamber 208aBase pressure (base pressure). Generally, the slave load lock chamber 213 (e.g., 10) follows the substrate 101 -3 Torr) to a second transfer chamber 208b (e.g., 10) -7 -10 -8 Torr) and a process chamber 210d-210g (e.g., 10 -8 -10 -9 Torr) is moved through the first transfer module 204a to the second transfer module 204b within the processing tool 200, the base pressure or vacuum level is increased (i.e., lower pressure). It is believed that transferring substrates in the high vacuum regions of two processing tools, such as the first processing tool 202a and the second processing tool 202b, and through the link tool 100, will minimize the amount or chance of contaminants eventually on the substrate as it passes between the processing chambers in the two processing tools during a substrate processing run.
The process chambers 210A-210F and auxiliary chambers 214a, 214B, 214C may be any type of process chamber, such as a deposition chamber (e.g., a Physical Vapor Deposition (PVD) chamber, a Chemical Vapor Deposition (CVD) chamber, a Plasma Enhanced Chemical Vapor Deposition (PECVD) chamber, an Atomic Layer Deposition (ALD) chamber, a Plasma Enhanced Atomic Layer Deposition (PEALD), an etch chamber, a degas chamber, and/or any other type of process chamber the types of process chambers 210A-210F and auxiliary chambers 214a, 214B, 214C in the arrangement 200A, 200B, 200C, 200D, 200E, 200F, 200G, and 200H of the linked processing system 200 are in the process tool 202.
In operation, the process chambers 210a-210f of the first process tool 202a, the second process tool 202b, and the third process tool 202c are interchangeable. For example, one method for depositing contact structures in a semiconductor device in each of the first, second, and third processing tools 202a, 202b, and 202c may include a combination of PVD, CVD, and ALD chambers. In this method, a barrier layer, a wetting layer and a metal layer may be deposited. The barrier layer, the wetting layer, and the metal layer may each be deposited by one or more of a PVD, CVD, PECVD, ALD, or PEALD process. In one example, if the process chamber 210a of the first process tool 202a (configured as a CVD chamber to deposit a barrier layer) is inoperable, undergoing maintenance, or undergoing replacement, the substrate 101 may be transferred to one of the process chambers 210a-210f of the second process tool 202b and/or the third process tool 202c configured as a CVD chamber to deposit a barrier layer. The process chambers 210e of the first process tool 202a of the arrangements 200G and 200H may be replaced by the transfer shuttle 104 of the link tool 100. Thus, the arrangement 200G may include a third link tool 100 having auxiliary chambers 214a, 214b, or 214c, and the arrangement 200H may include a third link tool 100 and a fourth process tool having auxiliary chambers 214a, 214 b.
The substrate handling system of the system controller 112, which is in communication with the tool controller 203 of the processing tool 202, receives data corresponding to the throughput of each of the processing chambers 210a-210 f. The system controller 112 is operable to apply predictive modeling to the data to provide instructions to the tool controller 203 corresponding to process commands directed to processes performed in the process chambers 210a-210f of the processing tool 202 and to transfer one or more substrates 101 from the process chambers 210a-210f of the processing tool 202. The system controller 112 may also provide outputs corresponding to optimized combinations of PVD chambers, CVD chambers, PECVD chambers, ALD chambers, PEALD chambers, etch chambers, degas chambers, or any other type of processing chamber for the processing chambers 210a-210f of the first processing tool 202a, the second processing tool 202b, and the third processing tool 202c and the auxiliary chambers 214a, 214b of the link tool 100.
In view of the foregoing, linked tools and linked processing systems having two or more processing tools connected by one or more linked tools are described herein. Each arrangement of the linked processing systems includes adjacent processing tools coupled to the linked chambers at a transfer module at a back end of the processing tools. To minimize the amount or chance of contaminants eventually on the substrate as it passes between the processing chambers in the two processing tools during the substrate processing flow, the substrate is transported in a high vacuum region at the back end of these processing tools and by a link tool of a linked processing system. Furthermore, locating link tools at the back end takes advantage of the floor space away from the factory interface of these processing tools, which may be important in some semiconductor fab processing system layouts. Utilizing the floor space exiting these factory interfaces, and in some embodiments the same angle for each transfer pass-through of the linked tooling, minimizes the floor space required for the linked processing systems and allows additional utilization of empty space on the manufacturing facility.
While the foregoing is directed to examples of the present disclosure, other and further examples of the disclosure may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims (20)

1. A system, comprising:
a linked cavity having at least five facets;
two or more transfer passthroughs, each coupled to one facet of the link chamber, the transfer passthrough being connectable to a transfer module of a processing tool; and
a link robot disposed in the link chamber and operable to transfer one or more substrates from the transfer shuttle connectable to the transfer module of the processing tool.
2. The system of claim 1, wherein a valve is disposed at an interface of the transfer pass-through and the link chamber, and the valve is disposable at the interface of the transfer module and the transfer pass-through.
3. The system of claim 2, wherein a vacuum pump is coupled to the transfer feedthrough and the link chamber.
4. The system of claim 3, wherein the vacuum pump is a cryopump or a turbo pump.
5. The system of claim 2, wherein the valve is a slit valve or a gate valve.
6. The system of claim 1, further comprising two auxiliary chambers.
7. The system of claim 6, wherein each auxiliary is one of a deposition chamber, an etch chamber, or a degas chamber.
8. The system of claim 1, further comprising a system controller in communication with a tool controller of the processing tool, the system operable to receive data from the tool controller of the processing tool, apply predictive modeling to the data, and provide instructions to the tool controller corresponding to process commands directed to processing in and transferring one or more substrates from a process chamber of the processing tool.
9. A system, comprising:
a linking tool having:
a chaining chamber having at least five facets;
a first transfer feedthrough coupled to the first facet of the link chamber; and a second transfer feedthrough coupled to a second facet of the link chamber; and
the link manipulator is arranged in the link chamber; and
a first processing tool coupled to the first transfer feedthrough; and a second processing tool coupled to the second transfer feedthrough, the first and second processing tools each having:
a first transfer module, the first transfer module comprising:
a load lock chamber coupled between a factory interface and a first transfer chamber of the first transfer module;
a satellite chamber and first, second, and third process chambers coupled to the first transfer chamber;
a second transfer module, the second transfer module comprising:
connecting the first transfer module and the second transfer module through a chamber; and
a fourth, fifth, sixth, and seventh process chambers coupled to a second transfer chamber coupled to one of the first or second transfer vias.
10. The system of claim 9, wherein the valve is disposed at an interface of:
the first transfer penetration and the link chamber, and the second transfer penetration and the link chamber;
the second transfer chamber and the first transfer feedthrough of the first processing tool, and the second transfer chamber and the second transfer feedthrough of the second processing tool;
the satellite chamber and the first, second, and third process chambers and the first transfer chamber; and
the fourth, fifth, sixth, and seventh processing chambers and the second transfer chamber.
11. The system of claim 10, wherein a vacuum pump is coupled to the first transfer feedthrough, the second transfer feedthrough, the link chamber, the first transfer module, and the second transfer module.
12. The system of claim 9, wherein the first and second transfer penetrations each include a staging location, the staging location of at least one of the first and second transfer penetrations having a substrate alignment device.
13. The system of claim 12, wherein the first transfer pass-through and the second transfer pass-through are each angled at the same angle with respect to a center of the staging position.
14. The system of claim 9, further comprising two auxiliary chambers each coupled to a third facet and a fourth facet of the linked chamber.
15. The system of claim 9, wherein the first, second, third, fourth, fifth, sixth, and seventh processing chambers correspond to one of a deposition chamber, an etch chamber, or a degas chamber.
16. The system of claim 9, wherein the link tool comprises a system controller in communication with the tool controllers of the first and second processing tools, the system controller operable to receive data from the tool controllers, apply predictive modeling to the data, and provide instructions to the tool controllers corresponding to process commands directed to processing in and transferring one or more substrates from the process chambers of the first and second processing tools.
17. A system, comprising:
a first linking tool having:
a linked cavity having at least five facets;
a first transfer feedthrough coupled to a first facet of the link chamber; a second transfer through coupled to a second facet of the link chamber; and a third transfer feedthrough coupled to a third facet of the link chamber; and
the link manipulator is arranged in the link chamber; and
a first processing tool coupled to the first transfer feedthrough; a second processing tool coupled to the second transfer feedthrough; and a third processing tool coupled to the third transfer feedthrough, the first, second, and third processing tools each having:
a first transfer module, the first transfer module comprising:
a load lock chamber coupled between a factory interface and a first transfer chamber of the first transfer module;
a satellite chamber and first, second, and third process chambers coupled to the first transfer chamber;
a second transfer module, the second transfer module comprising:
connecting the first transfer module and the second transfer module through a chamber; and
at least a fourth, fifth, and sixth process chamber coupled to a second transfer chamber coupled to one of the first, second, or third transfer vias.
18. The system of claim 17, wherein the first, second, and third transfer penetrations each include a staging location with a substrate alignment device.
19. The system of claim 18, wherein the first, second, and third transfer penetrations are each angled at the same angle with respect to a center of the staging position.
20. The system of claim 17, further comprising:
a second link tool, the first transfer feedthrough of the second link tool being coupled to the second transfer chamber of the third processing tool and the second transfer feedthrough of the second link tool being coupled to a fourth processing tool; and
a third link tool, the first transfer feedthrough of the third link tool being coupled to the second transfer chamber of the fourth processing tool, and the second transfer feedthrough of the third link tool being coupled to a fifth processing tool, and the third transfer feedthrough of the third link tool being coupled to a sixth processing tool.
CN202180052189.7A 2020-11-02 2021-10-21 Chamber interface for linked processing tools Pending CN115989574A (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US202063108864P 2020-11-02 2020-11-02
US63/108,864 2020-11-02
US17/174,732 US20220139740A1 (en) 2020-11-02 2021-02-12 Chamber interface for linked processing tools
US17/174,732 2021-02-12
PCT/US2021/056041 WO2022093624A1 (en) 2020-11-02 2021-10-21 Chamber interface for linked processing tools

Publications (1)

Publication Number Publication Date
CN115989574A true CN115989574A (en) 2023-04-18

Family

ID=81380430

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202180052189.7A Pending CN115989574A (en) 2020-11-02 2021-10-21 Chamber interface for linked processing tools

Country Status (5)

Country Link
US (1) US20220139740A1 (en)
KR (1) KR20230093336A (en)
CN (1) CN115989574A (en)
TW (1) TW202234551A (en)
WO (1) WO2022093624A1 (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112840447A (en) 2018-10-04 2021-05-25 应用材料公司 Transport system
US20220199436A1 (en) * 2020-12-23 2022-06-23 Applied Materials, Inc. Semiconductor processing tool platform configuration with reduced footprint

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080260499A1 (en) * 2007-04-16 2008-10-23 Van Der Meulen Peter Facet adapter for a wafer handler
US9558974B2 (en) * 2012-09-27 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor processing station and method for processing semiconductor wafer
US9281221B2 (en) * 2012-11-16 2016-03-08 Taiwan Semiconductor Manufacturing Company Limited Ultra-high vacuum (UHV) wafer processing
US10559483B2 (en) * 2016-08-10 2020-02-11 Lam Research Corporation Platform architecture to improve system productivity
US10043693B1 (en) * 2017-06-06 2018-08-07 Applied Materials, Inc. Method and apparatus for handling substrates in a processing system having a buffer chamber

Also Published As

Publication number Publication date
KR20230093336A (en) 2023-06-27
TW202234551A (en) 2022-09-01
WO2022093624A1 (en) 2022-05-05
US20220139740A1 (en) 2022-05-05

Similar Documents

Publication Publication Date Title
US11024531B2 (en) Optimized low energy / high productivity deposition system
US9147592B2 (en) Linked vacuum processing tools and methods of using the same
US9818633B2 (en) Equipment front end module for transferring wafers and method of transferring wafers
CN115989574A (en) Chamber interface for linked processing tools
US20100147396A1 (en) Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
US11908714B2 (en) Transfer robot for reduced footprint platform architecture
CN111448645A (en) Automatic correction of processing stations of processing modules for rotating wafers
CN107731710B (en) Loading station for substrate processing system and substrate processing tool
US10707113B2 (en) End effector assembly for clean/dirty substrate handling
CN115803859A (en) Compatible components for semiconductor processing systems
TWI394224B (en) Apparatus and methods for transporting and processing substrates
US20230245910A1 (en) Substrate processing apparatus
CN114072897A (en) Robot for simultaneous substrate transfer
US11414740B2 (en) Processing system for forming layers
KR102058985B1 (en) Load station
US20200384636A1 (en) Dual pitch end effector robot apparatus, dual pitch load locks, systems, and methods
US20170084880A1 (en) Large area dual substrate processing system
US20090162170A1 (en) Tandem type semiconductor-processing apparatus
US20220051918A1 (en) Transfer chamber with integrated substrate pre-process chamber
CN116034461A (en) Multiprocessing semiconductor processing system
KR101926414B1 (en) System for semiconductor cluster tool using LPM wireless
CN116137930A (en) Distribution member for a semiconductor processing system
US20230317478A1 (en) Modular multi-chamber processing tool having link chamber for ultra high vaccum processes
CN114868237A (en) Cleaning spindle arm for preventing deposition and wafer slip
KR20060085988A (en) Apparatus for processing a substrate

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination