CN115803480A - Spatially and dimensionally non-uniform trough plate for modulating fluid dynamics during electroplating - Google Patents

Spatially and dimensionally non-uniform trough plate for modulating fluid dynamics during electroplating Download PDF

Info

Publication number
CN115803480A
CN115803480A CN202280005381.5A CN202280005381A CN115803480A CN 115803480 A CN115803480 A CN 115803480A CN 202280005381 A CN202280005381 A CN 202280005381A CN 115803480 A CN115803480 A CN 115803480A
Authority
CN
China
Prior art keywords
ribs
substrate
electroplating
maximum height
resistive element
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202280005381.5A
Other languages
Chinese (zh)
Inventor
斯蒂芬·J·巴尼克二世
加布里埃尔·海·格拉哈姆
布莱恩·L·巴卡柳
罗伯特·拉什
蔡利平
弗雷德里克·迪恩·威尔莫特
林倩倩
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN115803480A publication Critical patent/CN115803480A/en
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/002Cell separation, e.g. membranes, diaphragms
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/06Suspending or supporting devices for articles to be coated
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D21/00Processes for servicing or operating cells for electrolytic coating
    • C25D21/10Agitating of electrolytes; Moving of racks
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D21/00Processes for servicing or operating cells for electrolytic coating
    • C25D21/12Process control or regulation
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D3/00Electroplating: Baths therefor
    • C25D3/02Electroplating: Baths therefor from solutions
    • C25D3/38Electroplating: Baths therefor from solutions of copper
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/02Electroplating of selected surface areas
    • C25D5/022Electroplating of selected surface areas using masking means
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/08Electroplating with moving electrolyte e.g. jet electroplating
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/02Tanks; Installations therefor
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/10Electrodes, e.g. composition, counter electrode
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D3/00Electroplating: Baths therefor
    • C25D3/02Electroplating: Baths therefor from solutions
    • C25D3/56Electroplating: Baths therefor from solutions of alloys
    • C25D3/60Electroplating: Baths therefor from solutions of alloys containing more than 50% by weight of tin

Abstract

An ionically resistive ionically permeable element for an electroplating apparatus includes a rib to adjust a hydrodynamic environment proximate a substrate during electroplating. In one embodiment, the ionically resistive ionically permeable element comprises a trough-shaped portion coextensive with at least the plating face of the substrate, and a plurality of ribs extending from the surface of the trough-shaped portion facing the substrate toward the substrate. The ribs include a first plurality of ribs having a full maximum height and a second plurality of ribs having a maximum height less than the full maximum height. In one embodiment, the ribs having a smaller maximum height are disposed such that the maximum height of the ribs gradually increases in a direction from one edge of the element to the center of the element.

Description

Spatially and dimensionally non-uniform trough plate for modulating fluid dynamics during electroplating
Cross Reference to Related Applications
PCT request forms are part of this application and are filed concurrently with this specification. This application claims each application of benefits or priorities as identified in the concurrently filed form of PCT requests is incorporated herein in its entirety and by reference for all purposes.
Technical Field
Embodiments of the present disclosure relate to methods and apparatus for controlling electrolyte fluid dynamics during electroplating. More particularly, the methods and apparatus described herein are particularly useful for plating metal onto semiconductor wafer substrates, particularly those having a plurality of recessed features.
Background
In semiconductor device fabrication, deposition and etching techniques are used to form material patterns, for example, to form metal lines embedded in a dielectric layer. Electrochemical deposition processes are effective in modern integrated circuit fabrication. The early twenty-first century transition from aluminum to copper metal line interconnects drives the need for increasingly complex electrodeposition processes and plating tools. Many complexities evolve in response to the need for smaller and smaller current carrying lines in device metallization layers. These copper lines are formed by electroplating metal into very thin high aspect ratio trenches and vias in a process commonly referred to as a "damascene" process (pre-passivation metallization).
Electrochemical deposition is now ready to meet the commercial needs of sophisticated packaging and multi-chip interconnection technologies that are commonly known and used in common colloquial as Wafer Level Packaging (WLP) and Through Silicon Via (TSV) electrical connection technologies. These techniques present their own significant challenges due in part to the generally large feature sizes (compared to Front End of Line (FEOL) interconnects) and high aspect ratios.
The background description provided herein is for the purpose of generally presenting the disclosure. Work of the presently named inventors, to the extent it is described in this background section, as well as aspects of the description that may not otherwise qualify as prior art at the time of filing, are neither expressly nor impliedly admitted as prior art against the present disclosure.
Disclosure of Invention
In one aspect, an electroplating apparatus is provided. In some embodiments, an electroplating apparatus includes: (a) An electroplating chamber configured to contain an electrolyte and an anode while electroplating a metal onto a substrate; (b) A substrate holder configured to hold a substrate such that a plating face of the substrate is spaced apart from an anode during electroplating; and an ionic resistive element. The ionic resistive element includes: (i) A trough plate adapted to provide ion transport through the ion resistive element during electroplating; (ii) Facing the substrate side, parallel to the plating surface of the substrate and separated from the plating surface of the substrate by a gap; and (iii) a plurality of ribs positioned on the substrate-facing side of the ionically resistive element, wherein the plurality of ribs comprises a first plurality of ribs having a full maximum height and a second plurality of ribs having a maximum height less than the full maximum height. The electroplating apparatus further comprises: an inlet of the gap for introducing a cross-flow electrolyte into the gap; and an outlet of the gap for receiving a cross-flow electrolyte flowing in the gap, wherein the inlet and the outlet are positioned adjacent azimuthally opposite peripheral locations on the plating face of the substrate during electroplating.
In some embodiments, the ionically resistive element is positioned such that the second plurality of ribs having a smaller maximum height is proximate to the entrance of the gap. In some embodiments, all of the ribs are parallel to each other and perpendicular to the flow direction of the cross-flow electrolyte in the gap. In some embodiments, the second plurality of ribs includes at least two ribs having different maximum heights. In some embodiments, the ribs of the second plurality of ribs are arranged such that the maximum rib height increases in a direction from an edge to a center of the ionically resistive plate, and wherein the second plurality of ribs having a lower height are disposed only on one side of the ionically resistive element.
In some embodiments, the total number of ribs is between about 15 and about 30, and the second plurality of ribs having a lower maximum height has between about 2 and about 10 ribs. In some embodiments, the full maximum height of the ribs is less than about 5 millimeters. For example, in some embodiments, the full maximum height of the ribs is about 1 to 3 millimeters. In some embodiments, the gap between the bottom portion of the substrate holder and the ionically resistive element is less than about 20 millimeters.
In some embodiments, at least some of the ribs have a variable height. In some embodiments, at least some of the ribs have a variable height, and the rib height in the ribs having the variable height gradually decreases in a direction toward an edge of the rib.
In some embodiments, the ionically resistive element comprises a region, wherein the rib height is below the full maximum height, and wherein the region is generally crescent-shaped. In some embodiments, this region is located near the entrance or exit of the gap.
In some embodiments, the ionically resistive element comprises a zone, wherein the rib height is less than the full maximum height, and wherein the zone is generally annular. In some embodiments, the ionically resistive element comprises a region, wherein the rib height is less than the full maximum height, and wherein the region has a martini (martini) cup shape.
In some embodiments, the ionically resistive element comprises a plurality of non-communication channels. In other embodiments, the ionically resistive element comprises a three-dimensional network of communication channels.
In some embodiments, the electroplating apparatus further comprises a cross-flow injection manifold fluidly coupled to the inlet. In some implementations, the cross-flow injection manifold is at least partially defined by a cavity in the ionically resistive element. In some embodiments, the electroplating apparatus further comprises a restrictor ring positioned over a peripheral portion of the ion resistive element. In some embodiments, the inlet spans an arc between about 90 ° and 180 ° proximate a perimeter of the plating face of the substrate.
In another aspect, an ion resistive plate for an electroplating apparatus is provided, wherein the resistive plate is adapted for plating material onto a standard diameter semiconductor wafer. In some embodiments, the plate comprises: a circular portion having a plurality of channels coextensive with a plating face of a semiconductor wafer, wherein the plate has a thickness between about 2 millimeters and 25 millimeters; and a plurality of ribs extending from the rounded portion, wherein the plurality of ribs includes a first plurality of ribs having a full maximum height and a second plurality of ribs having a maximum height less than the full maximum height.
In another aspect, a method for electroplating a substrate is provided. In some embodiments, the method comprises: (a) Receiving a substrate in a substrate holder, wherein a plating face of the substrate is exposed, and wherein the substrate holder is configured to hold the substrate such that the plating face of the substrate is spaced apart from the anode during electroplating; (b) Immersing the substrate in an electrolyte, wherein a gap is formed between a plating face of the substrate and a planar surface of the ionically resistive element, wherein the ionically resistive element is at least approximately coextensive with the plating face of the substrate, wherein the ionically resistive element comprises a trough plate adapted to provide ion transmission through the ionically resistive element during electroplating, and wherein the ionically resistive element includes a plurality of ribs positioned on a substrate-facing side of the ionically resistive element, wherein the plurality of ribs includes a first plurality of ribs having a full maximum height and a second plurality of ribs having a maximum height less than the full maximum height; (c) Flowing electrolyte in contact with a substrate in the substrate holder from a side inlet into the gap and out of a side outlet, wherein the side inlet and the side outlet are designed or configured to generate cross-flow electrolyte in the gap during electroplating; (d) rotating the substrate holder; and (e) electroplating a material onto the plating side of the substrate while flowing the electrolyte as in (c).
In some embodiments, the plating material comprises tin and silver. In some embodiments, the plating material comprises copper.
In some embodiments, the electrodeposition methods provided herein are used in conjunction with photolithographic patterning, and the provided methods further comprise: applying a photoresist to a semiconductor substrate; exposing the photoresist to light; patterning the photoresist and transferring the pattern to the semiconductor substrate; and selectively removing the photoresist from the semiconductor substrate.
In another aspect, a non-transitory computer machine readable medium is provided, wherein the non-transitory computer machine readable medium includes program instructions for controlling an apparatus configured for substrate processing, wherein the program instructions comprise code configured to effect electrodeposition of a material according to the methods provided herein.
These and other aspects of embodiments of the subject matter described in this specification are set forth in the accompanying drawings and the description below.
Drawings
FIG. 1 is a cross-sectional schematic diagram of an electroplating apparatus having an ion resistive element, according to embodiments provided herein.
Fig. 2 is a view of an ionic resistive element according to embodiments provided herein.
Fig. 3A is a top view of a portion of the ionic resistive element shown in fig. 2.
Fig. 3B is another view of the portion of the ionic resistive element shown in fig. 2.
Fig. 3C is a cross-sectional view of a portion of the ionic resistive element shown in fig. 2.
Fig. 3D is a different cross-sectional view of the portion of the ionic resistive element shown in fig. 2.
Fig. 4 is an experimental graph showing the silver content (in%) in the electrodeposited tin-silver layer as a function of the uniform rib CIRP (top curve) and the radial profile (in millimeters) of the CIRP of example 1.
Fig. 5 is an experimental graph showing the thickness of the electrodeposited tin and silver layer (bump height) as a function of radial position (in millimeters) of the uniform rib CIRP and the CIRP of example 1.
Fig. 6A is a top view of a resistive element according to embodiments provided herein.
FIG. 6B is a view of a portion of a resistive element according to embodiments provided herein.
Fig. 6C is a cross-sectional view of a portion of a resistive element according to embodiments provided herein.
Fig. 7 shows a top view of different ionic resistive elements according to embodiments provided herein.
Fig. 8 is a schematic representation of an integrated tool configured for electrodepositing a metal according to embodiments provided herein.
Detailed Description
Methods and apparatus for electrodepositing metals on semiconductor substrates are provided in which control over electrolyte fluid dynamics is improved. The uniformity of electrodeposition can be improved due to greater control over electrolyte fluid dynamics. The method is particularly useful for electroplating metal onto a semiconductor substrate having a plurality of recessed features. For example, the provided methods may be used to fill recessed features, such as in WLP processing. The method utilizes an ionically resistive ionically permeable element comprising an ionically permeable trough plate and a plurality of ribs extending from a substrate-facing surface of the plate toward the substrate, wherein the ribs have different maximum heights or wherein individual ribs have variable heights (e.g., tapered ribs) or both. In some embodiments, electrolyte is injected into the gap between the ionically resistive element and the surface of the substrate, creating an electrolyte cross flow (lateral electrolyte flow parallel to the surface of the substrate). In some embodiments, the ribs (with reference to the "length" dimension of the ribs) are substantially perpendicular to the direction of electrolyte cross-flow. In one embodiment, the ribs proximate the entrance of the gap (e.g., within 50 millimeters of the entrance) have a lower maximum height than the ribs further from the entrance. For example, the ionically resistive element may include a first rib (or first plurality of ribs) and a second rib (or second plurality of ribs), wherein the ionically resistive element is positioned such that the first rib (or first plurality of ribs) is closer to the entrance of the gap than the second rib (or second plurality of ribs) and wherein the first rib (or first plurality of ribs) has a smaller maximum height than the second rib (or second plurality of ribs). In some embodiments, the maximum rib height gradually increases in a direction from the edge of the element toward the center of the element.
In some embodiments, the ionically resistive element has ribs of various sizes distributed in a spatially non-uniform pattern. This creates a regulated convection at the substrate surface for improved plating performance. By adjusting the rib height, tapering, and distributing the ribs at certain locations across the ionically resistive element, the fluid dynamics at the wafer surface can be modulated to improve plating performance.
The term "metal" as used herein refers to one or more metals, and "electrodeposited metal" is not limited to electrodepositing a single metal. For example, the "metal" may be a combination of tin and silver. In some embodiments, the method is for electrodepositing copper (Cu). In some embodiments, the method is used to electrodeposit nickel (Ni), tin (Sn), or tin-silver (SnAg).
The term "semiconductor substrate" as used herein refers to a substrate at any stage of semiconductor device fabrication that is fabricated to contain semiconductor material anywhere within its structure. It should be understood that the semiconductor material in the semiconductor substrate need not be exposed. A semiconductor wafer having multiple layers of other materials (e.g., dielectrics) overlying a semiconductor material is an example of a semiconductor substrate. The following detailed description assumes that the disclosed embodiments are implemented on a semiconductor wafer, such as a 200 mm, 300 mm, or 450 mm semiconductor wafer. However, the disclosed embodiments are not so limited. The workpiece may have various shapes, sizes, and materials. In addition to semiconductor wafers, other workpieces that may utilize the disclosed embodiments include various articles, such as printed circuit boards and the like.
Unless otherwise specified, the term "about" when used in reference to a numerical value includes a range of ± 10% of the stated numerical value.
The term "rib" refers to a protrusion on the substrate-facing side of the ionically resistive ionically permeable element. The rib has a length to height ratio in many embodiments that is greater than at least 3. The rib length is generally coextensive with at least the trough-shaped portion of the ionically resistive ionically permeable element. In some embodiments, the ionically resistive ionically permeable element is machined from a single piece of non-conductive material, such as polycarbonate. In other embodiments, the ribs may be removable and may be inserted into slots on the trough-shaped portion of the ionic resistive element.
The terms "ionically resistive element," "ionically resistive ionically permeable element," and "slot-shaped ionically resistive plate" are used interchangeably herein and refer to an element made of a non-conductive material having a plurality of channels that allow passage of electrolyte. In some embodiments, the element introduces a resistance to ionic current between the anode and the cathode bias wafer substrate.
The term "maximum height" refers to the maximum height of the rib. For example, a rib that tapers down at the edge will have a maximum height outside the tapering region. If the rib has a constant height, its maximum height is equal to its constant height. The term "full maximum height" of the plurality of ribs refers to the maximum height of the plurality of ribs. For example, the plurality of ribs has a plurality of ribs with each rib having a maximum height of 5 millimeters, and a plurality of ribs with each rib having a maximum height of 3 millimeters, the "full maximum height" would be 5 millimeters.
The method provided utilizes a grooved ion resistive plate (CIRP), also known as an ion resistive ion permeable element, to control electrolyte fluid dynamics proximate to the substrate. The CIRP provides a small channel (cross-flow manifold) between the plated surface of the wafer substrate and the top of the CIRP. The CIRP may provide a number of functions, which may include at least one of the following; 1) Allowing ionic current to flow to the wafer from an anode generally below the CIRP, 2) allowing fluid to flow through the CIRP upwardly and generally toward the wafer surface; and 3) restrict and resist electrolyte flow away from and out of the cross-flow manifold zone. In some embodiments, the electrolyte flow in the cross-flow manifold zone includes fluid emerging through the holes in the CIRP and liquid from the cross-flow injection manifold, which is generally located on the CIRP and to one side of the wafer, which creates a lateral electrolyte flow. In certain embodiments, the apparatus is configured to operate under conditions that yield an average lateral electrolyte velocity of about 3 cm/sec or greater (e.g., about 5 cm/sec or greater, about 10 cm/sec or greater, about 15 cm/sec or greater, or about 20 cm/sec or greater) across a center point of a plating face of a substrate.
When using a CIRP with uniform rib elements (thin protrusions of the same height), it should provide uniform flow interference across the CIRP. However, in some cases, inlet or outlet effects may interact with the rib elements, creating areas of high turbulence, resulting in non-uniform plating performance. According to some embodiments provided herein, spatially distributed ribs may counteract and minimize inlet/outlet turbulence and produce a more uniform plated substrate.
In one aspect, there is provided an electroplating apparatus, wherein the electroplating apparatus includes: (a) An electroplating chamber configured to contain an electrolyte and an anode while electroplating a metal onto a substrate; (b) A substrate holder configured to hold a substrate such that a plating face of the substrate is spaced apart from an anode during electroplating; and an ionically resistive ionically permeable element positioned such that a gap exists between a working surface of the substrate and a substrate-facing surface of the element. The ionic resistive element includes: a trough plate adapted to provide ion transport through the ion resistive element during electroplating; facing the substrate side, parallel to the plating surface of the substrate and separated from the plating surface of the substrate by a gap; and a plurality of ribs positioned on the substrate-facing side of the ionically resistive element, wherein the plurality of ribs comprises a first plurality of ribs having a full maximum height and a second plurality of ribs having a maximum height less than the full maximum height. In some embodiments, the apparatus further comprises: an inlet of the gap for introducing a cross-flow electrolyte into the gap; and an outlet of the gap for receiving a cross-flow electrolyte flowing in the gap, wherein the inlet and the outlet are positioned adjacent azimuthally opposite peripheral locations on the plating face of the substrate during electroplating.
Non-uniform ribs on the ionically resistive ionically permeable element serve to adjust the hydrodynamic environment at the substrate by adjusting the convection of electrolyte in the gap (also known as a cross-flow manifold or CIRP chamber).
An example of an electroplating apparatus 100 having CIRP 101 of ribs of different maximum heights as described above is depicted in fig. 1, which depicts a schematic cross-sectional view of the apparatus 100. The electroplating apparatus 100 comprises a plating chamber 102 filled with an electrolyte comprising ions of the metal being electroplated, and optionally an acid and a plating additive, which houses an anode 103 at the bottom. The anode 103 may be an active or inert anode, the anode 103 being electrically connected to a power source and configured for positive bias. In the depicted embodiment, the wafer substrate 105 is held in a face down orientation by a substrate holder 107 and is configured to be negatively biased and immersed into the electrolyte during electroplating. In the depicted embodiment, the apparatus further includes a pellicle frame 109 and a pellicle mounted on the pellicle frame 109 between the anode 103 and the cathode bias wafer substrate 105. The membrane may be an ion selective membrane that may be used to maintain different compositions in the anode chamber 111 below the membrane, and the cathode chamber 113 above the membrane. For example, during parallel tin-silver plating when an active tin anode is used, the thin film can be used to inhibit or prevent silver ions in the catholyte from transferring to the anolyte chamber. In the depicted embodiment, CIRP 101 resides in cathode chamber 113 and includes a trough plate 114, with channels (not shown) allowing electrolyte to flow through CIRP 101; and a plurality of ribs 115 (the ribs themselves are non-slotted in this embodiment), wherein the ribs 115 include a first plurality of ribs having a full maximum height (schematically depicted as the right seven ribs) and a second plurality of ribs having a lower maximum height (schematically depicted as the left four lower ribs). Electrolyte is injected into the inlet 117 in the cross-flow manifold (CIRP chamber) 119 as depicted by the arrow and flows after encountering the rib 115 of increasing height, the outlet 121 near the azimuthally opposite peripheral location of the plated face of the substrate of the CIRP chamber 119 is not subjected to an undesirable level of turbulence (relative to the inlet).
The flow path for transferring the cross-flow electrolyte begins in a vertically upward direction as it passes through the cross-flow feed channels in the plate. This flow path then enters a cross-flow injection manifold formed within the body of the slotted ion resistive plate. The cross-flow injection manifold is an azimuthal cavity that may be a cored-out channel within the plate that may distribute fluid from various individual feed channels (e.g., from each of 6 individual cross-flow feed channels) to various multiple flow distribution holes of the cross-flow shower head plate. This cross-flow injection manifold may be positioned along a corner section of the perimeter or edge region of the trough-shaped ion resistive plate 101. In certain embodiments, the cross-flow injection manifold forms a C-shaped structure over an angle of about 90 to 180 of the peripheral region of the plate. Details of the cross-flow injection manifold are not depicted in FIG. 1 to maintain clarity.
It should be understood that the depiction of the apparatus in fig. 1 is a schematic view, and that a large number of ribs may be used in the actual CIRP.
In some embodiments, the full maximum height of the ribs is less than about 5 millimeters, such as between about 1 millimeter and 3 millimeters. In some embodiments, the total number of ribs is between about 15 and 30, and the number of ribs having a lower maximum height than the full maximum height is between about 2 and 10 ribs.
It should be noted that in the depicted embodiment, the use of lower rib heights proximate the electrolyte inlet 117 is associated with a significant reduction in turbulence as compared to the CIRP of all ribs having the same maximum height. The reduction in turbulence subsequently results in improved plating uniformity. In addition, in those embodiments where tin and silver are electroplated simultaneously, the use of CIRP as depicted in fig. 1 produces a more uniform silver content in the tin-silver layer across the wafer surface.
In various cases, the CIRP is a disk made of a solid non-porous dielectric material of ionic and resistive type. The material is also chemically stable in the plating solution used. In some cases, the CIRP is made of a ceramic material (e.g., alumina, tin oxide, titanium oxide, or a mixture of metal oxides) or a plastic material (e.g., polyethylene, polypropylene, polyvinylidene fluoride (PVDF), polytetrafluoroethylene, polysulfone, polyvinyl chloride (PVC), polycarbonate, and the like), with between about 6,000 and 12,000 non-communicative vias. In many embodiments, the trough-shaped portion of the disk is at least substantially coextensive with the plated surface of the wafer (e.g., the CIRP disk has a diameter of about 300 millimeters when used with a 300 millimeter wafer) and resides in close proximity to the wafer, such as just below the wafer in a wafer-facing downward plating apparatus. Preferably, the plated surface of the wafer resides within about 20 millimeters, more preferably within about 5 millimeters, of the surface closest to the CIRP.
Another characteristic of the CIRP is the diameter or major dimension of the via and its relationship to the distance between the CIRP and the substrate. In certain embodiments, the diameter of each through-hole (or a majority of the through-holes, or an average diameter of the through-holes) does not exceed about the distance from the surface of the plated wafer to the closest surface of the CIRP. Thus, in such embodiments, when the CIRP is placed within about 5 mm of the plated wafer surface, the diameter or major dimension of the through-hole should not exceed about 5 mm.
As above, the overall ionic and flow resistance of the plate depends on both the thickness of the plate and the overall porosity (fraction of the area available for flow through the plate) and the size/diameter of the pores. A lower porosity plate will have a higher incident flow velocity and ionic resistance. Comparing plates of the same porosity, one plate with smaller diameter 1-D holes (and thus a larger number of 1-D holes) will have a more slightly uniform current distribution across the wafer because there are more individual current sources, which act more as point sources that can spread over the same gap, and will also have a higher overall pressure drop (high mechanical 28399flow resistance
However, in some cases, the ionically resistive sheet is porous as mentioned above. The apertures in the plate may not form independent 1-D channels, but may instead form a network of through-holes that may or may not be interconnected. For example, the board may include a three-dimensional network of interconnected channels. It should be understood that as used herein, unless otherwise indicated, the terms slot-shaped ion resistive plate (CIRP) and slot-shaped ion resistive element are intended to encompass such an embodiment.
The ribs being tapered
In some embodiments, the individual ribs of the CIRP have a variable height and may taper, for example, at the edges. Such tapering may improve electrolyte fluid dynamics at the substrate near the rib edges and may also result in more uniform plating. The variation in rib height of the individual ribs may be used alone or in combination with the use of ribs having different maximum heights as discussed above. Fig. 2 and 3A-3D illustrate several views of a CIRP200, including both ribs of different maximum heights and ribs with tapered edges, which may be used as illustrated in the apparatus of fig. 1. Fig. 2 shows a CIRP200 having 27 parallel ribs, with the ribs at one side of the CIRP having a lower maximum height than the maximum height of the remaining ribs. In addition, portions of the rib taper at the edges (the edges of the rib have a smaller height than at the center of the rib). The tapered ribs can be ribs with full maximum height, ribs with lower maximum height, or both. For example, the full maximum height may be about 3 millimeters, and such ribs may or may not taper at the edges. For example, referring to fig. 2, CIRP200 includes an un-tapered rib 201 having a full maximum height at one side of CIRP200, a tapered rib 203 having a lower maximum height at an opposite side of CIRP200, and a tapered rib 205 having a full maximum height. Fig. 3A shows a top view of the portion of the CIRP200 depicted in fig. 2, where the crescent-like region 310 is depicted, where the rib height is below the full maximum height. The zones are formed by a combination of tapered portions at the edges, where the height of the individual ribs decreases towards the edges, and by ribs having a maximum height less than the full maximum height. In the portion of the CIRP200 outside of the crescent-shaped region 310, referred to as region 320, the ribs have a full height. Fig. 3B depicts an isometric view of a portion of such 200, with the tapering of the ribs at the edges more clearly depicted. Fig. 3C depicts a cross-section of a portion of the same CIRP200, more clearly showing the gradual increase in maximum height of successive ribs. Fig. 3D shows different cross-sectional views of a portion of the same CIRP200 showing the rib tapering at the edges.
The CIRP depicted in fig. 2 and 3A-3D (also referred to as example 1) was used for tin-silver plating in an apparatus as depicted in fig. 1, and the results were compared to CIRP plating with uniform ribs (same height and without all ribs tapering). Fig. 4 is an experimental graph 400 showing the silver content (in%) in an electrodeposited tin-silver layer as a function of the radial profile (in millimeters) of the uniform rib CIRP (black diamond) and the CIRP (white diamond) of example 1. It can be seen that the use of uniform rib CIRP results in increased silver incorporation in the film near the edge of the wafer compared to the wafer center. When the CIRP of example 1 is used, the variation in silver content as a function of radial position is advantageously reduced and the silver content remains substantially constant at all radial positions. Fig. 5 is an experimental graph 500 showing the thickness (bump height) of an electrodeposited tin-silver layer as a function of radial position (in millimeters) of the uniform rib CIRP (black diamond) and CIRP (white diamond) of example 1. It can be seen that the use of uniform rib CIRP results in a dramatic reduction in layer thickness at the edge of the wafer compared to the center. When the CIRP of example 1 was used, thickness uniformity was advantageously restored, and the tin-silver bump thickness remained substantially constant at all radial positions. It is believed that the improvement in both silver content variation and deposit uniformity can be attributed to the reduced turbulence achieved with the CIRP of example 1. When tin-silver is plated, in this embodiment, silver is provided in a small amount in the electrolyte relative to tin, and the concentration of silver in the deposited film is limited by convection. With increased turbulence at the edge (uniform rib CIRP encountered because electrolyte flow disturbances are caused by full height first ribs encountered at the electrolyte inlet), a greater amount of silver will be incorporated into the tin-silver film at the edge of the wafer. This is addressed by providing a CIRP with a progressively increasing height of the continuous rib at the electrolyte inlet, and by the rib tapering at the edge provided by the CIRP of example 1. The increased turbulence in this case causes an increased function of the electroplating leveler relative to the increased plating at the edges using uniform ribs CIRP, which is added to the tin-silver plating electrolyte. Similarly, using the CIRP of example 1 reduces turbulence at the electrolyte inlet and edge, producing a substantially uniform plating profile.
Fig. 6A, 6B and 6C provide different views of CIRP 600 according to embodiment 2. Example 2 is similar to example 1 but differs from example 1 in that the crescent-shaped region 610, which includes regions having lower rib heights than full maximum height (including both shorter rib and tapered rib portions), has a larger area than the CIRP200 of example 1. Specifically, it can be seen from fig. 6A that this region has a region of about 50% of the total area of the trough-shaped portion of the CIRP. The remaining area 620 is the area of the rib having the full height. In some embodiments, the area of this region is between about 40% and 60% of the total area of the trough-shaped substrate-facing surface of the CIRP.
CIRP with non-uniform ribs can be used to improve electrolyte fluid dynamics and improve plating uniformity for various other embodiments. It is particularly useful when used in an electroplating apparatus having cross flow of electrolyte between an inlet and an outlet in a cross flow manifold. Several CIRP embodiments are shown in fig. 7, which schematically shows a top view of the CIRP, where the grey areas represent areas where the rib height is less than the full maximum height (the tapered regions and/or ribs having a smaller maximum height than the full maximum height). The full maximum height area is depicted as a white area. The direction of electrolyte flow above the CIRP is depicted by the arrow. In example 3, the region of lower rib height has a crescent shape as in example 1, but the CIRP in example 3 is positioned such that this crescent region is not located close to the outlet of the cross-flow manifold than the inlet. This can be used to reduce any non-uniformities due to turbulence at the outlet. In example 4, the regions of lower rib height are located at the right and left edges of the CIRP (with respect to the direction of electrolyte flow). For example, in such an embodiment, substantially all of the ribs may taper at the edges. In example 5, the region of lower rib height has an annular shape (also referred to as bullseye embodiment). In embodiment 6, the region of lower rib height has a horseshoe shape and is disposed close to the inlet of the cross-flow manifold. In example 7, the lower rib height zones have a martini cup shape with the larger area closer to the inlet into the cross flow manifold.
In another aspect, a method for electroplating metal on a substrate is provided, wherein the method comprises: (a) Receiving a substrate in a substrate holder, wherein a plating face of the substrate is exposed, and wherein the substrate holder is configured to hold the substrate such that the plating face of the substrate is spaced apart from the anode during electroplating; (b) Immersing the substrate in an electrolyte, wherein a gap is formed between a plating face of the substrate and a plane of the ionically resistive element, wherein the ionically resistive element is at least approximately coextensive with the plating face of the substrate, wherein the ionically resistive element comprises a trough plate adapted to provide ion transmission through the ionically resistive element during electroplating, and wherein the ionically resistive element comprises a plurality of ribs positioned on a substrate-facing side of the ionically resistive element, wherein the plurality of ribs comprises a first plurality of ribs having a full maximum height and a second plurality of ribs having a maximum height less than the full maximum height. The method further comprises the following steps: (c) Flowing electrolyte in contact with the substrate in the substrate holder (i) from the side inlet into the gap and out of the side outlet, wherein the side inlet and the side outlet are designed or configured to generate cross-flow electrolyte in the gap during electroplating; (d) rotating the substrate holder; and (e) electroplating a material onto the plating side of the substrate while flowing the electrolyte as in (c).
In another aspect, there is provided an ion resistive plate for an electroplating apparatus for plating a material on a semiconductor wafer of standard diameter, comprising: a circular portion having a plurality of channels coextensive with a plating face of a semiconductor wafer, wherein the plate has a thickness between about 2 millimeters and 25 millimeters; and a plurality of ribs extending from the circular portion, wherein the plurality of ribs includes a first plurality of ribs having a full maximum height and a second plurality of ribs having a maximum height less than the full maximum height. In some embodiments, the full maximum rib height is about 5 millimeters or less, such as about 3 millimeters or less.
System for controlling a power supply
The deposition methods described herein may be performed in various electroplating apparatuses configured to include the provided CIRP.
A suitable apparatus for depositing a metal includes a plating chamber configured for holding an electrolyte and an anode, and a substrate holder having a contact for cathodically biasing the substrate. The apparatus may be configured for rotating the substrate during electroplating. The deposition may be performed in a face-up orientation or a face-down orientation. Some plating tools may also run vertically. An example of a suitable device is the SABRE 3D tool available from Lamm Research Corp, framont, calif. In some embodiments, an electroplating tool includes a plurality of plating units (for electrodepositing the same or different metals), wherein at least one plating unit includes a CIRP having non-uniform ribs as described herein, and a robotic tool for transferring substrates between individual plating units. In some embodiments, the apparatus further comprises a controller comprising program instructions for causing execution of any of the methods described herein.
An integrated apparatus configured for electrodepositing a metal is shown in fig. 8. In this embodiment, the apparatus 800 has a collection of electroplating cells 807, each containing electrolyte-containing baths in a pair or multiple "duet" configuration. In addition to the electroplating itself, the apparatus 800 may perform various other electroplating or planarization related processes and sub-steps, such as spin rinsing, spin drying, metal and silicon wet etching, electroless deposition, pre-wetting and pre-chemical treatment, reduction, annealing, photoresist stripping, and surface pre-activation. The apparatus 800 is schematically depicted from top to bottom in fig. 8, and only a single level or "bottom layer" is revealed in the figure, but those skilled in the art will readily appreciate that such apparatus, such as the ram Research sabre 3D tool (Lam Research sabre 3D tool), may have two or more levels "stacked" on top of each other, each potentially having the same or different types of processing stations. In some embodiments, electroplating stations of different metals are arranged on different levels of the tool. In other embodiments, a single level may include a station that electroplates both the first metal and the second metal. Referring again to fig. 8, the electroplated substrates 806 are typically fed to the apparatus 800 by a front-end load front unified pod (FOUP) 801 and brought from the FOUP to the main substrate processing area of the apparatus 800 via a front-end robot 802 in this example, the front-end robot 802 can retract and move the substrates 806 driven by the spindle 803 in multiple dimensions from one station to the other of the accessible stations, two front-end accessible stations 804, and two front-end accessible stations 808 are depicted in this example. The front-end accessible station 804 and the front-end accessible station 808 may include, for example, a pre-treatment station, and a Spin Rinse Drying (SRD) station. The lateral movement from side to side of the front end robot 802 is accomplished with a robot trajectory 802 a. Each of the substrates 806 may be held by a cup/cone assembly (not shown) driven by a spindle connected to a motor (not shown), and the motor may be attached to a mounting bracket 809. Also shown in this example are four "duplet" plated cells 807 for a total of eight cells 807. The electroplating unit 807 may be used to electroplate various metals. After the first metal has been electroplated in one of the plating stations 807, the substrate is transferred to a plating unit configured for electroplating a second metal on the same level of the apparatus or on a different level of the apparatus 800. A system controller (not shown) may be coupled to the electrodeposition apparatus 800 to control some or all of the properties of the electrodeposition apparatus 800. The system controller may be programmed or otherwise configured to execute instructions according to the processes previously described herein.
The system controller will typically include one or more memory devices and one or more processors configured to execute instructions such that the apparatus will perform a method in accordance with the present invention. A machine-readable medium containing instructions for controlling process operations according to the present disclosure may be coupled to a system controller.
In some implementations, the controller is part of a system, which may be part of the above examples. Such systems may include semiconductor processing equipment, including one or more processing tools or tools for processing, one or more chambers, one or more platforms, and/or proprietary processing components (wafer pedestals, gas flow systems, etc.). These systems may be integrated with electronics for controlling the operation of semiconductor wafers or substrates before, during, and after processing thereof. The electronic device may be referred to as a "controller," which may control various components or sub-portions of one or more systems. Depending on the processing requirements and/or type of system, the controller can be programmed to control any of the processes disclosed herein, including delivery of electrolyte, temperature settings (e.g., heating and/or cooling), voltage delivered to the cathode, wafer transfer in and out of the tool, and other transfer tools and/or loadlocks connected to or interfaced with a particular system.
Broadly, a controller may be defined as an electronic device having various integrated circuits, logic, storage, and/or software to receive instructions, issue instructions, control operations, implement cleaning operations, implement endpoint measurements, and the like. An integrated circuit may include a chip in the form of firmware that stores program instructions, a Digital Signal Processor (DSP), a chip and/or one or more microprocessors defined as an Application Specific Integrated Circuit (ASIC), or a microcontroller that executes program instructions (e.g., software). The program instructions may be instructions communicated to the controller in the form of various individual settings (or program files) that define the operating parameters for performing specific processes on or with a semiconductor wafer or with a system. In some embodiments, the operating parameter may be part of a recipe defined by a process engineer to implement one or more processing steps during fabrication of one or more layers, materials, metals, oxides, silicon dioxide, surfaces, circuitry, and/or dies of a wafer.
In some implementations, the controller can be part of or coupled to a computer that is integrated with, coupled to, otherwise networked to the system, or a combination thereof. For example, the controller may be in the "cloud" or in all or part of a factory hosted computer system, which may allow remote access for wafer processing. The computer may implement remote access to the system to monitor the current progress of a fabrication operation, check a history of past fabrication operations, check trends or performance metrics from multiple fabrication operations to change parameters of the current process, to set processing steps to follow the current process, or to start a new process. In some examples, a remote computer (e.g., a server) may provide the process recipe to the system via a network, which may include a local network or the internet. The remote computer may include a user interface capable of inputting or programming parameters and/or settings, which are then communicated from the remote computer to the system. In some examples, the controller receives instructions in the form of data specifying parameters for each of the process steps performed during one or more operations. It should be understood that the parameters may be specific to the type of process to be performed and the type of tool that the controller is configured to interface with or control. Thus as described above, the controllers may be distributed, for example, by including one or more discrete controllers that are networked together and work towards a common purpose, such as the processes and controls described herein. An example of a distributed controller for such purposes would be one or more integrated circuits on the chamber that communicate with one or more integrated circuits located remotely (e.g., at the platform level or as part of a remote computer) that combine to control the processes on the chamber.
Without limitation, example systems may include a plasma etch chamber or module, a deposition chamber or module, a spin rinse chamber or module, a metal plating chamber or module, a cleaning chamber or module, a bevel edge etch chamber or module, a Physical Vapor Deposition (PVD) chamber or module, a Chemical Vapor Deposition (CVD) chamber or module, an Atomic Layer Deposition (ALD) chamber or module, an Atomic Layer Etch (ALE) chamber or module, an ion implantation chamber or module, a track chamber or module, and any other semiconductor processing system that may be associated with or used in connection with the fabrication and/or manufacture of semiconductor wafers.
As described above, depending on the process step or steps to be performed by the tool, the controller may communicate with one or more of other tool circuits or modules, other tool components, cluster tools, other tool interfaces, proximity tools, neighboring tools, tools located throughout the fab, host computers, another controller, or tools for material transport, bring the wafer container to and from a tool location and/or load port in the semiconductor fabrication fab.
Patterning/device:
the apparatus/processes described above may be used in conjunction with photolithographic patterning tools or processes, for example, for the fabrication or manufacture of semiconductor devices, displays, LEDs, photovoltaic panels, and the like. Typically, but not necessarily, such tools/processes will be used or performed together in a common fabrication facility. Photolithographic patterning of films typically involves some or all of the following steps, each step being accomplished with several possible tools: (1) Applying photoresist to a workpiece, i.e., a substrate, using a spin coating or spray coating tool; (2) Curing the photoresist using a hot plate or oven or a UV curing tool; (3) Exposing the photoresist to visible or UV or EUV or x-ray light with a tool such as a wafer stepper; (4) Developing a resist so as to selectively remove the resist and thereby pattern it using a tool such as a wet clean station; (5) Transferring the resist pattern into the underlying film or workpiece by using a dry or plasma assisted etch tool; and (6) removing the resist using a tool such as an RF or microwave plasma resist stripper.

Claims (27)

1. An electroplating apparatus, comprising:
(a) An electroplating chamber configured to contain an electrolyte and an anode while electroplating a metal onto a substrate;
(b) A substrate holder configured to hold the substrate such that a plating face of the substrate is spaced apart from the anode during electroplating;
(c) An ionically resistive element, comprising:
(i) A trough plate adapted to provide ion transport through the ion resistive element during electroplating;
(ii) A substrate facing side parallel to the plating facing of the substrate and separated from the plating facing of the substrate by a gap; and
(iii) A plurality of ribs positioned on the substrate-facing side of the ionic resistive element, wherein the plurality of ribs comprises a first plurality of ribs having a full maximum height and a second plurality of ribs having a maximum height less than the full maximum height;
(d) An inlet to the gap for introducing cross-flow electrolyte into the gap; and
(e) An outlet of the gap for receiving cross-flow electrolyte flowing in the gap,
wherein the inlet and the outlet are positioned adjacent azimuthally opposite peripheral locations on the plating face of the substrate during electroplating.
2. The electroplating apparatus of claim 1, wherein the ionically resistive element is positioned such that the second plurality of ribs having a smaller maximum height is proximate the entrance of the gap.
3. The electroplating apparatus of claim 1, wherein all ribs are parallel to each other and perpendicular to a flow direction of the cross-flow electrolyte in the gap.
4. The electroplating apparatus of claim 1, wherein the second plurality of ribs comprises at least two ribs having different maximum heights.
5. The electroplating apparatus of any of claim 1, wherein the ribs of the second plurality of ribs are arranged such that the maximum rib height increases in a direction from an edge to a center of an ionically resistive plate, and wherein the second plurality of ribs having a lower height are disposed on only one side of the ionically resistive plate.
6. The electroplating apparatus of any one of claims 1-5, wherein the total number of ribs is between about 15 and 30, and the second plurality of ribs having a lower maximum height has between about 2 and 10 ribs.
7. The electroplating apparatus of any of claims 1-5, wherein the full maximum height of the ribs is less than about 5 millimeters.
8. The electroplating apparatus of any of claims 1-5, wherein the full maximum height of the ribs is about 1-3 millimeters.
9. The electroplating apparatus of any of claims 1 to 5, wherein the gap between a bottom portion of the substrate holder and the ionically resistive element is less than about 20 millimeters.
10. Electroplating apparatus according to any of claims 1 to 5, wherein at least some of the ribs have a variable height.
11. Electroplating apparatus according to any of claims 1 to 5, wherein at least some of the ribs have a variable height, and wherein rib height tapers in a direction towards an edge of the rib.
12. The electroplating apparatus of claim 1, wherein the ionically resistive element comprises a zone, wherein rib height is below the full maximum height, and wherein the zone is generally crescent-shaped.
13. The electroplating apparatus of claim 12, wherein the zone is located near the entrance or the exit of the gap.
14. The electroplating apparatus of claim 1, wherein the ionically resistive element comprises a zone, wherein rib height is below the full maximum height, and wherein the zone is generally annular.
15. The electroplating apparatus of claim 1, wherein the ionically resistive element comprises a zone, wherein rib height is below the full maximum height, and wherein the zone has a martini cup shape.
16. The electroplating apparatus of claim 1, wherein the ionically resistive element comprises a plurality of non-communicative channels.
17. The electroplating apparatus of claim 1, wherein the ionically resistive element comprises a 3-D network of communication channels.
18. The electroplating apparatus of claim 1 further comprising a cross-flow injection manifold fluidly coupled to the inlet.
19. The electroplating apparatus of claim 18, wherein the cross-flow injection manifold is at least partially defined by a cavity in the ionically resistive element.
20. The electroplating apparatus of claim 1 further comprising a restrictor ring positioned over a peripheral portion of the ionically resistive element.
21. The electroplating apparatus of claim 1, wherein the inlet spans an arc between about 90 ° and 180 ° proximate a perimeter of the plating face of the substrate.
22. An ion resistive plate for use in an electroplating apparatus for plating material onto a semiconductor wafer of standard diameter, comprising:
a circular portion having a plurality of channels coextensive with a plating face of the semiconductor wafer, wherein the plate has a thickness between about 2 millimeters and 25 millimeters;
a plurality of ribs extending from the circular portion, wherein the plurality of ribs comprises a first plurality of ribs having a full maximum height and a second plurality of ribs having a maximum height less than the full maximum height.
23. A method for electroplating a substrate, comprising:
(a) Receiving a substrate in a substrate holder, wherein a plating face of the substrate is exposed, and wherein the substrate holder is configured to hold the substrate such that the plating face of the substrate is spaced apart from an anode during electroplating;
(b) Immersing the substrate in an electrolyte, wherein a gap is formed between the plating face of the substrate and a plane of an ionically resistive element,
wherein the ionically resistive element is at least about coextensive with the plating side of the substrate,
wherein the ion resistive element comprises a trough plate adapted to provide ion transmission through the ion resistive element during electroplating, an
Wherein the ionically resistive element comprises a plurality of ribs positioned on a substrate-facing side of the ionically resistive element, wherein the plurality of ribs comprises a first plurality of ribs having a full maximum height and a second plurality of ribs having a maximum height less than the full maximum height;
(c) Flowing electrolyte in contact with the substrate in the substrate holder (i) from a side inlet into the gap and out a side outlet, wherein the side inlet and the side outlet are designed or configured to generate cross-flow electrolyte in the gap during electroplating;
(d) Rotating the substrate holder; and
(e) Electroplating a material onto the plating side of the substrate while flowing the electrolyte as in (c).
24. A method for electroplating a substrate according to claim 23, wherein the electroplating material comprises tin and silver.
25. A method for electroplating a substrate according to claim 23, wherein the electroplating material comprises copper.
26. A method for electroplating a substrate according to claim 23, further comprising the steps of:
applying a photoresist to the semiconductor substrate;
exposing the photoresist to light;
patterning the photoresist and transferring the pattern to the semiconductor substrate;
and selectively removing the photoresist from the semiconductor substrate.
27. A non-transitory computer machine readable medium comprising program instructions for controlling an apparatus configured for substrate processing, wherein the program instructions comprise code configured to effect electrodeposition of a material according to the method of claim 23.
CN202280005381.5A 2021-02-01 2022-01-19 Spatially and dimensionally non-uniform trough plate for modulating fluid dynamics during electroplating Pending CN115803480A (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202163199896P 2021-02-01 2021-02-01
US63/199,896 2021-02-01
PCT/US2022/012969 WO2022164695A1 (en) 2021-02-01 2022-01-19 Spatially and dimensionally non-uniform channelled plate for tailored hydrodynamics during electroplating

Publications (1)

Publication Number Publication Date
CN115803480A true CN115803480A (en) 2023-03-14

Family

ID=82654875

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202280005381.5A Pending CN115803480A (en) 2021-02-01 2022-01-19 Spatially and dimensionally non-uniform trough plate for modulating fluid dynamics during electroplating

Country Status (5)

Country Link
US (1) US20240076795A1 (en)
KR (1) KR20230136017A (en)
CN (1) CN115803480A (en)
TW (1) TW202248466A (en)
WO (1) WO2022164695A1 (en)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW197534B (en) * 1991-03-21 1993-01-01 Eltech Systems Corp
US20120024713A1 (en) * 2010-07-29 2012-02-02 Preisser Robert F Process for electrodeposition of copper chip to chip, chip to wafer and wafer to wafer interconnects in through-silicon vias (tsv) with heated substrate and cooled electrolyte
US9534308B2 (en) * 2012-06-05 2017-01-03 Novellus Systems, Inc. Protecting anodes from passivation in alloy plating systems
US10014170B2 (en) * 2015-05-14 2018-07-03 Lam Research Corporation Apparatus and method for electrodeposition of metals with the use of an ionically resistive ionically permeable element having spatially tailored resistivity
US11001934B2 (en) * 2017-08-21 2021-05-11 Lam Research Corporation Methods and apparatus for flow isolation and focusing during electroplating

Also Published As

Publication number Publication date
KR20230136017A (en) 2023-09-26
TW202248466A (en) 2022-12-16
WO2022164695A1 (en) 2022-08-04
US20240076795A1 (en) 2024-03-07

Similar Documents

Publication Publication Date Title
KR102533812B1 (en) Control of electrolyte flow dynamics for uniform electroplating
KR102423978B1 (en) Dynamic modulation of cross flow manifold during elecroplating
CN110306224B (en) Apparatus and method for electroplating metals using an ionically resistive ionically permeable element
CN108707940B (en) Apparatus and method for dynamically controlling plating uniformity using remote current
CN111032927B (en) Method and apparatus for flow isolation and focusing during electroplating
US10301739B2 (en) Anisotropic high resistance ionic current source (AHRICS)
CN111094636B (en) Method and apparatus for controlling delivery of cross-flow and impinging electrolyte during electroplating
KR102563118B1 (en) Convection Optimization for Mixed Feature Electroplating
US10760178B2 (en) Method and apparatus for synchronized pressure regulation of separated anode chamber
CN108330518B (en) Method and apparatus for filling an interconnect structure
CN115803480A (en) Spatially and dimensionally non-uniform trough plate for modulating fluid dynamics during electroplating
CN210215601U (en) Active anode and electroplating device for electroplating metal on substrate
CN114502778A (en) Wafer shield for preventing lip seal plating out

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination