CN115472557A - 互连结构及其形成方法 - Google Patents

互连结构及其形成方法 Download PDF

Info

Publication number
CN115472557A
CN115472557A CN202210524763.0A CN202210524763A CN115472557A CN 115472557 A CN115472557 A CN 115472557A CN 202210524763 A CN202210524763 A CN 202210524763A CN 115472557 A CN115472557 A CN 115472557A
Authority
CN
China
Prior art keywords
metal cap
conductive
dielectric layer
etch stop
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202210524763.0A
Other languages
English (en)
Inventor
林翔伟
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN115472557A publication Critical patent/CN115472557A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76868Forming or treating discontinuous thin films, e.g. repair, enhancement or reinforcement of discontinuous thin films
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1052Formation of thin functional dielectric layers
    • H01L2221/1057Formation of thin functional dielectric layers in via holes or trenches
    • H01L2221/1063Sacrificial or temporary thin dielectric films in openings in a dielectric

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本申请的实施例提供了一种互连结构及其形成方法。该方法包括:在第一介电层中形成第一导电部件,在第一导电部件上方形成第一金属帽并接触第一导电部件,在第一介电层和第一金属帽上方形成蚀刻停止层,在蚀刻停止层上方形成第二介电层;以及蚀刻第二介电层和蚀刻停止层以形成开口。第一导电部件暴露于开口。方法还包括:在开口的底部处选择性地沉积第二金属帽,在开口的底部处和第二金属帽上形成抑制剂膜,在开口中选择性地沉积导电阻挡件,去除抑制剂膜,以及用导电材料填充开口的剩余部分以形成第二导电部件。

Description

互连结构及其形成方法
技术领域
本申请的实施例涉及一种互连结构及其形成方法。
背景技术
集成电路包括互连结构,互连结构可以包括金属线和通孔以用作三维布线结构。互连结构的功能是将密集封装的器件正确连接在一起。
金属线和通孔形成在互连结构中。金属线和通孔通常由镶嵌工艺形成。镶嵌工艺可以包括在介电层中形成沟槽和通孔开口,沉积阻挡层,然后用铜填充沟槽和通孔开口。在化学机械抛光(CMP)工艺之后,平整金属线的顶面,分别在沟槽和通孔开口中留下金属线和通孔。
发明内容
根据本发明的实施例的一个方面,提供了一种形成互连结构的方法,包括:在第一介电层中形成第一导电部件;在第一导电部件上方形成第一金属帽并接触第一导电部件;在第一介电层和第一金属帽上方形成蚀刻停止层;在蚀刻停止层上方形成第二介电层;蚀刻第二介电层和蚀刻停止层以形成开口,其中,第一导电部件暴露于开口;在开口的底部处选择性地沉积第二金属帽;在开口的底部处和在第二金属帽上形成抑制剂膜;在开口中选择性地沉积导电阻挡件;去除抑制剂膜;以及用导电材料填充开口的剩余部分以形成第二导电部件。
根据本发明的实施例的另一个方面,提供了一种互连结构,包括:第一导电部件,包括顶面,其中,顶面包括第一部分和第二部分;第一金属帽,位于顶面的第一部分上方并与顶面的第一部分接触;第二金属帽,与顶面的第二部分重叠;蚀刻停止层,位于第一金属帽上方并接触第一金属帽;介电层,位于蚀刻停止层上方;以及第二导电部件,位于蚀刻停止层和介电层中,其中,第二导电部件位于第二金属帽上方并接触第二金属帽。
根据本发明的实施例的又一个方面,提供了一种互连结构,包括:第一导电部件;第一金属帽,位于第一导电部件上方并接触第一导电部件;第二金属帽,位于第一导电部件上方并接触第一导电部件,其中,第一金属帽和第二金属帽彼此接触以形成可区分的界面;介电层,位于第一金属帽上方并与第一金属帽接触;以及第二导电部件,位于第二金属帽上方并接触第二金属帽。
附图说明
当结合附图进行阅读时,从以下详细描述可最佳理解本发明的各个方面。应该强调,根据工业中的标准实践,各个部件未按比例绘制并且仅用于说明的目的。实际上,为了清楚的讨论,各个部件的尺寸可以任意地增大或减小。
图1-图13示出了根据一些实施例的互连结构形成中的中间阶段的截面图。
图14示出了根据一些实施例的互连结构的截面图。
图15示出了根据一些实施例的形成互连结构的工艺流程。
具体实施方式
以下公开内容提供了许多用于实现本发明的不同特征不同的实施例或实例。下面描述了组件和布置的具体实施例或实例以简化本发明。当然,这些仅是实例而不旨在限制。例如,在以下描述中,在第二部件上方或者上形成第一部件可以包括第一部件和第二部件直接接触形成的实施例,并且也可以包括在第一部件和第二部件之间可以形成附加部件,从而使得第一部件和第二部件可以不直接接触的实施例。此外,本发明可以在各个示例中重复参考数字和/或字母。该重复是为了简单和清楚的目的,并且其本身不指示讨论的各个实施例和/或配置之间的关系。
此外,为了便于描述,本文中可以使用诸如“在…下方”、“在…下面”、“下部”、“在…上面”、“上部”或类似物的间隔关系术语,以描述如图中所示的一个元件或部件与另一元件或部件的关系。除了图中所示的方位外,间隔关系术语旨在包括器件在使用或操作工艺中的不同方位。装置可以以其它方式定位(旋转90度或在其它方位),并且在本文中使用的间隔关系描述符可以同样地作相应地解释。
根据各种实施例,提供了一种再沉积金属帽并选择性地形成导电部件的导电阻挡件的方法。根据本公开的一些实施例,在介电层中形成沟槽和通孔开口,并且金属帽暴露于通孔开口。在通孔开口的形成中可能不希望去除金属帽,并且因此可能暴露下面的导电部件。铜的暴露导致电迁移和难以形成抑制剂,抑制剂是为了在后续工艺中选择性地形成导电阻挡件。在本发明中,在形成通孔开口之后,在导电部件上选择性地沉积附加金属帽,随后在附加金属帽上形成抑制剂膜,并选择性地形成阻挡层。抑制剂膜防止在通孔开口的底部处形成阻挡层,并且因此消除了由阻挡层的底部部分导致的电阻增加。然后去除抑制剂膜。然后用金属材料填充剩余的沟槽和开口以形成金属线和通孔。根据一些实施例,图示了形成导电部件的中间阶段。讨论了一些实施例的一些变体。在各个视图和说明性实施例中,相同的附图标号用于表示相同的元件。
图1至图13示出了根据本公开的一些实施例的金属线和通孔形成中的中间阶段的截面图。相应的工艺也示意性地反映在如图15所示的工艺流程200中。
图1示出了封装组件100的截面图。根据本公开的一些实施例,封装组件100是或包括器件晶圆(例如逻辑器件晶圆),器件晶圆包括诸如晶体管和/或二极管的有源器件,并且可以包括或不包括诸如电容器、电感器、电阻器或类似物的无源器件。根据本公开的替代实施例,封装部件100是可以不包括有源器件和/或无源器件的中介层(interposer)晶圆。根据本公开的又一替代实施例,封装部件100是封装衬底带,封装衬底带可以包括其中具有芯的封装衬底或无芯的封装衬底。在随后的讨论中,以器件晶圆作为封装组件100的示例。本发明的实施例还可以应用于其他类型的封装组件,诸如中介层晶圆、封装衬底、封装件或类似物。
根据本公开的一些实施例,封装部件100包括半导体衬底20和形成在半导体衬底20的表面处的部件。半导体衬底20可以包括晶体硅、晶体锗、硅锗、III-V化合物半导体,诸如GaAsP、AlInAs、AlGaAs、GaInAs、GaInP、GaInAsP或类似物。半导体衬底20也可以是块硅衬底或绝缘体上硅(SOI)衬底。可以在半导体衬底20中形成浅沟槽隔离(STI)区(未示出)以隔离半导体衬底20中的有源区。尽管未示出,但是可以形成贯通孔以延伸到半导体衬底20中,其中贯通孔用于电耦合半导体衬底20的相对侧上的部件。
根据本公开的一些实施例,封装部件100用于形成器件管芯。根据这些实施例,集成电路器件22形成在半导体衬底20的顶面上。集成电路器件22的示例包括互补金属氧化物半导体(CMOS)晶体管、电阻器、电容器、二极管或类似物。此处未示出集成电路器件22的细节。根据替代实施例,封装组件100用于形成中介层。根据这些实施例,衬底20也可以是半导体衬底或介电衬底。
蚀刻停止层23和介电层24形成在衬底20上方。蚀刻停止层23可以由以下材料形成或包括以下材料:氧化硅、氮化硅、碳氮化硅、碳氧化硅、氧氮化硅、氧碳氮化物、氧化铝、氮化铝、或类似物或它们的组合。例如,蚀刻停止层23可以包括氮化铝层、氮化铝层上方的碳氧化硅层和碳氧化硅层上方的氧化铝层。
介电层24可以是层间介电(ILD)或金属间介电(IMD)。根据本公开的一些实施例,介电层24是ILD,接触插塞形成在其中。相应的介电层24可以由磷硅酸盐玻璃(PSG)、硼硅酸盐玻璃(BSG)、掺硼磷硅酸盐玻璃(BPSG)、掺氟硅酸盐玻璃(FSG)、氧化硅或类似物形成。可以使用旋涂、原子层沉积(ALD)、可流动化学气相沉积(FCVD)、化学气相沉积(CVD)、等离子体增强化学气相沉积(PECVD)、低压化学气相沉积(LPCVD)或类似物来形成介电层24。
根据替代实施例,介电层24是IMD,金属线和/或通孔形成在其中。相应的介电层24可以由含碳的低k介电材料、氢硅硅氧烷(HSQ)、甲基硅硅氧烷(MSQ)或类似物形成。根据本发明的一些实施例,形成介电层24包括沉积含致孔剂的介电材料,然后执行固化工艺以驱除致孔剂,并且因此剩余的介电层24是多孔的。
在介电层24中形成导电部件30。相应的工艺在图15所示的工艺流程200中被示为工艺202。导电部件30可以是金属线、导电通孔、接触插塞、或类似物、或它们的组合。例如,当介电层24是ILD时,导电部件可以是接触插塞或通孔。当介电层24是IMD时,如图1所示,导电部件可以是通孔,或者可以包括金属线30L和金属线30L下面的通孔30V。导电部件30可以包括扩散阻挡层26和扩散阻挡层26上方的金属区域28。扩散阻挡层26可以由诸如钛、氮化钛、钽、氮化钽、钴或类似物的导电材料形成。金属区域28可以由铜、铜合金、铝、钨或类似物形成。扩散阻挡层26具有防止导电区域28中的材料(例如铜)扩散到介电层24中的功能。在扩散阻挡层26和金属区域28之间可以有也可以没有导电衬垫。导电衬垫可以由钴或其他导电材料形成。根据本发明的一些实施例,导电部件30的形成也可以采用随后讨论的工艺,使得扩散阻挡层26可以不具有底部水平部分。
参考图2,根据本公开的一些实施例,在导电部件30上方形成金属帽32。相应的工艺在图15中所示的工艺流程200中被示为工艺204。根据在一些实施例中,金属帽32由以下材料形成或包括以下材料:钴(Co)、CoWP、CoB、钨(W)、钽(Ta)、镍(Ni)、钼(Mo)、钛(Ti)、铁(Fe)、或类似物或其合金。金属帽32可以使用CVD选择性地形成,CVD可以是选择性的。可选地,金属帽32可以使用电化学电镀(ECP)或化学镀形成,在晶圆100被浸没在电镀溶液期间。根据本公开的替代实施例,金属帽32被毯式沉积在导电部件30和介电层24上,随后进行蚀刻工艺以去除不希望的部分。虽然图3示出金属帽32的顶面与介电层24的顶面齐平,但金属帽32的顶面也可以高于介电层24的顶面。
根据一些实施例,当金属帽32由钴形成或包含钴时,可以使用含钴前体和还原剂来沉积金属帽32。含钴前体可包括选自三羰基烯丙基钴、环戊二烯基双(羰基)钴、甲基环戊二烯基双(羰基)钴、乙基环戊二烯基双(羰基)钴、五甲基环戊二烯基双(羰基)钴、八(羰基)二钴、亚硝基钴三(羰基)、双(环戊二烯基)钴、(环戊二烯基)钴(环己二烯基)、环戊二烯基钴(1,3-己二烯基)、(环丁二烯基)钴(环戊二烯基)、双(甲基环戊二烯基)钴、(环戊二烯基)钴(五甲基环戊二烯基)、双(乙烯)钴(五甲基环戊二烯基)、它们的衍生物和/或它们的组合的钴前体气体。还原剂可以包括H2、NH3、或类似物或它们的组合。
金属帽32的厚度T1可以在约10
Figure BDA0003643735260000061
到约40
Figure BDA0003643735260000062
之间的范围内。根据一些实施例,厚度T1在约10
Figure BDA0003643735260000063
和约20
Figure BDA0003643735260000064
之间的范围内。根据替代实施例,厚度T1在约20
Figure BDA0003643735260000065
和约40
Figure BDA0003643735260000066
之间的范围内。
参考图3,在介电层24和金属帽32上方形成蚀刻停止层34。相应的工艺在图15中所示的工艺流程200中被示为工艺206。蚀刻停止层34可以包括氮化硅(SiN)、碳化硅(SiC)、氧氮化硅(SiON)、氧碳化硅(SiOC)、碳氮化硅(SiCN)或类似物。蚀刻停止层34还可以包括金属氧化物、金属氮化物或类似物。蚀刻停止层34可以是由同质材料形成的单层,或者是包括由不同材料形成的多个介电子层的复合层。根据本公开的一些实施例,蚀刻停止层34包括氮化铝(AlN)层、氮化铝层上方的碳氧化硅层和碳氧化硅层上方的氧化铝层。
介电层36形成在蚀刻停止层34上方。根据一些实施例,介电层36是IMD或ILD。介电层36可以包括介电材料,诸如氧化物、氮化物、含碳介电材料或类似物。例如,介电层36可以由以下材料形成或包括以下材料:具有低于约3.5或低于约3.0的低介电常数值(k值)的低k介电材料。介电层36由以下材料形成或包括以下材料:含碳低k介电材料、HSQ、MSQ、氧化硅、磷硅酸盐玻璃(PSG)、硼硅酸盐玻璃(BSG)、掺硼磷硅酸盐玻璃(BPSG)形成或包括、掺氟硅酸盐玻璃(FSG)或类似物。沉积工艺可以包括等离子体增强化学气相沉积(PECVD)、低压化学气相沉积(LPCVD)或类似物。
图4到图12示出了根据一些实施例的形成金属线和通孔的工艺。可以理解,图4至图12中所示的示例列举了双镶嵌工艺。根据替代实施例,也可以设想形成金属线、通孔、接触插塞或类似物的单镶嵌工艺以及形成工艺。
如图4和图5所示,通过蚀刻形成通孔开口42和沟槽44。相应的工艺在如图15所示的工艺流程200中被示为工艺208。可以使用例如光刻技术形成通孔开口42和沟槽44。在示例性形成工艺中,如图4所示,首先形成和图案化硬掩模46以具有沟槽44的图案。硬掩模46可以由氮化钛、氮化硼或类似物形成。图案化硬掩模46以形成开口50,其中开口50限定了要被填充以形成金属线的沟槽的图案。接下来,在硬掩模46上形成光刻胶52,然后图案化以形成开口54,通过开口54暴露介电层36。然后蚀刻介电层36以形成通孔开口42。根据本公开的一些实施例,使用包含氟和碳的工艺气体来执行介电层36的蚀刻,其中氟用于蚀刻,同时碳具有保护所得开口的侧壁的效果。通过适当的氟和碳比率,通孔开口42可以具有期望的轮廓。例如,用于蚀刻的工艺气体可以包括诸如C4F8、CH2F2和/或CF4的含氟和碳的气体、以及诸如N2的载气。
可以使用时间模式执行用于形成通孔开口42的蚀刻。作为蚀刻的结果,通孔开口42延伸到介电层36的顶面和底面之间的中间水平。接下来,去除光刻胶52,随后使用硬掩模46作为蚀刻掩模进一步蚀刻介电层36。在蚀刻工艺(其是各向异性蚀刻工艺)中,通孔开口42向下延伸直到蚀刻停止层34被暴露。同时通孔开口42向下延伸,形成沟槽44以延伸到介电层36中,并且得到的结构如图5所示。
根据替代实施例,通孔开口42和沟槽44在单独的光刻工艺中形成。例如,在第一光刻工艺中,形成沟槽44。在第二光刻工艺中,形成向下延伸到蚀刻停止层34的通孔开口42。形成通孔开口42和沟槽44的顺序也可以颠倒。
接下来,同样如图6所示,蚀刻穿过蚀刻停止层34。相应的工艺在如图15所示的工艺流程200中被示为工艺210。根据本公开的一些实施例,采用湿蚀刻工艺。蚀刻溶液可以包括乙二醇、二甲基硫、胺、H2O2或类似物。乙二醇可以用作表面活性剂。二甲基硫可以用作溶剂。胺可用于去除封装组件100的表面上不希望的有机物质,并且H2O2和胺可用于蚀刻蚀刻停止层34。当使用湿清洁时,还可以使用稀释的HF溶液执行清洁工艺。此外,在蚀刻穿过蚀刻停止层34之后,可以(或可以不)执行附加湿清洁工艺。可以执行附加清洁工艺以去除沟槽44和通孔开口42中的副产物和任何氧化物。根据一些实施例,可以通过干蚀刻工艺执行附加清洁工艺。蚀刻气体可以包括NF3和NH3的混合物、HF和NH3的混合物或类似物。
蚀刻停止层34的蚀刻和附加清洁工艺(如果有的话)可能导致金属帽32的暴露部分的去除或减薄。根据一些实施例,如图6所示,暴露部分直接在通孔开口42下面的金属帽32的部分被蚀刻穿过。金属帽32的暴露部分可以被完全地或部分地去除。下面的金属区域28(其可以包括铜)被暴露。根据替代实施例,减薄直接位于通孔开口42下面的金属帽32的暴露部分,但是未被蚀刻穿过。例如,图6示出了金属帽32的示例性剩余部分,其使用虚线示出以指示金属帽32的该部分可以存在或可以不存在。金属帽32的减薄部分仍可以完全地覆盖导电部件28。根据一些实施例,蚀刻穿过金属帽32的一些暴露部分以露出下面的金属区域28,而金属帽32的一些其他暴露部分被减薄,但是不完全去除。减薄的金属帽32的厚度T1'可以在厚度T1的约10%和约70%之间的范围内。根据这些实施例,金属帽32的剩余部分的一些部分可以是不连续的,并且可能形成彼此分离的离散岛,其中金属区域28通过岛之间的开口暴露。可选地,减薄的金属帽32的部分可以在其中具有开口以暴露下面的导电部件28。图13和图14中示意性地示出金属帽32的示例离散岛。
不利的蚀刻穿过或减薄金属帽32具有一些不良影响。例如,在图8所示的工艺中,抑制剂膜58将形成在通孔开口42的底部处。抑制剂膜58对一些金属(诸如铜)是热不稳定的。如果金属区28(其可以包括铜)被暴露,则抑制剂膜58可能不会形成在金属区28的表面上,或者抑制剂膜58可能不是连续的膜。这将牺牲随后形成导电阻挡件60(图9)的选择性,并且导电阻挡件60可能不希望地形成在金属区域28的顶部上。此外,金属帽32具有防止铜的电迁移的功能,并且因此如果被去除或减薄,则可能在金属区域28和通孔68(图13)之间发生电迁移,并且由于电迁移可能在通孔68或金属区域28中出现空洞。
根据一些实施例,如图7所示,为了补充金属帽32的损失部分,执行选择性再沉积工艺以形成金属帽56。相应的工艺在如图15所示的工艺流程200中被示为工艺212。利用选择性再沉积,金属帽56沉积在通孔开口42的底部处,而不是沉积在介电层36和蚀刻停止层34的侧壁和顶面上。在其中直接位于通孔开口42下方的金属帽32的整个部分被去除的一些实施例中,如图7所示,金属帽56的整个底面与金属区28的暴露部分的顶面接触。根据其中金属帽32被减薄且未被蚀刻穿过的替代实施例,金属帽56的整个底面与减薄的金属帽32的顶面接触。又根据其中金属帽32具有被蚀刻穿过的一些部分和没有被蚀刻穿过的另一些部分的替代实施例,金属帽56的底面具有与减薄的金属帽32的顶面接触的一些部分,以及与金属区域28的顶面接触的另一些部分。
根据一些实施例,金属帽56可以由以下材料形成或包括以下材料:钴(Co)、CoWP、CoB、钨(W)、钽(Ta)、镍(Ni)、钼(Mo)、钛(Ti)、铁(Fe)、或类似物、或其合金。金属帽32和金属帽56的材料虽然可以选自相似或相同的候选材料组,但可以彼此相同或彼此不同。例如,金属帽32和56中的一个可以包括钴,而另一个可以包括不含铜的材料。可选地,金属帽32和金属帽56两者可以包括相同的材料(诸如钴),但是金属帽32和金属帽56可以包括材料(例如,钴)的不同百分比和/或不同的其他材料。
根据其中金属帽56由钴形成或包括钴的一些实施例,金属帽56的选择性再沉积工艺使用含钴前体和还原剂来执行。含钴前体可以包括钴前体气体,钴前体气体选自三羰基烯丙基钴、环戊二烯基双(羰基)钴、甲基环戊二烯基双(羰基)钴、乙基环戊二烯基双(羰基)钴、五甲基环戊二烯基双(羰基)钴、八(羰基)二钴、亚硝基钴三(羰基)、双(环戊二烯基)钴、(环戊二烯基)钴(环己二烯基)、环戊二烯基钴(1,3-己二烯基)、(环丁二烯基)钴(环戊二烯基)、双(甲基环戊二烯基)钴、(环戊二烯基)钴(五甲基环戊二烯基)、双(乙烯)钴(五甲基环戊二烯基)、它们的衍生物和/或它们的组合。还原剂可以包括H2、NH3、或类似物、或它们的组合。沉积工艺可以包括CVD工艺、ALD工艺或类似物。根据一些实施例,再沉积工艺可以持续约10秒至约200秒之间的范围内的时间段。在再沉积期间封装组件100的温度可以在约180℃和约400℃之间的范围内。
控制再沉积工艺的工艺条件以实现金属帽56上的金属帽56的选择性沉积,并且不是在介电层36(和蚀刻停止层34,如果暴露的话)的暴露表面上。根据一些实施例,还原剂的流速FR-RA被选择为大于含钴前体的流速FR-Co。比率FR-RA/FA-Co大于1.0,并且可以大于10、大于约100或大于约400。例如,比率FR-RA/FA-Co可以在约400和约600之间的范围内。诸如氢气的还原剂的流速可以在约5,000sccm和约10,000sccm之间的范围内,而含钴前体的流速可以在约10sccm和约30sccm之间的范围内。当使用CVD时,将还原剂和含钴前体同时导入相应的处理室。当使用ALD时,将还原剂和含钴前体单独地导入相应的工艺室并单独地从相应的工艺室中清除。
利用如上所述的适当选择的工艺条件,诸如流速比和温度,实现了选择性再沉积,并且金属帽56沉积在导电部件30的顶面上。如果存在直接位于通孔开口42的下面的金属帽32的剩余部分,则金属帽56也沉积在剩余金属帽32的顶面上并且接触剩余金属帽32的顶面。另一方面,诸如介电层36和蚀刻停止层34的介电部件的暴露表面位于通孔开口42上。其上不具有形成在其上的金属帽56。
金属帽56的厚度T2可以在约10
Figure BDA0003643735260000101
和约40
Figure BDA0003643735260000102
之间的范围内。根据一些实施例,厚度T2在约10
Figure BDA0003643735260000103
和约20
Figure BDA0003643735260000104
之间的范围内。根据替代实施例,厚度T2在约20
Figure BDA0003643735260000105
和约40
Figure BDA0003643735260000106
之间的范围内。此外,厚度T2可以等于、小于或大于金属帽32的厚度T1。可以理解,由于金属帽56的形成是在通孔开口42和沟槽44的形成之后执行的,因此将不再有金属帽56的更多损失,因此金属帽56可以形成得比金属帽32更薄,而不用担心电迁移。如上所述,金属帽32可以具有一些直接位于金属帽56下面并且接触金属帽56的一些减薄部分。
金属帽32和金属帽56在不同的工艺中形成。此外,金属帽32和金属帽56可以具有在不同的工艺条件下形成的不同(或相同)的材料,和/或其中可以具有相同或不同百分比的元素。因此,金属帽32和金属帽56可以彼此区分并且可以具有可区分的界面,无论它们是由相同的还是不同的材料形成,也无论它们具有相同或不同百分比的元素。此外,金属帽32和金属帽56可以具有不同的晶格结构。例如,金属帽32和金属帽56中的一个可以是非晶的,而另一个可以是多晶的。可选地,金属帽32和金属帽56都可以具有多晶结构,但是具有不同的晶粒尺寸和/或晶粒方向,从而可以将它们彼此区分开。
参考图8,沉积抑制剂膜58。相应的工艺在如图15所示的工艺流程200中被示为工艺214。抑制剂膜58对金属和介电有选择性。因此,沉积也是选择性的,使得抑制剂膜58形成在金属帽56上,而不是在诸如介电层36和蚀刻停止层34的介电层的暴露表面上。根据一些实施例,抑制剂膜58是通过干形成工艺形成,其中将含抑制剂的工艺气体被导入到工艺室中,封装部件100放置在其中。因此,封装组件100被浸入含抑制剂的工艺气体中。含抑制剂的工艺气体可以包括:含碳和氢的气体,诸如乙炔(C2H2)、有机硅烷(C8~C20,例如:十二烷基硅烷)、有机磷酸(C8~C20,例如十八烷基膦酸);有机聚合物(聚酰亚胺:均苯四酸二酐,诸如1,6-二氨基己烷(ride+1,6-diaminohexane),聚酰胺:乙二胺或己二酰氯)、或类似物、或它们的组合。含抑制剂的工艺气体还可以包括长碳链前体,其也可以与含碳和氢的气体混合。处理室中的浸入压力可以在约1托和约10托之间的范围内。浸入时间可以在约30秒和约30分钟之间的范围内。浸入中的封装组件100的温度可以在约40℃和约300℃之间的范围内。
根据替代实施例,抑制剂膜58通过湿形成工艺形成,其中将含抑制剂的前体溶解到溶剂中以形成化学溶液,并且所得溶剂用于在其中浸没封装组件100或者喷在封装组件100上。含抑制剂的工艺前体可以包括含碳和氢的化学物质,诸如乙炔(C2H2)或类似物。含抑制剂的工艺前体还可以包括长碳链前体,其也可以与含碳和氢的前体混合。
根据一些实施例,形成的抑制剂膜58可以是单层膜或者可以包括多个单层。抑制剂膜58的厚度T3可以在约2
Figure BDA0003643735260000111
和约15
Figure BDA0003643735260000112
之间的范围内。可以理解,图8中的厚度T3可能被夸大了,并且实际的厚度可能要小得多。抑制剂膜58可以包括诸如碳、氢的元素。此外,抑制剂膜58可以包括来自以下的元素:乙炔(C2H2)、有机硅烷(C8~C20,例如:十二烷基硅烷)、有机磷酸(C8~C20,例如十八烷基膦酸)、有机聚合物(聚酰亚胺:均苯四酸二酐,诸如1,6-二氨基己烷(ride+1,6-diaminohexane),聚酰胺:乙二胺或己二酰氯),以及包括碳和氢的元素。
希望抑制剂膜58具有良好的覆盖,例如,金属帽56的顶面的100%、或者至少90%或更大,从而没有(或至少很少)金属帽56的表面在形成抑制剂膜58之后被暴露。覆盖的增加可以通过延长反应时间来实现。
接下来,参考图9,沉积导电阻挡件60衬垫通孔开口42和沟槽44。例如,可以使用ALD工艺或CVD工艺来实现沉积工艺。相应的工艺在如图15所示的工艺流程200中被示为工艺216。导电阻挡件60可以包括钽、氮化钽、钛、氮化钛、钌或类似物,并且具有防止随后沉积含铜区域66(图11)中的铜扩散到介电层36中的功能。根据一些实施例,可以使用物理气相沉积(PVD)来沉积导电阻挡件60,其中使用相应金属(诸如Ta、Ti、Ru等)。根据其中形成TaN的替代实施例,可以使用含Ta和含氮工艺气体(诸如五二甲氨基钽(C10H30N5Ta)、TaCl5、或类似物)以及还原剂(诸如H2),其中可以使用CVD或其他适用的工艺。根据本公开的一些实施例,导电阻挡件60包括使用ALD形成的TaN。相应的ALD循环可以包括将含Ta工艺气体(诸如五二甲氨基钽(C10H30N5Ta)、TaCl5、或类似物)导入对应的ALD室,清除含Ta工艺气体,将含氮工艺气体(诸如氨)导入工艺室,并清除含氮工艺气体。可以有多个ALD循环。在形成导电阻挡件60之后,在介电层36的侧壁上的导电阻挡件60的部分的厚度T4大到足以用作扩散阻挡件。厚度T4可以大于约10
Figure BDA0003643735260000121
,例如在约10
Figure BDA0003643735260000122
和约40
Figure BDA0003643735260000123
之间的范围内。
由于抑制剂膜58的存在,导电阻挡件60沉积在不存在抑制剂膜58的位置,诸如介电层36和蚀刻停止层34的侧壁(当暴露时)。因此消除了由导电阻挡件60的底部部分导致的增加的电阻,特别是当导电阻挡件60是由诸如金属氮化物的高电阻率材料形成时。抑制剂膜58也形成在介电层36的顶面上。导电阻挡件60不沉积在金属帽56上。导电阻挡件60可以是共形层,除了形成抑制剂膜58的位置。
参考图10,执行后沉积处理工艺62以去除抑制剂膜58。相应的工艺在图15中所示的工艺流程200中示为工艺218。后沉积处理工艺62可以通过等离子体处理工艺和/或热处理工艺来执行。工艺气体可以包括氧气(O2)。根据本公开的一些实施例,执行等离子体处理。处理持续时间可以在约10秒和约60秒之间的范围内。根据本公开的替代实施例,执行热处理(不产生等离子体)。在热处理期间,封装部件100的温度可以高于约150℃,例如在约150℃和约350℃之间的范围内。处理持续时间可以在约10秒和约300秒之间的范围内。根据替代实施例,可以通过烘烤工艺执行抑制剂膜58的去除,其中不进行含氧工艺。烘烤工艺的持续时间可以在约0.5分钟和约5分钟之间的范围内。在烘烤工艺中封装组件100的温度可以在约150℃和约350℃之间的范围内。
作为后沉积处理工艺62的结果,去除了抑制剂膜58。所得结构如图10所示。在后沉积处理中,抑制剂膜58被分解成气体,气体被去除。此外,金属帽56的氧化物(如果有的话)可以通过在附加处理工艺中引入氢(H2)被还原回元素金属,附加处理工艺可以在使用氧的处理之后进行(如果进行的话)。在去除抑制剂膜58之后,导电阻挡件60的底端可以与金属帽56的顶面接触,并且如果金属帽56比金属帽32薄,则可以与金属帽32的侧壁接触。可选地,由于抑制剂膜58的去除,导电阻挡件60的底端高于金属帽56的顶面并与金属帽56的顶面隔开。例如,图10中画出虚线框64,虚线框64内的导电阻挡件60的部分可以存在也可以不存在。
在沉积导电阻挡件60之后执行后沉积处理的有利特征是导电阻挡件60通过后沉积处理而浓缩。通过增加导电阻挡件60的密度,其阻挡材料(例如铜)通过导电阻挡件60扩散的能力得到提高。
参考图11,沉积导电材料以填充通孔开口42和沟槽44。根据一些实施例,导电材料的沉积包括执行沉积工艺以形成衬垫层65,然后用金属材料66填充通孔开口42和沟槽44的其余部分。相应的工艺在图15所示的工艺流程200中被示为工艺220。衬垫层65可以由诸如钴的金属形成或包括诸如钴的金属。衬垫层65的形成可以包括PVD、CVD或类似物。金属材料66可以包括铜、钨、或类似物,并且可以通过电镀、化学镀、沉积、或类似物形成。
参考图12,执行诸如化学机械平坦化(CMP)工艺或机械抛光工艺的平坦化工艺以去除衬垫层65和金属材料66的多余部分,从而形成通孔68和金属线70。相应的工艺在如图15所示的工艺流程200中被示为工艺222。通孔68和金属线70中的每个包括导电阻挡件60的部分、衬垫层65的部分和金属材料66的部分。导电阻挡件60形成完全环绕衬垫层65和金属材料66的环。
由于导电阻挡件60的选择性形成,通孔68和金属帽56之间没有导电阻挡件60。因此,导电部件30和通孔68之间的电阻很小。此外,金属帽56的再沉积改善了对可能发生在导电部件30和通孔68之间的电迁移的阻抗力。
图13示出了金属帽72和蚀刻停止层74的形成,其可以具有选自用于分别形成金属帽32和蚀刻停止层23和34的相同候选结构和材料组的结构和材料。相应的工艺在如图15所示的工艺流程200中被示为工艺224。在随后的工艺中,形成互连结构76的更多上层。互连结构的上层的形成工艺、结构、材料可以与参照图2至图12所示和所讨论的基本相同。
根据一些实施例,如图13所示,金属帽56具有与金属帽32相同的厚度。根据替代实施例,金属帽56的厚度不同于金属帽32的厚度。例如,如图14所示,金属帽56的厚度T2小于金属帽32的厚度T1。根据替代实施例,金属帽56的厚度T2可以大于金属帽32的厚度T1。
图13和图14还示出了一些实施例,其中金属帽32在如图6所示的工艺之后具有一些剩余部分。例如,如图13和图14所示,金属帽32的一些离散部分可以保留,并且可以通过金属帽56彼此分开。此外,金属帽32的离散部分可以与金属帽32的未蚀刻部分间隔开。此外,在其他实施例中,金属帽32可以被减薄但不被蚀刻穿过,如图6所示。在所得结构中,金属帽56将通过减薄的金属帽32与金属导电部件30完全分离。
本公开的实施例具有一些有利特征。通过在选择性形成抑制剂膜和导电阻挡件之前再沉积金属帽,可以更好、更均匀地形成抑制剂膜,并且改善了导电阻挡件的形成中的选择性。
根据本公开的一些实施例,一种方法包括:在第一介电层中形成第一导电部件;在第一导电部件上方形成第一金属帽并接触第一导电部件;在第一介电层和第一金属帽上方形成蚀刻停止层;在蚀刻停止层上方形成第二介电层;蚀刻第二介电层和蚀刻停止层以形成开口,其中,第一导电部件暴露于开口;在开口的底部处选择性地沉积第二金属帽;在开口的底部处和在第二金属帽上形成抑制剂膜;在开口中选择性地沉积导电阻挡件;去除抑制剂膜;以及用导电材料填充开口的剩余部分以形成第二导电部件。在一个实施例中,抑制剂膜包括碳和氢。在一个实施例中,在蚀刻蚀刻停止层之后,至少减薄第一金属帽。在一个实施例中,在蚀刻蚀刻停止层之后,蚀刻穿过第一金属帽,并且暴露第一导电部件的顶面的至少部分。在一个实施例中,在蚀刻蚀刻停止层之后,减薄第一金属帽,并且在选择性地沉积第二金属帽之后,通过减薄的第一金属帽将第二金属帽与第一导电部件完全分离。在一个实施例中,选择性地沉积第二金属帽包括沉积与第一金属帽相同的材料。在一个实施例中,选择性地沉积第二金属帽包括沉积与第一金属帽不同的材料。在一个实施例中,去除抑制剂膜包括烘烤工艺。在一个实施例中,第二金属帽的厚度小于第一金属帽的厚度。在一个实施例中,形成第一金属帽和选择性地沉积第二金属帽都包括沉积钴。
根据本公开的一些实施例,一种结构包括:第一导电部件,包括顶面,其中,顶面包括第一部分和第二部分;第一金属帽,位于顶面的第一部分上方并与顶面的第一部分接触;第二金属帽,与顶面的第二部分重叠;蚀刻停止层,位于第一金属帽上方并接触第一金属帽;介电层,位于蚀刻停止层上方;以及第二导电部件,位于蚀刻停止层和介电层中,其中,第二导电部件位于第二金属帽上方并接触第二金属帽。在一个实施例中,第二导电部件包括:导电阻挡件;和导电区域,由导电阻挡件环绕,其中,导电区域物理接触第二金属帽。在一个实施例中,导电阻挡件包括钽。在一个实施例中,导电阻挡件的底部边缘与第二金属帽间隔开并且高于第二金属帽。在一个实施例中,导电区域包括:衬垫层,包含钴;和铜区域,位于衬垫层上。在一个实施例中,第一金属帽和第二金属帽包括相同的材料,并且在第一金属帽和第二金属帽之间具有可区分的界面。在一个实施例中,第一金属帽的第一厚度等于或大于第二金属帽的第二厚度。
根据本公开的一些实施例,一种结构包括:第一导电部件;第一金属帽,位于第一导电部件上方并接触第一导电部件;第二金属帽,位于第一导电部件上方并接触第一导电部件,其中,第一金属帽和第二金属帽彼此接触以形成可区分的界面;介电层,位于第一金属帽上方并与第一金属帽接触;以及第二导电部件,位于第二金属帽上方并接触第二金属帽。在一个实施例中,第二导电部件包括:导电阻挡件,其中,导电阻挡件的外边缘与可区分的界面垂直对准;和导电区域,由导电阻挡件环绕,其中,导电区域物理接触第二金属帽。在一个实施例中,第一金属帽和第二金属帽具有不同的厚度。
上述概述了几个实施例的特征,以便本领域技术人员可以更好地理解本公开的各个方面。本领域技术人员应当理解,他们可以容易地使用本公开作为设计或修改用于实现本文所介绍的实施例的相同目的和/或实现其相同优点的其它过程和结构的基础。本领域技术人员还应当认识到,此类或类似物效结构不背离本发明的精神和范围,并且它们可以在不背离本发明的精神和范围的情况下在本发明中进行各种改变、替换以及改变。

Claims (10)

1.一种形成互连结构的方法,包括:
在第一介电层中形成第一导电部件;
在所述第一导电部件上方形成第一金属帽并接触所述第一导电部件;
在所述第一介电层和所述第一金属帽上方形成蚀刻停止层;
在所述蚀刻停止层上方形成第二介电层;
蚀刻所述第二介电层和所述蚀刻停止层以形成开口,其中,所述第一导电部件暴露于所述开口;
在所述开口的底部处选择性地沉积第二金属帽;
在所述开口的所述底部处和在所述第二金属帽上形成抑制剂膜;
在所述开口中选择性地沉积导电阻挡件;
去除所述抑制剂膜;以及
用导电材料填充所述开口的剩余部分以形成第二导电部件。
2.根据权利要求1所述的方法,其中,所述抑制剂膜包括碳和氢。
3.根据权利要求1所述的方法,其中,在蚀刻所述蚀刻停止层之后,至少减薄所述第一金属帽。
4.根据权利要求3所述的方法,其中,在蚀刻所述蚀刻停止层之后,蚀刻穿过所述第一金属帽,并且暴露所述第一导电部件的顶面的至少部分。
5.根据权利要求3所述的方法,其中,在蚀刻所述蚀刻停止层之后,减薄所述第一金属帽,并且在选择性地沉积所述第二金属帽之后,通过减薄的所述第一金属帽将所述第二金属帽与所述第一导电部件完全分离。
6.根据权利要求1所述的方法,其中,所述选择性地沉积所述第二金属帽包括沉积与所述第一金属帽相同的材料。
7.根据权利要求1所述的方法,其中,所述选择性地沉积所述第二金属帽包括沉积与所述第一金属帽不同的材料。
8.根据权利要求1所述的方法,其中,所述去除所述抑制剂膜包括烘烤工艺。
9.一种互连结构,包括:
第一导电部件,包括顶面,其中,所述顶面包括第一部分和第二部分;
第一金属帽,位于所述顶面的所述第一部分上方并与所述顶面的所述第一部分接触;
第二金属帽,与所述顶面的所述第二部分重叠;
蚀刻停止层,位于所述第一金属帽上方并接触所述第一金属帽;
介电层,位于所述蚀刻停止层上方;以及
第二导电部件,位于所述蚀刻停止层和所述介电层中,其中,所述第二导电部件位于所述第二金属帽上方并接触所述第二金属帽。
10.一种互连结构,包括:
第一导电部件;
第一金属帽,位于所述第一导电部件上方并接触所述第一导电部件;
第二金属帽,位于所述第一导电部件上方并接触所述第一导电部件,其中,所述第一金属帽和所述第二金属帽彼此接触以形成可区分的界面;
介电层,位于所述第一金属帽上方并与所述第一金属帽接触;以及
第二导电部件,位于所述第二金属帽上方并接触所述第二金属帽。
CN202210524763.0A 2021-08-13 2022-05-13 互连结构及其形成方法 Pending CN115472557A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163232747P 2021-08-13 2021-08-13
US63/232,747 2021-08-13
US17/646,770 2022-01-03
US17/646,770 US20230048536A1 (en) 2021-08-13 2022-01-03 Interconnect with Redeposited Metal Capping and Method Forming Same

Publications (1)

Publication Number Publication Date
CN115472557A true CN115472557A (zh) 2022-12-13

Family

ID=84364931

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202210524763.0A Pending CN115472557A (zh) 2021-08-13 2022-05-13 互连结构及其形成方法

Country Status (3)

Country Link
US (1) US20230048536A1 (zh)
CN (1) CN115472557A (zh)
TW (1) TWI835123B (zh)

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102008049775B4 (de) * 2008-09-30 2018-08-09 Globalfoundries Inc. Herstellungsverfahren einer Metalldeckschicht mit besserer Ätzwiderstandsfähigkeit für kupferbasierte Metallgebiete in Halbleiterbauelementen
US10879107B2 (en) * 2018-11-05 2020-12-29 International Business Machines Corporation Method of forming barrier free contact for metal interconnects

Also Published As

Publication number Publication date
TWI835123B (zh) 2024-03-11
TW202307985A (zh) 2023-02-16
US20230048536A1 (en) 2023-02-16

Similar Documents

Publication Publication Date Title
CN110970355B (zh) 镶嵌工艺中金属阻挡层的选择性沉积
TWI795800B (zh) 半導體裝置及其形成方法
JP5820870B2 (ja) 金属堆積のために基板表面を調整する方法および統合システム
CN107230660B (zh) 半导体装置的制造方法
US9837310B2 (en) Method of manufacturing a semiconductor device
JP2010517325A (ja) 窒化アルミニウムを使用することによる微細構造デバイス内の銅系メタライゼーション構造の信頼性向上
US11837500B2 (en) Selective deposition of metal barrier in damascene processes and the structures formed thereof
US20230369224A1 (en) Via for semiconductor device and method
US20240266292A1 (en) Semiconductor Structure Having High Breakdown Voltage Etch-Stop Layer
KR101506352B1 (ko) 금속 증착을 위해 기판 표면을 가공하는 프로세스 및 통합 시스템
US6881661B2 (en) Manufacturing method of semiconductor device
TWI835123B (zh) 半導體結構及其形成方法
CN112435958B (zh) 集成电路结构及其形成方法
US20050158664A1 (en) Method of integrating post-etching cleaning process with deposition for semiconductor device

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination